You are viewing a plain text version of this content. The canonical link for it is here.
Posted to commits@tiles.apache.org by ap...@apache.org on 2010/12/11 14:31:12 UTC

svn commit: r1044652 - /tiles/maven/trunk/build/tiles_checks_v5.xml

Author: apetrelli
Date: Sat Dec 11 13:31:11 2010
New Revision: 1044652

URL: http://svn.apache.org/viewvc?rev=1044652&view=rev
Log:
Finished converting checkstyle rules.

Modified:
    tiles/maven/trunk/build/tiles_checks_v5.xml

Modified: tiles/maven/trunk/build/tiles_checks_v5.xml
URL: http://svn.apache.org/viewvc/tiles/maven/trunk/build/tiles_checks_v5.xml?rev=1044652&r1=1044651&r2=1044652&view=diff
==============================================================================
--- tiles/maven/trunk/build/tiles_checks_v5.xml (original)
+++ tiles/maven/trunk/build/tiles_checks_v5.xml Sat Dec 11 13:31:11 2010
@@ -18,10 +18,6 @@
 <!DOCTYPE module PUBLIC "-//Puppy Crawl//DTD Check Configuration 1.2//EN" "http://www.puppycrawl.com/dtds/configuration_1_2.dtd">
 <module name="Checker">
     <property name="severity" value="warning"/>
-    <module name="RegexpHeader">
-        <property name="header" value="/\*\s*\n \*\s*\$Id.*\$\n \*\s*\n \*\s*Licensed to the Apache Software Foundation \(ASF\) under one\n \*\s*or more contributor license agreements\.  See the NOTICE file\n \*\s*distributed with this work for additional information\n \*\s*regarding copyright ownership\.  The ASF licenses this file\n \*\s*to you under the Apache License, Version 2\.0 \(the\n \*\s*.License.\); you may not use this file except in compliance\n \*\s*with the License\.  You may obtain a copy of the License at\n \*\s*\n \*\s*http://www\.apache\.org/licenses/LICENSE\-2\.0\n \*\s*\n \*\s*Unless required by applicable law or agreed to in writing,\n \*\s*software distributed under the License is distributed on an\n \*\s*.AS IS. BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY\n \*\s*KIND, either express or implied\.  See the License for the\n \*\s*specific language governing permissions and limitations\n \*\s*under the License\.\n \*/\s*"/>
-    </module>
-    <module name="FileLength"/>
     <module name="TreeWalker">
         <property name="cacheFile" value="${checkstyle.cache.file}"/>
         <module name="JavadocMethod">
@@ -57,7 +53,6 @@
         <module name="OperatorWrap"/>
         <module name="ParenPad"/>
         <module name="TypecastParenPad"/>
-        <module name="TabCharacter"/>
         <module name="WhitespaceAfter"/>
         <module name="WhitespaceAround">
             <property name="tokens" value="ASSIGN,BAND,BAND_ASSIGN,BOR,BOR_ASSIGN,BSR,BSR_ASSIGN,BXOR,BXOR_ASSIGN,COLON,DIV,DIV_ASSIGN,EQUAL,GE,GT,LAND,LCURLY,LE,LITERAL_ASSERT,LITERAL_CATCH,LITERAL_DO,LITERAL_ELSE,LITERAL_FINALLY,LITERAL_FOR,LITERAL_IF,LITERAL_RETURN,LITERAL_SYNCHRONIZED,LITERAL_TRY,LITERAL_WHILE,LOR,LT,MINUS,MINUS_ASSIGN,MOD,MOD_ASSIGN,NOT_EQUAL,PLUS,PLUS_ASSIGN,QUESTION,RCURLY,SL,SLIST,SL_ASSIGN,SR,SR_ASSIGN,STAR,STAR_ASSIGN,LITERAL_ASSERT,TYPE_EXTENSION_AND"/>
@@ -86,14 +81,19 @@
             <property name="protectedAllowed" value="true"/>
         </module>
         <module name="ArrayTypeStyle"/>
-        <module name="GenericIllegalRegexp">
-            <property name="format" value="\s+$"/>
-            <property name="message" value="Line has trailing spaces."/>
-        </module>
         <module name="TodoComment"/>
         <module name="UpperEll"/>
     </module>
-    <module name="PackageHtml"/>
+    <module name="RegexpHeader">
+        <property name="header" value="/\*\s*\n \*\s*\$Id.*\$\n \*\s*\n \*\s*Licensed to the Apache Software Foundation \(ASF\) under one\n \*\s*or more contributor license agreements\.  See the NOTICE file\n \*\s*distributed with this work for additional information\n \*\s*regarding copyright ownership\.  The ASF licenses this file\n \*\s*to you under the Apache License, Version 2\.0 \(the\n \*\s*.License.\); you may not use this file except in compliance\n \*\s*with the License\.  You may obtain a copy of the License at\n \*\s*\n \*\s*http://www\.apache\.org/licenses/LICENSE\-2\.0\n \*\s*\n \*\s*Unless required by applicable law or agreed to in writing,\n \*\s*software distributed under the License is distributed on an\n \*\s*.AS IS. BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY\n \*\s*KIND, either express or implied\.  See the License for the\n \*\s*specific language governing permissions and limitations\n \*\s*under the License\.\n \*/\s*"/>
+    </module>
+    <module name="RegexpSingleline">
+        <property name="format" value="\s+$"/>
+        <property name="message" value="Line has trailing spaces."/>
+    </module>
+    <module name="FileLength"/>
+    <module name="FileTabCharacter"/>
+    <module name="JavadocPackage"/>
     <module name="NewlineAtEndOfFile"/>
     <module name="Translation"/>
 </module>