You are viewing a plain text version of this content. The canonical link for it is here.
Posted to c-dev@axis.apache.org by "Senaka Fernando (JIRA)" <ji...@apache.org> on 2008/02/29 15:20:51 UTC

[jira] Created: (AXIS2C-1033) axutil_url_to_external_form()always adds a port, making it inconsistent to the axutil_uri way

axutil_url_to_external_form()always adds a port, making it inconsistent to the axutil_uri way
---------------------------------------------------------------------------------------------

                 Key: AXIS2C-1033
                 URL: https://issues.apache.org/jira/browse/AXIS2C-1033
             Project: Axis2-C
          Issue Type: Bug
          Components: util
    Affects Versions: 1.3.0
            Reporter: Senaka Fernando
            Assignee: Senaka Fernando


axutil_url_to_external_form()always adds a port, making it inconsistent to the axutil_uri way. The port must only be added if it is greater than zero and not equals the default.

-- 
This message is automatically generated by JIRA.
-
You can reply to this email to add a comment to the issue online.


---------------------------------------------------------------------
To unsubscribe, e-mail: axis-c-dev-unsubscribe@ws.apache.org
For additional commands, e-mail: axis-c-dev-help@ws.apache.org


[jira] Resolved: (AXIS2C-1033) axutil_url_to_external_form()always adds a port, making it inconsistent to the axutil_uri way

Posted by "Senaka Fernando (JIRA)" <ji...@apache.org>.
     [ https://issues.apache.org/jira/browse/AXIS2C-1033?page=com.atlassian.jira.plugin.system.issuetabpanels:all-tabpanel ]

Senaka Fernando resolved AXIS2C-1033.
-------------------------------------

       Resolution: Fixed
    Fix Version/s: Current (Nightly)

Fixed Issue

> axutil_url_to_external_form()always adds a port, making it inconsistent to the axutil_uri way
> ---------------------------------------------------------------------------------------------
>
>                 Key: AXIS2C-1033
>                 URL: https://issues.apache.org/jira/browse/AXIS2C-1033
>             Project: Axis2-C
>          Issue Type: Bug
>          Components: util
>    Affects Versions: 1.3.0
>            Reporter: Senaka Fernando
>            Assignee: Senaka Fernando
>             Fix For: Current (Nightly)
>
>
> axutil_url_to_external_form()always adds a port, making it inconsistent to the axutil_uri way. The port must only be added if it is greater than zero and not equals the default.

-- 
This message is automatically generated by JIRA.
-
You can reply to this email to add a comment to the issue online.


---------------------------------------------------------------------
To unsubscribe, e-mail: axis-c-dev-unsubscribe@ws.apache.org
For additional commands, e-mail: axis-c-dev-help@ws.apache.org