You are viewing a plain text version of this content. The canonical link for it is here.
Posted to easyant-commits@incubator.apache.org by hi...@apache.org on 2011/02/17 21:26:42 UTC

svn commit: r1071798 [1/3] - in /incubator/easyant/plugins/trunk: ./ abstract-coverage/ abstract-coverage/src/main/resources/ abstract-test/ abstract-test/src/main/resources/ antcontrib-activator/ antcontrib-activator/src/main/resources/ antunit/ antun...

Author: hibou
Date: Thu Feb 17 21:26:39 2011
New Revision: 1071798

URL: http://svn.apache.org/viewvc?rev=1071798&view=rev
Log:
put the ASF header

Modified:
    incubator/easyant/plugins/trunk/abstract-coverage/module.ivy
    incubator/easyant/plugins/trunk/abstract-coverage/src/main/resources/abstract-coverage.ant
    incubator/easyant/plugins/trunk/abstract-test/module.ivy
    incubator/easyant/plugins/trunk/abstract-test/src/main/resources/abstract-test.ant
    incubator/easyant/plugins/trunk/antcontrib-activator/module.ivy
    incubator/easyant/plugins/trunk/antcontrib-activator/src/main/resources/antcontrib-activator.ant
    incubator/easyant/plugins/trunk/antunit/module.ivy
    incubator/easyant/plugins/trunk/antunit/src/main/resources/antunit.ant
    incubator/easyant/plugins/trunk/antunit/src/main/resources/junit-frames.xsl
    incubator/easyant/plugins/trunk/antunit/src/main/resources/junit-noframes.xsl
    incubator/easyant/plugins/trunk/build-tools/module.ivy
    incubator/easyant/plugins/trunk/build-tools/src/main/resources/build-tools.ant
    incubator/easyant/plugins/trunk/checkstyle/module.ivy
    incubator/easyant/plugins/trunk/checkstyle/src/main/resources/checkstyle.ant
    incubator/easyant/plugins/trunk/checkstyle/src/main/resources/checkstyle.xml
    incubator/easyant/plugins/trunk/checkstyle/src/main/resources/checkstyle.xsl
    incubator/easyant/plugins/trunk/clean-std/module.ivy
    incubator/easyant/plugins/trunk/clean-std/src/main/resources/clean-std.ant
    incubator/easyant/plugins/trunk/compile-groovy/module.ivy
    incubator/easyant/plugins/trunk/compile-groovy/src/main/resources/compile-groovy.ant
    incubator/easyant/plugins/trunk/compile-java/module.ivy
    incubator/easyant/plugins/trunk/compile-java/src/main/resources/compile-java.ant
    incubator/easyant/plugins/trunk/compile-scala/module.ivy
    incubator/easyant/plugins/trunk/compile-scala/src/main/resources/compile-scala.ant
    incubator/easyant/plugins/trunk/compile-test-groovy/module.ivy
    incubator/easyant/plugins/trunk/compile-test-groovy/src/main/resources/compile-test-groovy.ant
    incubator/easyant/plugins/trunk/compile-test-java/module.ivy
    incubator/easyant/plugins/trunk/compile-test-java/src/main/resources/compile-test-java.ant
    incubator/easyant/plugins/trunk/compile-test-scala/module.ivy
    incubator/easyant/plugins/trunk/compile-test-scala/src/main/resources/compile-test-scala.ant
    incubator/easyant/plugins/trunk/coverage-cobertura/module.ivy
    incubator/easyant/plugins/trunk/coverage-cobertura/src/main/resources/coverage-cobertura.ant
    incubator/easyant/plugins/trunk/default-version-strategy/module.ivy
    incubator/easyant/plugins/trunk/default-version-strategy/src/main/resources/default-version-strategy.ant
    incubator/easyant/plugins/trunk/deps-lib/module.ivy
    incubator/easyant/plugins/trunk/deps-lib/src/main/resources/deps-lib.ant
    incubator/easyant/plugins/trunk/distribution/module.ivy
    incubator/easyant/plugins/trunk/distribution/src/main/resources/distribution.ant
    incubator/easyant/plugins/trunk/docbook/module.ivy
    incubator/easyant/plugins/trunk/docbook/src/main/resources/docbook.ant
    incubator/easyant/plugins/trunk/documentation/module.ivy
    incubator/easyant/plugins/trunk/documentation/src/main/resources/documentation.ant
    incubator/easyant/plugins/trunk/documentation/src/main/resources/site.xsl
    incubator/easyant/plugins/trunk/eadoc/module.ivy
    incubator/easyant/plugins/trunk/eadoc/src/main/resources/eadoc-buildtype.xsl
    incubator/easyant/plugins/trunk/eadoc/src/main/resources/eadoc-plugin.xsl
    incubator/easyant/plugins/trunk/eadoc/src/main/resources/eadoc.ant
    incubator/easyant/plugins/trunk/easyant-plugin-publication/module.ivy
    incubator/easyant/plugins/trunk/easyant-plugin-publication/src/main/resources/easyant-plugin-publication.ant
    incubator/easyant/plugins/trunk/emma/module.ivy
    incubator/easyant/plugins/trunk/emma/src/main/resources/emma.ant
    incubator/easyant/plugins/trunk/ivy-provisioning/module.ivy
    incubator/easyant/plugins/trunk/ivy-provisioning/src/main/resources/ivy-provisioning.ant
    incubator/easyant/plugins/trunk/ivy-publication/module.ivy
    incubator/easyant/plugins/trunk/ivy-publication/src/main/resources/ivy-publication.ant
    incubator/easyant/plugins/trunk/ivy-repo-management/module.ivy
    incubator/easyant/plugins/trunk/ivy-repo-management/src/main/resources/ivy-repo-management.ant
    incubator/easyant/plugins/trunk/ivy-repo-management/src/main/resources/ivy.xml.tpl
    incubator/easyant/plugins/trunk/ivy-utils/module.ivy
    incubator/easyant/plugins/trunk/ivy-utils/src/main/resources/ivy-utils.ant
    incubator/easyant/plugins/trunk/javadoc/module.ivy
    incubator/easyant/plugins/trunk/javadoc/src/main/resources/javadoc.ant
    incubator/easyant/plugins/trunk/jetty-deploy/module.ivy
    incubator/easyant/plugins/trunk/jetty-deploy/src/main/resources/jetty-deploy.ant
    incubator/easyant/plugins/trunk/manifest/module.ivy
    incubator/easyant/plugins/trunk/manifest/src/main/resources/manifest.ant
    incubator/easyant/plugins/trunk/maven-publication/module.ivy
    incubator/easyant/plugins/trunk/maven-publication/src/main/resources/maven-publication.ant
    incubator/easyant/plugins/trunk/maven-version-strategy/module.ivy
    incubator/easyant/plugins/trunk/maven-version-strategy/src/main/resources/maven-version-strategy.ant
    incubator/easyant/plugins/trunk/module.ivy
    incubator/easyant/plugins/trunk/osgi-bundle/module.ivy
    incubator/easyant/plugins/trunk/osgi-bundle/src/main/resources/osgi-bundle.ant
    incubator/easyant/plugins/trunk/package-jar/module.ivy
    incubator/easyant/plugins/trunk/package-jar/src/main/resources/package-jar.ant
    incubator/easyant/plugins/trunk/package-test-jar/module.ivy
    incubator/easyant/plugins/trunk/package-test-jar/src/main/resources/package-test-jar.ant
    incubator/easyant/plugins/trunk/package-war/module.ivy
    incubator/easyant/plugins/trunk/package-war/src/main/resources/package-war.ant
    incubator/easyant/plugins/trunk/phases-std/module.ivy
    incubator/easyant/plugins/trunk/phases-std/src/main/resources/phases-std.ant
    incubator/easyant/plugins/trunk/rat/module.ivy
    incubator/easyant/plugins/trunk/rat/src/main/resources/rat.ant
    incubator/easyant/plugins/trunk/rat/src/test/antunit/rat-test.xml
    incubator/easyant/plugins/trunk/resources-std/module.ivy
    incubator/easyant/plugins/trunk/resources-std/src/main/resources/resources-std.ant
    incubator/easyant/plugins/trunk/run-java/module.ivy
    incubator/easyant/plugins/trunk/run-java/src/main/resources/run-java.ant
    incubator/easyant/plugins/trunk/scaladoc/module.ivy
    incubator/easyant/plugins/trunk/scaladoc/src/main/resources/scaladoc.ant
    incubator/easyant/plugins/trunk/scm-svn/module.ivy
    incubator/easyant/plugins/trunk/scm-svn/src/main/resources/scm-svn.ant
    incubator/easyant/plugins/trunk/skeleton/module.ivy
    incubator/easyant/plugins/trunk/skeleton/src/main/resources/ivy.xml.tpl
    incubator/easyant/plugins/trunk/skeleton/src/main/resources/skeleton.ant
    incubator/easyant/plugins/trunk/source-jar/module.ivy
    incubator/easyant/plugins/trunk/source-jar/src/main/resources/source-jar.ant
    incubator/easyant/plugins/trunk/test-junit/module.ivy
    incubator/easyant/plugins/trunk/test-junit/src/main/resources/test-junit.ant
    incubator/easyant/plugins/trunk/test-testng/module.ivy
    incubator/easyant/plugins/trunk/test-testng/src/main/resources/test-testng.ant
    incubator/easyant/plugins/trunk/webstart/module.ivy
    incubator/easyant/plugins/trunk/webstart/src/main/resources/webstart.ant
    incubator/easyant/plugins/trunk/webstart/src/test/antunit/common/test-utils.ant
    incubator/easyant/plugins/trunk/webstart/src/test/antunit/webstart-test.xml
    incubator/easyant/plugins/trunk/xooki/module.ivy
    incubator/easyant/plugins/trunk/xooki/src/main/resources/xooki.ant

Modified: incubator/easyant/plugins/trunk/abstract-coverage/module.ivy
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/abstract-coverage/module.ivy?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/abstract-coverage/module.ivy (original)
+++ incubator/easyant/plugins/trunk/abstract-coverage/module.ivy Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.  
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <ivy-module version="2.0" xmlns:ea="http://www.easyant.org"> 
 	<info organisation="org.apache.easyant.plugins" module="abstract-coverage" revision="0.1">

Modified: incubator/easyant/plugins/trunk/abstract-coverage/src/main/resources/abstract-coverage.ant
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/abstract-coverage/src/main/resources/abstract-coverage.ant?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/abstract-coverage/src/main/resources/abstract-coverage.ant (original)
+++ incubator/easyant/plugins/trunk/abstract-coverage/src/main/resources/abstract-coverage.ant Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <project name="org.apache.easyant.plugins#abstract-coverage"
 	xmlns:ea="antlib:org.apache.easyant">

Modified: incubator/easyant/plugins/trunk/abstract-test/module.ivy
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/abstract-test/module.ivy?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/abstract-test/module.ivy (original)
+++ incubator/easyant/plugins/trunk/abstract-test/module.ivy Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.  
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <ivy-module version="2.0" xmlns:ea="http://www.easyant.org"> 
 	<info organisation="org.apache.easyant.plugins" module="abstract-test" revision="0.1">

Modified: incubator/easyant/plugins/trunk/abstract-test/src/main/resources/abstract-test.ant
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/abstract-test/src/main/resources/abstract-test.ant?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/abstract-test/src/main/resources/abstract-test.ant (original)
+++ incubator/easyant/plugins/trunk/abstract-test/src/main/resources/abstract-test.ant Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <project name="org.apache.easyant.plugins#abstract-test"
 	xmlns:ac="antlib:net.sf.antcontrib"

Modified: incubator/easyant/plugins/trunk/antcontrib-activator/module.ivy
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/antcontrib-activator/module.ivy?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/antcontrib-activator/module.ivy (original)
+++ incubator/easyant/plugins/trunk/antcontrib-activator/module.ivy Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.  
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <ivy-module version="2.0" xmlns:ea="http://www.easyant.org"> 
 	<info organisation="org.apache.easyant.plugins" module="antcontrib-activator" revision="0.1">

Modified: incubator/easyant/plugins/trunk/antcontrib-activator/src/main/resources/antcontrib-activator.ant
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/antcontrib-activator/src/main/resources/antcontrib-activator.ant?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/antcontrib-activator/src/main/resources/antcontrib-activator.ant (original)
+++ incubator/easyant/plugins/trunk/antcontrib-activator/src/main/resources/antcontrib-activator.ant Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <project name="org.apache.easyant.plugins#antcontrib-activator" 
 	xmlns:ea="antlib:org.apache.easyant">

Modified: incubator/easyant/plugins/trunk/antunit/module.ivy
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/antunit/module.ivy?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/antunit/module.ivy (original)
+++ incubator/easyant/plugins/trunk/antunit/module.ivy Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.  
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <ivy-module version="2.0" xmlns:ea="http://www.easyant.org"> 
 	<info organisation="org.apache.easyant.plugins" module="antunit" revision="0.1">

Modified: incubator/easyant/plugins/trunk/antunit/src/main/resources/antunit.ant
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/antunit/src/main/resources/antunit.ant?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/antunit/src/main/resources/antunit.ant (original)
+++ incubator/easyant/plugins/trunk/antunit/src/main/resources/antunit.ant Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <project name="org.apache.easyant.plugins#antunit"
 	xmlns:ac="antlib:net.sf.antcontrib"

Modified: incubator/easyant/plugins/trunk/antunit/src/main/resources/junit-frames.xsl
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/antunit/src/main/resources/junit-frames.xsl?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/antunit/src/main/resources/junit-frames.xsl (original)
+++ incubator/easyant/plugins/trunk/antunit/src/main/resources/junit-frames.xsl Thu Feb 17 21:26:39 2011
@@ -1,3 +1,19 @@
+<!--
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
+-->
 <xsl:stylesheet xmlns:xsl="http://www.w3.org/1999/XSL/Transform" version="1.0"
     xmlns:lxslt="http://xml.apache.org/xslt"
     xmlns:redirect="http://xml.apache.org/xalan/redirect"

Modified: incubator/easyant/plugins/trunk/antunit/src/main/resources/junit-noframes.xsl
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/antunit/src/main/resources/junit-noframes.xsl?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/antunit/src/main/resources/junit-noframes.xsl (original)
+++ incubator/easyant/plugins/trunk/antunit/src/main/resources/junit-noframes.xsl Thu Feb 17 21:26:39 2011
@@ -1,3 +1,19 @@
+<!--
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
+-->
 <xsl:stylesheet xmlns:xsl="http://www.w3.org/1999/XSL/Transform" version="1.0"
         xmlns:lxslt="http://xml.apache.org/xslt"
         xmlns:stringutils="xalan://org.apache.tools.ant.util.StringUtils">

Modified: incubator/easyant/plugins/trunk/build-tools/module.ivy
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/build-tools/module.ivy?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/build-tools/module.ivy (original)
+++ incubator/easyant/plugins/trunk/build-tools/module.ivy Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.  
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <ivy-module version="2.0" xmlns:ea="http://www.easyant.org"> 
 	<info organisation="org.apache.easyant.plugins" module="build-tools" revision="0.2">

Modified: incubator/easyant/plugins/trunk/build-tools/src/main/resources/build-tools.ant
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/build-tools/src/main/resources/build-tools.ant?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/build-tools/src/main/resources/build-tools.ant (original)
+++ incubator/easyant/plugins/trunk/build-tools/src/main/resources/build-tools.ant Thu Feb 17 21:26:39 2011
@@ -1,19 +1,18 @@
-opyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.
+<!--
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <project name="org.apache.easyant.plugins#build-tools"
 	xmlns:ea="antlib:org.apache.easyant"

Modified: incubator/easyant/plugins/trunk/checkstyle/module.ivy
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/checkstyle/module.ivy?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/checkstyle/module.ivy (original)
+++ incubator/easyant/plugins/trunk/checkstyle/module.ivy Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.  
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <ivy-module version="2.0" xmlns:ea="http://www.easyant.org"> 
 	<info organisation="org.apache.easyant.plugins" module="checkstyle" revision="0.1">

Modified: incubator/easyant/plugins/trunk/checkstyle/src/main/resources/checkstyle.ant
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/checkstyle/src/main/resources/checkstyle.ant?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/checkstyle/src/main/resources/checkstyle.ant (original)
+++ incubator/easyant/plugins/trunk/checkstyle/src/main/resources/checkstyle.ant Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <project name="org.apache.easyant.plugins#checkstyle" xmlns:ea="antlib:org.apache.easyant">
 

Modified: incubator/easyant/plugins/trunk/checkstyle/src/main/resources/checkstyle.xml
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/checkstyle/src/main/resources/checkstyle.xml?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/checkstyle/src/main/resources/checkstyle.xml (original)
+++ incubator/easyant/plugins/trunk/checkstyle/src/main/resources/checkstyle.xml Thu Feb 17 21:26:39 2011
@@ -1,23 +1,20 @@
 <?xml version="1.0"?>
 <!--
-   Copyright 2008-2010 the EasyAnt project
-
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
-
 <!--
 
   Checkstyle configuration that checks the sun coding conventions from:

Modified: incubator/easyant/plugins/trunk/checkstyle/src/main/resources/checkstyle.xsl
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/checkstyle/src/main/resources/checkstyle.xsl?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/checkstyle/src/main/resources/checkstyle.xsl (original)
+++ incubator/easyant/plugins/trunk/checkstyle/src/main/resources/checkstyle.xsl Thu Feb 17 21:26:39 2011
@@ -1,21 +1,19 @@
 <?xml version="1.0"?>
 <!--
-   Copyright 2008-2010 the EasyAnt project
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
 
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <xsl:stylesheet xmlns:xsl="http://www.w3.org/1999/XSL/Transform"
 	version="1.0">

Modified: incubator/easyant/plugins/trunk/clean-std/module.ivy
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/clean-std/module.ivy?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/clean-std/module.ivy (original)
+++ incubator/easyant/plugins/trunk/clean-std/module.ivy Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.  
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <ivy-module version="2.0" xmlns:ea="http://www.easyant.org"> 
 	<info organisation="org.apache.easyant.plugins" module="clean-std" revision="0.1">

Modified: incubator/easyant/plugins/trunk/clean-std/src/main/resources/clean-std.ant
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/clean-std/src/main/resources/clean-std.ant?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/clean-std/src/main/resources/clean-std.ant (original)
+++ incubator/easyant/plugins/trunk/clean-std/src/main/resources/clean-std.ant Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <project name="org.apache.easyant.plugins#clean-std"
 	xmlns:ea="antlib:org.apache.easyant">

Modified: incubator/easyant/plugins/trunk/compile-groovy/module.ivy
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/compile-groovy/module.ivy?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/compile-groovy/module.ivy (original)
+++ incubator/easyant/plugins/trunk/compile-groovy/module.ivy Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.  
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <ivy-module version="2.0" xmlns:ea="http://www.easyant.org"> 
 	<info organisation="org.apache.easyant.plugins" module="compile-groovy" revision="0.1">

Modified: incubator/easyant/plugins/trunk/compile-groovy/src/main/resources/compile-groovy.ant
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/compile-groovy/src/main/resources/compile-groovy.ant?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/compile-groovy/src/main/resources/compile-groovy.ant (original)
+++ incubator/easyant/plugins/trunk/compile-groovy/src/main/resources/compile-groovy.ant Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <project name="org.apache.easyant.plugins#compile-groovy" xmlns:ea="antlib:org.apache.easyant">
 

Modified: incubator/easyant/plugins/trunk/compile-java/module.ivy
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/compile-java/module.ivy?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/compile-java/module.ivy (original)
+++ incubator/easyant/plugins/trunk/compile-java/module.ivy Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.  
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <ivy-module version="2.0" xmlns:ea="http://www.easyant.org"> 
 	<info organisation="org.apache.easyant.plugins" module="compile-java" revision="0.1">

Modified: incubator/easyant/plugins/trunk/compile-java/src/main/resources/compile-java.ant
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/compile-java/src/main/resources/compile-java.ant?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/compile-java/src/main/resources/compile-java.ant (original)
+++ incubator/easyant/plugins/trunk/compile-java/src/main/resources/compile-java.ant Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <project name="org.apache.easyant.plugins#compile-java"
 	xmlns:ea="antlib:org.apache.easyant">

Modified: incubator/easyant/plugins/trunk/compile-scala/module.ivy
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/compile-scala/module.ivy?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/compile-scala/module.ivy (original)
+++ incubator/easyant/plugins/trunk/compile-scala/module.ivy Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.  
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <ivy-module version="2.0" xmlns:ea="http://www.easyant.org"> 
 	<info organisation="org.apache.easyant.plugins" module="compile-scala" revision="0.1">

Modified: incubator/easyant/plugins/trunk/compile-scala/src/main/resources/compile-scala.ant
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/compile-scala/src/main/resources/compile-scala.ant?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/compile-scala/src/main/resources/compile-scala.ant (original)
+++ incubator/easyant/plugins/trunk/compile-scala/src/main/resources/compile-scala.ant Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <project name="org.apache.easyant.plugins#compile-scala"
 	xmlns:ea="antlib:org.apache.easyant">

Modified: incubator/easyant/plugins/trunk/compile-test-groovy/module.ivy
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/compile-test-groovy/module.ivy?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/compile-test-groovy/module.ivy (original)
+++ incubator/easyant/plugins/trunk/compile-test-groovy/module.ivy Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.  
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <ivy-module version="2.0" xmlns:ea="http://www.easyant.org"> 
 	<info organisation="org.apache.easyant.plugins" module="compile-test-groovy" revision="0.1">

Modified: incubator/easyant/plugins/trunk/compile-test-groovy/src/main/resources/compile-test-groovy.ant
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/compile-test-groovy/src/main/resources/compile-test-groovy.ant?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/compile-test-groovy/src/main/resources/compile-test-groovy.ant (original)
+++ incubator/easyant/plugins/trunk/compile-test-groovy/src/main/resources/compile-test-groovy.ant Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <project name="org.apache.easyant.plugins#compile-test-groovy"
 	xmlns:ea="antlib:org.apache.easyant">

Modified: incubator/easyant/plugins/trunk/compile-test-java/module.ivy
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/compile-test-java/module.ivy?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/compile-test-java/module.ivy (original)
+++ incubator/easyant/plugins/trunk/compile-test-java/module.ivy Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.  
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <ivy-module version="2.0" xmlns:ea="http://www.easyant.org"> 
 	<info organisation="org.apache.easyant.plugins" module="compile-test-java" revision="0.1">

Modified: incubator/easyant/plugins/trunk/compile-test-java/src/main/resources/compile-test-java.ant
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/compile-test-java/src/main/resources/compile-test-java.ant?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/compile-test-java/src/main/resources/compile-test-java.ant (original)
+++ incubator/easyant/plugins/trunk/compile-test-java/src/main/resources/compile-test-java.ant Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <project name="org.apache.easyant.plugins#compile-test-java"
 	xmlns:ea="antlib:org.apache.easyant">

Modified: incubator/easyant/plugins/trunk/compile-test-scala/module.ivy
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/compile-test-scala/module.ivy?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/compile-test-scala/module.ivy (original)
+++ incubator/easyant/plugins/trunk/compile-test-scala/module.ivy Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.  
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <ivy-module version="2.0" xmlns:ea="http://www.easyant.org"> 
 	<info organisation="org.apache.easyant.plugins" module="compile-test-scala" revision="0.1">

Modified: incubator/easyant/plugins/trunk/compile-test-scala/src/main/resources/compile-test-scala.ant
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/compile-test-scala/src/main/resources/compile-test-scala.ant?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/compile-test-scala/src/main/resources/compile-test-scala.ant (original)
+++ incubator/easyant/plugins/trunk/compile-test-scala/src/main/resources/compile-test-scala.ant Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <project name="org.apache.easyant.plugins#compile-test-scala"
 	xmlns:ea="antlib:org.apache.easyant">

Modified: incubator/easyant/plugins/trunk/coverage-cobertura/module.ivy
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/coverage-cobertura/module.ivy?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/coverage-cobertura/module.ivy (original)
+++ incubator/easyant/plugins/trunk/coverage-cobertura/module.ivy Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.  
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <ivy-module version="2.0" xmlns:ea="http://www.easyant.org"> 
 	<info organisation="org.apache.easyant.plugins" module="coverage-cobertura" revision="0.1">

Modified: incubator/easyant/plugins/trunk/coverage-cobertura/src/main/resources/coverage-cobertura.ant
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/coverage-cobertura/src/main/resources/coverage-cobertura.ant?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/coverage-cobertura/src/main/resources/coverage-cobertura.ant (original)
+++ incubator/easyant/plugins/trunk/coverage-cobertura/src/main/resources/coverage-cobertura.ant Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <project name="org.apache.easyant.plugins#coverage-cobertura" 
 	xmlns:ac="antlib:net.sf.antcontrib"

Modified: incubator/easyant/plugins/trunk/default-version-strategy/module.ivy
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/default-version-strategy/module.ivy?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/default-version-strategy/module.ivy (original)
+++ incubator/easyant/plugins/trunk/default-version-strategy/module.ivy Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.  
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <ivy-module version="2.0" xmlns:ea="http://www.easyant.org"> 
 	<info organisation="org.apache.easyant.plugins" module="default-version-strategy" revision="0.1">

Modified: incubator/easyant/plugins/trunk/default-version-strategy/src/main/resources/default-version-strategy.ant
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/default-version-strategy/src/main/resources/default-version-strategy.ant?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/default-version-strategy/src/main/resources/default-version-strategy.ant (original)
+++ incubator/easyant/plugins/trunk/default-version-strategy/src/main/resources/default-version-strategy.ant Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <project name="org.apache.easyant.plugins#default-version-strategy"
 	xmlns:ivy="antlib:org.apache.ivy.ant"

Modified: incubator/easyant/plugins/trunk/deps-lib/module.ivy
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/deps-lib/module.ivy?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/deps-lib/module.ivy (original)
+++ incubator/easyant/plugins/trunk/deps-lib/module.ivy Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.  
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <ivy-module version="2.0" xmlns:ea="http://www.easyant.org"> 
 	<info organisation="org.apache.easyant.plugins" module="deps-lib" revision="0.2">

Modified: incubator/easyant/plugins/trunk/deps-lib/src/main/resources/deps-lib.ant
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/deps-lib/src/main/resources/deps-lib.ant?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/deps-lib/src/main/resources/deps-lib.ant (original)
+++ incubator/easyant/plugins/trunk/deps-lib/src/main/resources/deps-lib.ant Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <project name="org.apache.easyant.plugins#deps-lib"
 	xmlns:ea="antlib:org.apache.easyant">

Modified: incubator/easyant/plugins/trunk/distribution/module.ivy
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/distribution/module.ivy?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/distribution/module.ivy (original)
+++ incubator/easyant/plugins/trunk/distribution/module.ivy Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.  
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <ivy-module version="2.0" xmlns:ea="http://www.easyant.org"> 
 	<info organisation="org.apache.easyant.plugins" module="distribution" revision="0.1">

Modified: incubator/easyant/plugins/trunk/distribution/src/main/resources/distribution.ant
URL: http://svn.apache.org/viewvc/incubator/easyant/plugins/trunk/distribution/src/main/resources/distribution.ant?rev=1071798&r1=1071797&r2=1071798&view=diff
==============================================================================
--- incubator/easyant/plugins/trunk/distribution/src/main/resources/distribution.ant (original)
+++ incubator/easyant/plugins/trunk/distribution/src/main/resources/distribution.ant Thu Feb 17 21:26:39 2011
@@ -1,20 +1,18 @@
 <!--
-	Copyright 2008-2010 the EasyAnt project
-	
-	See the NOTICE file distributed with this work for additional information 
-	regarding copyright ownership.
-	
-	Licensed under the Apache License, Version 2.0 (the "License");
-	you may not use this file except in compliance with the License.
-	You may obtain a copy of the License at
-	
-	http://www.apache.org/licenses/LICENSE-2.0
-	
-	Unless required by applicable law or agreed to in writing, software
-	distributed under the License is distributed on an "AS IS" BASIS,
-	WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-	See the License for the specific language governing permissions and
-	limitations under the License.  
+   Licensed to the Apache Software Foundation (ASF) under one or more
+   contributor license agreements.  See the NOTICE file distributed with
+   this work for additional information regarding copyright ownership.
+   The ASF licenses this file to You under the Apache License, Version 2.0
+   (the "License"); you may not use this file except in compliance with
+   the License.  You may obtain a copy of the License at
+
+       http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing, software
+   distributed under the License is distributed on an "AS IS" BASIS,
+   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+   See the License for the specific language governing permissions and
+   limitations under the License.
 -->
 <project name="org.apache.easyant.plugins;distribution" xmlns:ac="antlib:net.sf.antcontrib" xmlns:ivy="antlib:org.apache.ivy.ant" xmlns:ea="antlib:org.apache.easyant">