You are viewing a plain text version of this content. The canonical link for it is here.
Posted to commits@tvm.apache.org by tq...@apache.org on 2023/09/12 01:39:29 UTC

[tvm-site] branch asf-site updated: deploying docs (apache/tvm@25b8a0798e6308b21191ead0739eac54d376806e)

This is an automated email from the ASF dual-hosted git repository.

tqchen pushed a commit to branch asf-site
in repository https://gitbox.apache.org/repos/asf/tvm-site.git


The following commit(s) were added to refs/heads/asf-site by this push:
     new afecf9f7d4 deploying docs (apache/tvm@25b8a0798e6308b21191ead0739eac54d376806e)
afecf9f7d4 is described below

commit afecf9f7d4c191f827c5a7005aa560a3ebecd368
Author: tvm-bot <95...@users.noreply.github.com>
AuthorDate: Tue Sep 12 01:39:22 2023 +0000

    deploying docs (apache/tvm@25b8a0798e6308b21191ead0739eac54d376806e)
---
 .../how_to/compile_models/from_darknet.rst.txt     |    2 +-
 .../how_to/compile_models/from_mxnet.rst.txt       |    2 +-
 .../how_to/compile_models/from_oneflow.rst.txt     |    2 +-
 .../how_to/compile_models/from_paddle.rst.txt      |    2 +-
 .../how_to/compile_models/from_pytorch.rst.txt     |    2 +-
 .../how_to/compile_models/from_tensorflow.rst.txt  |    2 +-
 .../compile_models/sg_execution_times.rst.txt      |   22 +-
 .../deploy_models/deploy_model_on_adreno.rst.txt   |    4 +-
 .../deploy_model_on_adreno_tvmc.rst.txt            |    2 +-
 .../deploy_models/deploy_model_on_android.rst.txt  |    2 +-
 .../deploy_object_detection_pytorch.rst.txt        |    4 +-
 .../deploy_models/deploy_prequantized.rst.txt      |    6 +-
 .../deploy_prequantized_tflite.rst.txt             |    2 +-
 .../how_to/deploy_models/deploy_quantized.rst.txt  |    2 +-
 .../deploy_models/sg_execution_times.rst.txt       |   22 +-
 .../extend_tvm/bring_your_own_datatypes.rst.txt    |    2 +-
 .../how_to/extend_tvm/sg_execution_times.rst.txt   |    8 +-
 .../how_to/extend_tvm/use_pass_instrument.rst.txt  |   16 +-
 .../optimize_operators/opt_conv_cuda.rst.txt       |    2 +-
 .../optimize_operators/opt_conv_tensorcore.rst.txt |    2 +-
 .../how_to/optimize_operators/opt_gemm.rst.txt     |   16 +-
 .../optimize_operators/sg_execution_times.rst.txt  |    8 +-
 .../sg_execution_times.rst.txt                     |   14 +-
 .../tune_conv2d_layer_cuda.rst.txt                 |    2 +-
 .../tune_network_cuda.rst.txt                      |    4 +-
 .../tune_network_x86.rst.txt                       |    4 +-
 .../tune_with_autotvm/sg_execution_times.rst.txt   |    6 +-
 .../tune_with_autotvm/tune_conv2d_cuda.rst.txt     |    2 +-
 .../work_with_microtvm/micro_autotune.rst.txt      |   18 +-
 .../work_with_microtvm/micro_pytorch.rst.txt       |    4 +-
 .../how_to/work_with_microtvm/micro_train.rst.txt  |   16 +-
 .../work_with_microtvm/sg_execution_times.rst.txt  |   14 +-
 .../work_with_relay/sg_execution_times.rst.txt     |    8 +-
 .../how_to/work_with_schedules/intrin_math.rst.txt |    2 +-
 .../work_with_schedules/sg_execution_times.rst.txt |   18 +-
 .../tutorials/autotvm/sg_execution_times.rst.txt   |    6 +-
 .../frontend/deploy_classification.rst.txt         |    4 +-
 .../tutorials/frontend/deploy_detection.rst.txt    |    4 +-
 .../tutorials/frontend/sg_execution_times.rst.txt  |    6 +-
 .../tutorials/optimize/sg_execution_times.rst.txt  |    6 +-
 .../topic/vta/tutorials/sg_execution_times.rst.txt |    6 +-
 .../tutorial/auto_scheduler_matmul_x86.rst.txt     |   11 +-
 docs/_sources/tutorial/autotvm_matmul_x86.rst.txt  |   20 +-
 docs/_sources/tutorial/autotvm_relay_x86.rst.txt   |   58 +-
 .../tutorial/cross_compilation_and_rpc.rst.txt     |    2 +-
 docs/_sources/tutorial/intro_topi.rst.txt          |    2 +-
 docs/_sources/tutorial/sg_execution_times.rst.txt  |   22 +-
 .../tutorial/tensor_expr_get_started.rst.txt       |   49 +-
 docs/api/rust/help.html                            |    2 +-
 docs/api/rust/settings.html                        |    2 +-
 docs/commit_hash                                   |    2 +-
 docs/genindex.html                                 |    2 +
 docs/how_to/compile_models/from_darknet.html       |    2 +-
 docs/how_to/compile_models/from_mxnet.html         |    2 +-
 docs/how_to/compile_models/from_oneflow.html       |   16 +-
 docs/how_to/compile_models/from_paddle.html        |    2 +-
 docs/how_to/compile_models/from_pytorch.html       |   21 +-
 docs/how_to/compile_models/from_tensorflow.html    |    2 +-
 docs/how_to/compile_models/sg_execution_times.html |   22 +-
 .../deploy_models/deploy_model_on_adreno.html      |    4 +-
 .../deploy_models/deploy_model_on_adreno_tvmc.html |   33 +-
 .../deploy_models/deploy_model_on_android.html     |    2 +-
 .../deploy_object_detection_pytorch.html           |   74 +-
 docs/how_to/deploy_models/deploy_prequantized.html |   11 +-
 .../deploy_models/deploy_prequantized_tflite.html  |    2 +-
 docs/how_to/deploy_models/deploy_quantized.html    |    2 +-
 docs/how_to/deploy_models/sg_execution_times.html  |   26 +-
 .../extend_tvm/bring_your_own_datatypes.html       |    2 +-
 docs/how_to/extend_tvm/sg_execution_times.html     |    8 +-
 docs/how_to/extend_tvm/use_pass_instrument.html    |   16 +-
 docs/how_to/optimize_operators/opt_conv_cuda.html  |    2 +-
 .../optimize_operators/opt_conv_tensorcore.html    |    2 +-
 docs/how_to/optimize_operators/opt_gemm.html       |   16 +-
 .../optimize_operators/sg_execution_times.html     |    8 +-
 .../sg_execution_times.html                        |   14 +-
 .../tune_conv2d_layer_cuda.html                    |    2 +-
 .../tune_with_autoscheduler/tune_network_cuda.html |    4 +-
 .../tune_with_autoscheduler/tune_network_x86.html  |    4 +-
 .../tune_with_autotvm/sg_execution_times.html      |    6 +-
 .../how_to/tune_with_autotvm/tune_conv2d_cuda.html |    2 +-
 docs/how_to/work_with_microtvm/micro_autotune.html |   18 +-
 docs/how_to/work_with_microtvm/micro_pytorch.html  |    6 +-
 docs/how_to/work_with_microtvm/micro_train.html    |   16 +-
 .../work_with_microtvm/sg_execution_times.html     |   14 +-
 .../how_to/work_with_relay/sg_execution_times.html |    8 +-
 docs/how_to/work_with_schedules/intrin_math.html   |    2 +-
 .../work_with_schedules/sg_execution_times.html    |   18 +-
 docs/install/nnpack.html                           |   12 +-
 docs/objects.inv                                   |  Bin 25902 -> 25912 bytes
 docs/reference/api/doxygen/builtin_8h.html         |    3 +
 docs/reference/api/doxygen/builtin_8h_source.html  |  175 +--
 docs/reference/api/doxygen/namespacemembers_c.html |    5 +-
 .../api/doxygen/namespacemembers_func_c.html       |    3 +
 .../doxygen/namespacetvm_1_1tir_1_1builtin.html    |   35 +-
 docs/reference/api/doxygen/search/all_10.js        |  350 +++---
 docs/reference/api/doxygen/search/all_11.js        |  559 ++++-----
 docs/reference/api/doxygen/search/all_12.js        |   10 +-
 docs/reference/api/doxygen/search/all_13.js        |  579 +++++-----
 docs/reference/api/doxygen/search/all_14.js        |  968 ++++++++--------
 docs/reference/api/doxygen/search/all_15.js        | 1210 ++++++++++----------
 docs/reference/api/doxygen/search/all_16.js        |  146 +--
 docs/reference/api/doxygen/search/all_17.js        |  226 ++--
 docs/reference/api/doxygen/search/all_18.js        |  136 +--
 docs/reference/api/doxygen/search/all_19.js        |    4 +-
 docs/reference/api/doxygen/search/all_1a.js        |    2 +-
 docs/reference/api/doxygen/search/all_1b.js        |  120 +-
 docs/reference/api/doxygen/search/all_2.js         |    4 +-
 docs/reference/api/doxygen/search/all_3.js         |   47 +-
 docs/reference/api/doxygen/search/all_4.js         |  604 +++++-----
 docs/reference/api/doxygen/search/all_5.js         |  456 ++++----
 docs/reference/api/doxygen/search/all_6.js         |  296 ++---
 docs/reference/api/doxygen/search/all_7.js         |  666 +++++------
 docs/reference/api/doxygen/search/all_8.js         |  330 +++---
 docs/reference/api/doxygen/search/all_9.js         |   74 +-
 docs/reference/api/doxygen/search/all_a.js         |  704 ++++++------
 docs/reference/api/doxygen/search/all_b.js         |    8 +-
 docs/reference/api/doxygen/search/all_c.js         |  704 ++++++------
 docs/reference/api/doxygen/search/all_d.js         |  294 ++---
 docs/reference/api/doxygen/search/all_e.js         |  520 ++++-----
 docs/reference/api/doxygen/search/all_f.js         |  200 ++--
 docs/reference/api/doxygen/search/classes_0.js     |  596 +++++-----
 docs/reference/api/doxygen/search/classes_1.js     |  140 +--
 docs/reference/api/doxygen/search/classes_10.js    |  264 ++---
 docs/reference/api/doxygen/search/classes_11.js    |  316 ++---
 docs/reference/api/doxygen/search/classes_12.js    |   14 +-
 docs/reference/api/doxygen/search/classes_13.js    |   34 +-
 docs/reference/api/doxygen/search/classes_14.js    |   38 +-
 docs/reference/api/doxygen/search/classes_15.js    |    2 +-
 docs/reference/api/doxygen/search/classes_2.js     |  176 +--
 docs/reference/api/doxygen/search/classes_3.js     |  116 +-
 docs/reference/api/doxygen/search/classes_4.js     |   78 +-
 docs/reference/api/doxygen/search/classes_5.js     |   80 +-
 docs/reference/api/doxygen/search/classes_6.js     |   38 +-
 docs/reference/api/doxygen/search/classes_7.js     |   14 +-
 docs/reference/api/doxygen/search/classes_8.js     |  180 +--
 docs/reference/api/doxygen/search/classes_9.js     |   70 +-
 docs/reference/api/doxygen/search/classes_a.js     |  162 +--
 docs/reference/api/doxygen/search/classes_b.js     |   32 +-
 docs/reference/api/doxygen/search/classes_c.js     |  142 +--
 docs/reference/api/doxygen/search/classes_d.js     |  192 ++--
 docs/reference/api/doxygen/search/classes_e.js     |    2 +-
 docs/reference/api/doxygen/search/classes_f.js     |  152 +--
 docs/reference/api/doxygen/search/defines_0.js     |    8 +-
 docs/reference/api/doxygen/search/defines_1.js     |    4 +-
 docs/reference/api/doxygen/search/defines_2.js     |    4 +-
 docs/reference/api/doxygen/search/defines_3.js     |    2 +-
 docs/reference/api/doxygen/search/defines_4.js     |    4 +-
 docs/reference/api/doxygen/search/defines_5.js     |    2 +-
 docs/reference/api/doxygen/search/defines_6.js     |   14 +-
 docs/reference/api/doxygen/search/defines_7.js     |    6 +-
 docs/reference/api/doxygen/search/defines_8.js     |  170 +--
 docs/reference/api/doxygen/search/defines_9.js     |    2 +-
 docs/reference/api/doxygen/search/enums_0.js       |    8 +-
 docs/reference/api/doxygen/search/enums_1.js       |    6 +-
 docs/reference/api/doxygen/search/enums_2.js       |    8 +-
 docs/reference/api/doxygen/search/enums_3.js       |    8 +-
 docs/reference/api/doxygen/search/enums_4.js       |    4 +-
 docs/reference/api/doxygen/search/enums_5.js       |    4 +-
 docs/reference/api/doxygen/search/enums_6.js       |    8 +-
 docs/reference/api/doxygen/search/enums_7.js       |    2 +-
 docs/reference/api/doxygen/search/enums_8.js       |    2 +-
 docs/reference/api/doxygen/search/enums_9.js       |    8 +-
 docs/reference/api/doxygen/search/enums_a.js       |    4 +-
 docs/reference/api/doxygen/search/enums_b.js       |    4 +-
 docs/reference/api/doxygen/search/enums_c.js       |   10 +-
 docs/reference/api/doxygen/search/enums_d.js       |   14 +-
 docs/reference/api/doxygen/search/enumvalues_0.js  |   10 +-
 docs/reference/api/doxygen/search/enumvalues_1.js  |    2 +-
 docs/reference/api/doxygen/search/enumvalues_2.js  |    2 +-
 docs/reference/api/doxygen/search/enumvalues_3.js  |   36 +-
 docs/reference/api/doxygen/search/enumvalues_4.js  |    6 +-
 docs/reference/api/doxygen/search/enumvalues_5.js  |   10 +-
 docs/reference/api/doxygen/search/enumvalues_6.js  |  542 ++++-----
 docs/reference/api/doxygen/search/enumvalues_7.js  |    4 +-
 docs/reference/api/doxygen/search/enumvalues_8.js  |    2 +-
 docs/reference/api/doxygen/search/enumvalues_9.js  |    4 +-
 docs/reference/api/doxygen/search/enumvalues_a.js  |    6 +-
 docs/reference/api/doxygen/search/enumvalues_b.js  |    4 +-
 docs/reference/api/doxygen/search/enumvalues_c.js  |    2 +-
 docs/reference/api/doxygen/search/files_0.js       |   32 +-
 docs/reference/api/doxygen/search/files_1.js       |   26 +-
 docs/reference/api/doxygen/search/files_10.js      |    2 +-
 docs/reference/api/doxygen/search/files_11.js      |    8 +-
 docs/reference/api/doxygen/search/files_12.js      |    6 +-
 docs/reference/api/doxygen/search/files_2.js       |   22 +-
 docs/reference/api/doxygen/search/files_3.js       |   32 +-
 docs/reference/api/doxygen/search/files_4.js       |   24 +-
 docs/reference/api/doxygen/search/files_5.js       |   20 +-
 docs/reference/api/doxygen/search/files_6.js       |   12 +-
 docs/reference/api/doxygen/search/files_7.js       |   26 +-
 docs/reference/api/doxygen/search/files_8.js       |    8 +-
 docs/reference/api/doxygen/search/files_9.js       |   32 +-
 docs/reference/api/doxygen/search/files_a.js       |   10 +-
 docs/reference/api/doxygen/search/files_b.js       |   16 +-
 docs/reference/api/doxygen/search/files_c.js       |   26 +-
 docs/reference/api/doxygen/search/files_d.js       |   26 +-
 docs/reference/api/doxygen/search/files_e.js       |   48 +-
 docs/reference/api/doxygen/search/files_f.js       |   38 +-
 docs/reference/api/doxygen/search/functions_0.js   |   12 +-
 docs/reference/api/doxygen/search/functions_1.js   |  294 ++---
 docs/reference/api/doxygen/search/functions_10.js  |  263 ++---
 docs/reference/api/doxygen/search/functions_11.js  |    8 +-
 docs/reference/api/doxygen/search/functions_12.js  |  290 ++---
 docs/reference/api/doxygen/search/functions_13.js  |  484 ++++----
 docs/reference/api/doxygen/search/functions_14.js  |  494 ++++----
 docs/reference/api/doxygen/search/functions_15.js  |  118 +-
 docs/reference/api/doxygen/search/functions_16.js  |  124 +-
 docs/reference/api/doxygen/search/functions_17.js  |   64 +-
 docs/reference/api/doxygen/search/functions_18.js  |    2 +-
 docs/reference/api/doxygen/search/functions_19.js  |  120 +-
 docs/reference/api/doxygen/search/functions_2.js   |  128 ++-
 docs/reference/api/doxygen/search/functions_3.js   |  342 +++---
 docs/reference/api/doxygen/search/functions_4.js   |  200 ++--
 docs/reference/api/doxygen/search/functions_5.js   |  132 +--
 docs/reference/api/doxygen/search/functions_6.js   |  282 ++---
 docs/reference/api/doxygen/search/functions_7.js   |  274 ++---
 docs/reference/api/doxygen/search/functions_8.js   |   44 +-
 docs/reference/api/doxygen/search/functions_9.js   |  388 +++----
 docs/reference/api/doxygen/search/functions_a.js   |    8 +-
 docs/reference/api/doxygen/search/functions_b.js   |    4 +-
 docs/reference/api/doxygen/search/functions_c.js   |  176 +--
 docs/reference/api/doxygen/search/functions_d.js   |  220 ++--
 docs/reference/api/doxygen/search/functions_e.js   |   96 +-
 docs/reference/api/doxygen/search/functions_f.js   |  144 +--
 docs/reference/api/doxygen/search/namespaces_0.js  |    4 +-
 docs/reference/api/doxygen/search/namespaces_1.js  |   78 +-
 docs/reference/api/doxygen/search/related_0.js     |    2 +-
 docs/reference/api/doxygen/search/related_1.js     |   10 +-
 docs/reference/api/doxygen/search/related_10.js    |    8 +-
 docs/reference/api/doxygen/search/related_11.js    |   10 +-
 docs/reference/api/doxygen/search/related_2.js     |    2 +-
 docs/reference/api/doxygen/search/related_3.js     |   12 +-
 docs/reference/api/doxygen/search/related_4.js     |    8 +-
 docs/reference/api/doxygen/search/related_5.js     |    2 +-
 docs/reference/api/doxygen/search/related_6.js     |    6 +-
 docs/reference/api/doxygen/search/related_7.js     |    8 +-
 docs/reference/api/doxygen/search/related_8.js     |    2 +-
 docs/reference/api/doxygen/search/related_9.js     |   14 +-
 docs/reference/api/doxygen/search/related_a.js     |    2 +-
 docs/reference/api/doxygen/search/related_b.js     |   22 +-
 docs/reference/api/doxygen/search/related_c.js     |    2 +-
 docs/reference/api/doxygen/search/related_d.js     |   10 +-
 docs/reference/api/doxygen/search/related_e.js     |    8 +-
 docs/reference/api/doxygen/search/related_f.js     |   24 +-
 docs/reference/api/doxygen/search/typedefs_0.js    |    4 +-
 docs/reference/api/doxygen/search/typedefs_1.js    |    6 +-
 docs/reference/api/doxygen/search/typedefs_10.js   |    2 +-
 docs/reference/api/doxygen/search/typedefs_11.js   |    2 +-
 docs/reference/api/doxygen/search/typedefs_2.js    |   10 +-
 docs/reference/api/doxygen/search/typedefs_3.js    |    8 +-
 docs/reference/api/doxygen/search/typedefs_4.js    |   10 +-
 docs/reference/api/doxygen/search/typedefs_5.js    |  138 +--
 docs/reference/api/doxygen/search/typedefs_6.js    |    8 +-
 docs/reference/api/doxygen/search/typedefs_7.js    |   18 +-
 docs/reference/api/doxygen/search/typedefs_8.js    |    6 +-
 docs/reference/api/doxygen/search/typedefs_9.js    |    2 +-
 docs/reference/api/doxygen/search/typedefs_a.js    |   14 +-
 docs/reference/api/doxygen/search/typedefs_b.js    |    6 +-
 docs/reference/api/doxygen/search/typedefs_c.js    |   22 +-
 docs/reference/api/doxygen/search/typedefs_d.js    |   18 +-
 docs/reference/api/doxygen/search/typedefs_e.js    |   20 +-
 docs/reference/api/doxygen/search/typedefs_f.js    |  120 +-
 docs/reference/api/doxygen/search/variables_0.js   |   18 +-
 docs/reference/api/doxygen/search/variables_1.js   |  110 +-
 docs/reference/api/doxygen/search/variables_10.js  |  138 +--
 docs/reference/api/doxygen/search/variables_11.js  |  218 ++--
 docs/reference/api/doxygen/search/variables_12.js  |  142 +--
 docs/reference/api/doxygen/search/variables_13.js  |   14 +-
 docs/reference/api/doxygen/search/variables_14.js  |   72 +-
 docs/reference/api/doxygen/search/variables_15.js  |   30 +-
 docs/reference/api/doxygen/search/variables_16.js  |    2 +-
 docs/reference/api/doxygen/search/variables_2.js   |   82 +-
 docs/reference/api/doxygen/search/variables_3.js   |  156 +--
 docs/reference/api/doxygen/search/variables_4.js   |  124 +-
 docs/reference/api/doxygen/search/variables_5.js   |   68 +-
 docs/reference/api/doxygen/search/variables_6.js   |  154 +--
 docs/reference/api/doxygen/search/variables_7.js   |   20 +-
 docs/reference/api/doxygen/search/variables_8.js   |   22 +-
 docs/reference/api/doxygen/search/variables_9.js   |  148 +--
 docs/reference/api/doxygen/search/variables_a.js   |  156 +--
 docs/reference/api/doxygen/search/variables_b.js   |   54 +-
 docs/reference/api/doxygen/search/variables_c.js   |  128 +--
 docs/reference/api/doxygen/search/variables_d.js   |   80 +-
 docs/reference/api/doxygen/search/variables_e.js   |   66 +-
 docs/reference/api/doxygen/search/variables_f.js   |  130 +--
 docs/reference/api/python/auto_scheduler.html      |    4 +-
 docs/reference/api/python/tir.html                 |  220 ++--
 .../api/typedoc/classes/bytestreamreader.html      |   12 +-
 .../api/typedoc/classes/cachedcallstack.html       |   34 +-
 docs/reference/api/typedoc/classes/dldatatype.html |   12 +-
 docs/reference/api/typedoc/classes/dldevice.html   |   10 +-
 .../reference/api/typedoc/classes/environment.html |   12 +-
 docs/reference/api/typedoc/classes/ffilibrary.html |   20 +-
 docs/reference/api/typedoc/classes/instance.html   |   58 +-
 docs/reference/api/typedoc/classes/memory.html     |   34 +-
 docs/reference/api/typedoc/classes/module.html     |   10 +-
 docs/reference/api/typedoc/classes/ndarray.html    |   22 +-
 .../api/typedoc/classes/packedfunccell.html        |    6 +-
 docs/reference/api/typedoc/classes/rpcserver.html  |   14 +-
 .../api/typedoc/classes/runtimecontext.html        |   22 +-
 docs/reference/api/typedoc/classes/scalar.html     |    6 +-
 docs/reference/api/typedoc/classes/tvmarray.html   |   16 +-
 docs/reference/api/typedoc/classes/tvmobject.html  |   12 +-
 .../api/typedoc/classes/webgpucontext.html         |   12 +-
 docs/reference/api/typedoc/enums/argtypecode.html  |   30 +-
 .../api/typedoc/enums/aynccallbackcode.html        |    4 +-
 .../api/typedoc/enums/dldatatypecode.html          |    8 +-
 .../api/typedoc/enums/rpcserverstate.html          |   12 +-
 docs/reference/api/typedoc/enums/sizeof.html       |   18 +-
 docs/reference/api/typedoc/index.html              |  124 +-
 .../api/typedoc/interfaces/disposable.html         |    2 +-
 .../api/typedoc/interfaces/functioninfo.html       |    6 +-
 .../api/typedoc/interfaces/libraryprovider.html    |    4 +-
 docs/searchindex.js                                |    2 +-
 .../vta/tutorials/autotvm/sg_execution_times.html  |    6 +-
 .../tutorials/frontend/deploy_classification.html  |    4 +-
 .../vta/tutorials/frontend/deploy_detection.html   |    4 +-
 .../vta/tutorials/frontend/sg_execution_times.html |    6 +-
 .../vta/tutorials/optimize/sg_execution_times.html |    6 +-
 docs/topic/vta/tutorials/sg_execution_times.html   |    6 +-
 docs/tutorial/auto_scheduler_matmul_x86.html       |    7 +-
 docs/tutorial/autotvm_matmul_x86.html              |   20 +-
 docs/tutorial/autotvm_relay_x86.html               |  270 ++---
 docs/tutorial/cross_compilation_and_rpc.html       |    2 +-
 docs/tutorial/intro_topi.html                      |    2 +-
 docs/tutorial/sg_execution_times.html              |   22 +-
 docs/tutorial/tensor_expr_get_started.html         |   45 +-
 327 files changed, 11573 insertions(+), 11539 deletions(-)

diff --git a/docs/_sources/how_to/compile_models/from_darknet.rst.txt b/docs/_sources/how_to/compile_models/from_darknet.rst.txt
index ee4c2219bc..f14a5834c7 100644
--- a/docs/_sources/how_to/compile_models/from_darknet.rst.txt
+++ b/docs/_sources/how_to/compile_models/from_darknet.rst.txt
@@ -318,7 +318,7 @@ The process is no different from other examples.
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  35.240 seconds)
+   **Total running time of the script:** ( 1 minutes  40.931 seconds)
 
 
 .. _sphx_glr_download_how_to_compile_models_from_darknet.py:
diff --git a/docs/_sources/how_to/compile_models/from_mxnet.rst.txt b/docs/_sources/how_to/compile_models/from_mxnet.rst.txt
index 7452791fb4..83339ecaa9 100644
--- a/docs/_sources/how_to/compile_models/from_mxnet.rst.txt
+++ b/docs/_sources/how_to/compile_models/from_mxnet.rst.txt
@@ -116,7 +116,7 @@ In this section, we download a pretrained imagenet model and classify an image.
 
  .. code-block:: none
 
-    Downloading /workspace/.mxnet/models/resnet18_v1-a0666292.zip7308da15-42b5-4532-a205-fef0862c2d49 from https://apache-mxnet.s3-accelerate.dualstack.amazonaws.com/gluon/models/resnet18_v1-a0666292.zip...
+    Downloading /workspace/.mxnet/models/resnet18_v1-a0666292.zip2b246167-9e23-4399-b720-fd30fd5ca936 from https://apache-mxnet.s3-accelerate.dualstack.amazonaws.com/gluon/models/resnet18_v1-a0666292.zip...
     x (1, 3, 224, 224)
 
 
diff --git a/docs/_sources/how_to/compile_models/from_oneflow.rst.txt b/docs/_sources/how_to/compile_models/from_oneflow.rst.txt
index 3cbe2067d0..5040f56913 100644
--- a/docs/_sources/how_to/compile_models/from_oneflow.rst.txt
+++ b/docs/_sources/how_to/compile_models/from_oneflow.rst.txt
@@ -121,7 +121,7 @@ Load a pretrained OneFlow model and save model
  .. code-block:: none
 
     Downloading: "https://oneflow-public.oss-cn-beijing.aliyuncs.com/model_zoo/flowvision/classification/ResNet/resnet18.zip" to /workspace/.oneflow/flowvision_cache/resnet18.zip
-
      0%|          | 0.00/41.5M [00:00<?, ?B/s]
     15%|#5        | 6.33M/41.5M [00:00<00:01, 36.6MB/s]
     24%|##3       | 9.82M/41.5M [00:00<00:01, 30.2MB/s]
     35%|###4      | 14.3M/41.5M [00:01<00:02, 10.7MB/s]
     39%|###8      | 16.1M/41.5M [00:01<00:02, 10.2MB/s]
     58%|#####7    | 24.0M/41.5M [00:01<00:01, 16.4MB/s]
     77%|#######7  | 32.0M/41.5M [00:01<00:00, 22.7MB/s]
     92%|#########2| 38.3M/41.5M [00:01<00:00, 27.9MB/s]
    100%|##########| 41.5M/41.5M [00:02<00:00, 21.4MB/s]
+
      0%|          | 0.00/41.5M [00:00<?, ?B/s]
     15%|#5        | 6.33M/41.5M [00:00<00:00, 53.6MB/s]
     28%|##7       | 11.4M/41.5M [00:00<00:01, 29.3MB/s]
     39%|###8      | 16.0M/41.5M [00:00<00:00, 26.7MB/s]
     54%|#####3    | 22.3M/41.5M [00:00<00:00, 33.6MB/s]
     62%|######2   | 25.9M/41.5M [00:00<00:00, 29.3MB/s]
     77%|#######7  | 32.0M/41.5M [00:01<00:00, 31.4MB/s]
     92%|#########2| 38.3M/41.5M [00:01<00:00, 32.9MB/s]
    100%|##########| 41.5M/41.5M [00:01<00:00, 31.9MB/s]
 
 
 
diff --git a/docs/_sources/how_to/compile_models/from_paddle.rst.txt b/docs/_sources/how_to/compile_models/from_paddle.rst.txt
index 911454ad15..2a9a90d2dc 100644
--- a/docs/_sources/how_to/compile_models/from_paddle.rst.txt
+++ b/docs/_sources/how_to/compile_models/from_paddle.rst.txt
@@ -209,7 +209,7 @@ Look up prediction top 1 index in 1000 class synset.
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  2.100 seconds)
+   **Total running time of the script:** ( 1 minutes  7.187 seconds)
 
 
 .. _sphx_glr_download_how_to_compile_models_from_paddle.py:
diff --git a/docs/_sources/how_to/compile_models/from_pytorch.rst.txt b/docs/_sources/how_to/compile_models/from_pytorch.rst.txt
index 340a63eebf..4e7e0577d1 100644
--- a/docs/_sources/how_to/compile_models/from_pytorch.rst.txt
+++ b/docs/_sources/how_to/compile_models/from_pytorch.rst.txt
@@ -101,7 +101,7 @@ Load a pretrained PyTorch model
     /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torchvision/models/_utils.py:223: UserWarning: Arguments other than a weight enum or `None` for 'weights' are deprecated since 0.13 and may be removed in the future. The current behavior is equivalent to passing `weights=ResNet18_Weights.IMAGENET1K_V1`. You can also use `weights=ResNet18_Weights.DEFAULT` to get the most up-to-date weights.
       warnings.warn(msg)
     Downloading: "https://download.pytorch.org/models/resnet18-f37072fd.pth" to /workspace/.cache/torch/hub/checkpoints/resnet18-f37072fd.pth
-
      0%|          | 0.00/44.7M [00:00<?, ?B/s]
     14%|#4        | 6.30M/44.7M [00:00<00:01, 34.6MB/s]
     21%|##1       | 9.60M/44.7M [00:00<00:01, 22.9MB/s]
     32%|###2      | 14.3M/44.7M [00:00<00:01, 28.2MB/s]
     39%|###8      | 17.2M/44.7M [00:00<00:01, 27.2MB/s]
     54%|#####3    | 24.0M/44.7M [00:00<00:00, 29.7MB/s]
     68%|######7   | 30.3M/44.7M [00:01<00:00, 31.2MB/s]
     75%|#######4  | 33.3M/44.7M [00:01<00:00, 27.4MB/s]
     86%|########5 | 38.3M/44.7M [00:01<00:00, 27.9MB/s]
     92%|#########1| 40.9M/44.7M [00:01<00:00, 23.7MB/s]
    100%|##########| 44.7M/44.7M [00:01<00:00, 28.8MB/s]
+
      0%|          | 0.00/44.7M [00:00<?, ?B/s]
     14%|#4        | 6.30M/44.7M [00:00<00:01, 30.0MB/s]
     21%|##        | 9.17M/44.7M [00:00<00:01, 24.7MB/s]
     32%|###2      | 14.3M/44.7M [00:00<00:01, 27.9MB/s]
     38%|###7      | 16.9M/44.7M [00:00<00:01, 24.0MB/s]
     54%|#####3    | 24.0M/44.7M [00:00<00:00, 26.4MB/s]
     59%|#####9    | 26.4M/44.7M [00:01<00:00, 26.1MB/s]
     68%|######7   | 30.3M/44.7M [00:01<00:00, 24.1MB/s]
     73%|#######2  | 32.6M/44.7M [00:01<00:00, 21.6MB/s]
     86%|########5 | 38.3M/44.7M [00:01<00:00, 22.8MB/s]
     91%|######### | 40.4M/44.7M [00:01<00:00, 19.9MB/s]
    100%|##########| 44.7M/44.7M [00:01<00:00, 25.3MB/s]
 
 
 
diff --git a/docs/_sources/how_to/compile_models/from_tensorflow.rst.txt b/docs/_sources/how_to/compile_models/from_tensorflow.rst.txt
index 9f7b52d833..3cec570f48 100644
--- a/docs/_sources/how_to/compile_models/from_tensorflow.rst.txt
+++ b/docs/_sources/how_to/compile_models/from_tensorflow.rst.txt
@@ -430,7 +430,7 @@ Run the corresponding model on tensorflow
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  32.303 seconds)
+   **Total running time of the script:** ( 1 minutes  34.681 seconds)
 
 
 .. _sphx_glr_download_how_to_compile_models_from_tensorflow.py:
diff --git a/docs/_sources/how_to/compile_models/sg_execution_times.rst.txt b/docs/_sources/how_to/compile_models/sg_execution_times.rst.txt
index 2a7c57ba53..0db727e38f 100644
--- a/docs/_sources/how_to/compile_models/sg_execution_times.rst.txt
+++ b/docs/_sources/how_to/compile_models/sg_execution_times.rst.txt
@@ -5,26 +5,26 @@
 
 Computation times
 =================
-**07:13.238** total execution time for **how_to_compile_models** files:
+**07:36.928** total execution time for **how_to_compile_models** files:
 
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_darknet.py` (``from_darknet.py``)       | 01:35.240 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_darknet.py` (``from_darknet.py``)       | 01:40.931 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_tensorflow.py` (``from_tensorflow.py``) | 01:32.303 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_tensorflow.py` (``from_tensorflow.py``) | 01:34.681 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_paddle.py` (``from_paddle.py``)         | 01:02.100 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_paddle.py` (``from_paddle.py``)         | 01:07.187 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_oneflow.py` (``from_oneflow.py``)       | 00:41.510 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_oneflow.py` (``from_oneflow.py``)       | 00:42.524 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_coreml.py` (``from_coreml.py``)         | 00:36.333 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_coreml.py` (``from_coreml.py``)         | 00:39.479 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_mxnet.py` (``from_mxnet.py``)           | 00:33.518 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_mxnet.py` (``from_mxnet.py``)           | 00:35.261 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_pytorch.py` (``from_pytorch.py``)       | 00:28.201 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_pytorch.py` (``from_pytorch.py``)       | 00:29.733 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_keras.py` (``from_keras.py``)           | 00:27.876 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_keras.py` (``from_keras.py``)           | 00:29.634 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_tflite.py` (``from_tflite.py``)         | 00:13.309 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_tflite.py` (``from_tflite.py``)         | 00:14.560 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_onnx.py` (``from_onnx.py``)             | 00:02.849 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_onnx.py` (``from_onnx.py``)             | 00:02.938 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/how_to/deploy_models/deploy_model_on_adreno.rst.txt b/docs/_sources/how_to/deploy_models/deploy_model_on_adreno.rst.txt
index b19239aca5..2f11f286b8 100644
--- a/docs/_sources/how_to/deploy_models/deploy_model_on_adreno.rst.txt
+++ b/docs/_sources/how_to/deploy_models/deploy_model_on_adreno.rst.txt
@@ -673,7 +673,7 @@ well as provides information about the model's performance
     Evaluate inference time cost...
     Execution time summary:
      mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)  
-     4218.7213    4218.0683    4223.8765    4216.0642      2.2713                  
+     4227.1443    4226.2691    4229.6240    4225.5971      1.5891                  
 
 
 
@@ -681,7 +681,7 @@ well as provides information about the model's performance
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  19.179 seconds)
+   **Total running time of the script:** ( 1 minutes  21.192 seconds)
 
 
 .. _sphx_glr_download_how_to_deploy_models_deploy_model_on_adreno.py:
diff --git a/docs/_sources/how_to/deploy_models/deploy_model_on_adreno_tvmc.rst.txt b/docs/_sources/how_to/deploy_models/deploy_model_on_adreno_tvmc.rst.txt
index a82f7d2e52..354bea1c3c 100644
--- a/docs/_sources/how_to/deploy_models/deploy_model_on_adreno_tvmc.rst.txt
+++ b/docs/_sources/how_to/deploy_models/deploy_model_on_adreno_tvmc.rst.txt
@@ -127,7 +127,7 @@ Make a Keras Resnet50 Model
  .. code-block:: none
 
     Downloading data from https://storage.googleapis.com/tensorflow/keras-applications/resnet/resnet50_weights_tf_dim_ordering_tf_kernels.h5
-
         8192/102967424 [..............................] - ETA: 0s
      6635520/102967424 [>.............................] - ETA: 4s
      8380416/102967424 [=>............................] - ETA: 4s
     15024128/102967424 [===>..........................] - ETA: 3s
     16769024/102967424 [===>..........................] - ETA: 3s
     23412736/102967424 [=====>........................] - ETA: 3s
     25157632/102967424 [======>.......................] - ETA: 3s
     33546240/102967424 [========>.....................] - ETA: 2s
 
     40189952/102967424 [==========>...................] - ETA: 2s
     41934848/102967424 [===========>..................] - ETA: 2s
     48578560/102967424 [=============>................] - ETA: 2s
     50323456/102967424 [=============>................] - ETA: 2s
     56967168/102967424 [===============>..............] - ETA: 1s
     58712064/102967424 [================>.............] - ETA: 1s
     64151552/102967424 [=================>............] - ETA: 1s
     65355776/102967424 [==================>...........] -
  ETA: 1s
     67100672/102967424 [==================>...........] - ETA: 1s
     69296128/102967424 [===================>..........] - ETA: 1s
     73744384/102967424 [====================>.........] - ETA: 1s
     75489280/102967424 [====================>.........] - ETA: 1s
     82124800/102967424 [======================>.......] - ETA: 1s
     83877888/102967424 [=======================>......] - ETA: 1s
     84017152/102967424 [=======================>......] - ETA: 1s
     90521600/102967424
  [=========================>....] - ETA: 0s
     92266496/102967424 [=========================>....] - ETA: 0s
     98910208/102967424 [===========================>..] - ETA: 0s
    100646912/102967424 [============================>.] - ETA: 0s
    102850560/102967424 [============================>.] - ETA: 0s
    102967424/102967424 [==============================] - 5s 0us/step
+
         8192/102967424 [..............................] - ETA: 0s
      4022272/102967424 [>.............................] - ETA: 1s
      6635520/102967424 [>.............................] - ETA: 1s
      8380416/102967424 [=>............................] - ETA: 2s
     15024128/102967424 [===>..........................] - ETA: 2s
     16769024/102967424 [===>..........................] - ETA: 3s
     19562496/102967424 [====>.........................] - ETA: 2s
     23412736/102967424 [=====>........................] - ETA: 2s
 
     25157632/102967424 [======>.......................] - ETA: 3s
     28565504/102967424 [=======>......................] - ETA: 2s
     33546240/102967424 [========>.....................] - ETA: 2s
     40189952/102967424 [==========>...................] - ETA: 2s
     41934848/102967424 [===========>..................] - ETA: 2s
     48578560/102967424 [=============>................] - ETA: 1s
     50323456/102967424 [=============>................] - ETA: 1s
     58712064/102967424 [================>.............] -
  ETA: 1s
     65355776/102967424 [==================>...........] - ETA: 1s
     67100672/102967424 [==================>...........] - ETA: 1s
     69206016/102967424 [===================>..........] - ETA: 1s
     72540160/102967424 [====================>.........] - ETA: 0s
     75489280/102967424 [====================>.........] - ETA: 0s
     75628544/102967424 [=====================>........] - ETA: 0s
     82124800/102967424 [======================>.......] - ETA: 0s
     83877888/102967424
  [=======================>......] - ETA: 0s
     92266496/102967424 [=========================>....] - ETA: 0s
    100646912/102967424 [============================>.] - ETA: 0s
    102850560/102967424 [============================>.] - ETA: 0s
    102967424/102967424 [==============================] - 3s 0us/step
 
 
 
diff --git a/docs/_sources/how_to/deploy_models/deploy_model_on_android.rst.txt b/docs/_sources/how_to/deploy_models/deploy_model_on_android.rst.txt
index b1b56bceec..73cd7899db 100644
--- a/docs/_sources/how_to/deploy_models/deploy_model_on_android.rst.txt
+++ b/docs/_sources/how_to/deploy_models/deploy_model_on_android.rst.txt
@@ -437,7 +437,7 @@ Execute on TVM
     Evaluate inference time cost...
     Execution time summary:
      mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)  
-      15.5840      15.5806      15.7468      15.4171       0.1004                  
+      15.3326      15.1779      16.3568      15.0942       0.3637                  
 
 
 
diff --git a/docs/_sources/how_to/deploy_models/deploy_object_detection_pytorch.rst.txt b/docs/_sources/how_to/deploy_models/deploy_object_detection_pytorch.rst.txt
index 3030e3222e..c77eba320d 100644
--- a/docs/_sources/how_to/deploy_models/deploy_object_detection_pytorch.rst.txt
+++ b/docs/_sources/how_to/deploy_models/deploy_object_detection_pytorch.rst.txt
@@ -130,7 +130,7 @@ Load pre-trained maskrcnn from torchvision and do tracing
     /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torchvision/models/_utils.py:223: UserWarning: Arguments other than a weight enum or `None` for 'weights' are deprecated since 0.13 and may be removed in the future. The current behavior is equivalent to passing `weights=MaskRCNN_ResNet50_FPN_Weights.COCO_V1`. You can also use `weights=MaskRCNN_ResNet50_FPN_Weights.DEFAULT` to get the most up-to-date weights.
       warnings.warn(msg)
     Downloading: "https://download.pytorch.org/models/maskrcnn_resnet50_fpn_coco-bf2d0c1e.pth" to /workspace/.cache/torch/hub/checkpoints/maskrcnn_resnet50_fpn_coco-bf2d0c1e.pth
-
      0%|          | 0.00/170M [00:00<?, ?B/s]
      4%|3         | 6.30M/170M [00:00<00:04, 37.1MB/s]
      6%|5         | 9.85M/170M [00:00<00:06, 26.5MB/s]
      8%|8         | 14.3M/170M [00:00<00:06, 23.8MB/s]
     10%|9         | 16.6M/170M [00:00<00:09, 17.5MB/s]
     13%|#3        | 22.3M/170M [00:00<00:06, 24.0MB/s]
     15%|#4        | 24.9M/170M [00:01<00:07, 20.1MB/s]
     18%|#7        | 30.3M/170M [00:01<00:06, 22.6MB/s]
     19%|#9        | 32.6M/170M [00:01<00:07, 19.3MB/s]
     24%|##3       | 40.0M/170M [00:01<00:05, 25.3MB/s]
     27%|##7       | 46.3M/170M [00:01<00:04, 30.0MB/s]
     29%|##9       | 49.3M/170M [00:02<00:04, 27.2MB/s]
     33%|###2      | 56.0M/170M [00:02<00:03, 34.9MB/s]
     37%|###6      | 62.3M/170M [00:02<00:03, 32.4MB/s]
     39%|###8      | 65.7M/170M [00:02<00:04, 26.8MB/s]
     42%|####2     | 72.0M/170M [00:02<00:03, 33.6MB/s]
     46%|####6     | 78.3M/170M [00:03<00:03, 32.0MB/s]
     48%|####8     | 81.8M/170M [00:03<00:03, 27.9MB/
 s]
     51%|#####     | 86.3M/170M [00:03<00:03, 24.9MB/s]
     52%|#####2    | 88.9M/170M [00:03<00:03, 22.8MB/s]
     56%|#####5    | 94.3M/170M [00:03<00:03, 23.2MB/s]
     57%|#####6    | 96.6M/170M [00:04<00:03, 21.0MB/s]
     60%|######    | 102M/170M [00:04<00:02, 23.8MB/s] 
     62%|######1   | 105M/170M [00:04<00:03, 21.1MB/s]
     66%|######5   | 112M/170M [00:04<00:02, 26.2MB/s]
     70%|######9   | 118M/170M [00:04<00:01, 30.6MB/s]
     71%|#######1  | 121M/170M [00:04<00:02, 24.1MB/s]
     74%|#######4  | 126M/170M [00:05<00:01, 27.3MB/s]
     76%|#######6  | 129M/170M [00:05<00:01, 26.6MB/s]
     79%|#######9  | 134M/170M [00:05<00:01, 25.6MB/s]
     81%|########  | 137M/170M [00:05<00:01, 23.5MB/s]
     85%|########4 | 144M/170M [00:05<00:00, 28.8MB/s]
     88%|########8 | 150M/170M [00:05<00:00, 34.6MB/s]
     91%|######### | 154M/170M [00:06<00:00, 28.7MB/s]
     93%|#########3| 158M/170M [00:06<00:00, 27.3MB/s]
     95%|#########4| 161M/170M [00:06<00:00, 23.7MB/s]
 
     98%|#########7| 166M/170M [00:06<00:00, 22.2MB/s]
     99%|#########9| 169M/170M [00:06<00:00, 22.6MB/s]
    100%|##########| 170M/170M [00:06<00:00, 25.8MB/s]
+
      0%|          | 0.00/170M [00:00<?, ?B/s]
      2%|1         | 2.61M/170M [00:00<00:09, 19.0MB/s]
      4%|3         | 6.30M/170M [00:00<00:06, 25.3MB/s]
      5%|5         | 8.75M/170M [00:00<00:08, 20.3MB/s]
      8%|8         | 14.3M/170M [00:00<00:06, 25.6MB/s]
     10%|9         | 16.7M/170M [00:00<00:07, 21.5MB/s]
     13%|#3        | 22.3M/170M [00:01<00:07, 21.0MB/s]
     14%|#4        | 24.3M/170M [00:01<00:08, 18.6MB/s]
     18%|#7        | 30.3M/170M [00:01<00:07, 20.3MB/s]
     19%|#8        | 32.2M/170M [00:01<00:08, 16.4MB/s]
     24%|##3       | 40.0M/170M [00:01<00:05, 25.3MB/s]
     28%|##8       | 48.0M/170M [00:02<00:03, 32.1MB/s]
     33%|###2      | 56.0M/170M [00:02<00:03, 36.3MB/s]
     37%|###6      | 62.3M/170M [00:02<00:02, 40.6MB/s]
     39%|###9      | 66.5M/170M [00:02<00:02, 36.9MB/s]
     42%|####2     | 72.0M/170M [00:02<00:02, 36.1MB/s]
     46%|####6     | 78.3M/170M [00:02<00:02, 36.8MB/s]
     48%|####8     | 81.9M/170M [00:03<00:02, 34.4MB/
 s]
     51%|#####     | 86.3M/170M [00:03<00:03, 27.3MB/s]
     52%|#####2    | 89.1M/170M [00:03<00:03, 23.5MB/s]
     56%|#####5    | 94.3M/170M [00:03<00:02, 26.7MB/s]
     57%|#####7    | 97.0M/170M [00:03<00:03, 24.5MB/s]
     60%|######    | 102M/170M [00:03<00:02, 27.8MB/s] 
     62%|######1   | 105M/170M [00:04<00:02, 26.1MB/s]
     66%|######5   | 112M/170M [00:04<00:01, 30.6MB/s]
     70%|######9   | 118M/170M [00:04<00:01, 34.7MB/s]
     72%|#######1  | 122M/170M [00:04<00:02, 23.9MB/s]
     75%|#######5  | 128M/170M [00:04<00:01, 26.1MB/s]
     77%|#######6  | 130M/170M [00:05<00:01, 23.4MB/s]
     80%|########  | 136M/170M [00:05<00:01, 23.7MB/s]
     85%|########4 | 144M/170M [00:05<00:00, 30.0MB/s]
     88%|########8 | 150M/170M [00:05<00:00, 22.1MB/s]
     90%|########9 | 153M/170M [00:06<00:00, 20.2MB/s]
     93%|#########3| 158M/170M [00:06<00:00, 21.9MB/s]
     95%|#########4| 161M/170M [00:06<00:00, 19.2MB/s]
     98%|#########7| 166M/170M [00:06<00:00, 19.7MB/s]
 
    100%|##########| 170M/170M [00:06<00:00, 25.7MB/s]
     /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torch/nn/functional.py:3912: UserWarning: To copy construct from a tensor, it is recommended to use sourceTensor.clone().detach() or sourceTensor.clone().detach().requires_grad_(True), rather than torch.tensor(sourceTensor).
       (torch.floor((input.size(i + 2).float() * torch.tensor(scale_factors[i], dtype=torch.float32)).float()))
     /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torchvision/ops/boxes.py:157: UserWarning: To copy construct from a tensor, it is recommended to use sourceTensor.clone().detach() or sourceTensor.clone().detach().requires_grad_(True), rather than torch.tensor(sourceTensor).
@@ -295,7 +295,7 @@ Get boxes with score larger than 0.9
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 3 minutes  25.343 seconds)
+   **Total running time of the script:** ( 3 minutes  41.475 seconds)
 
 
 .. _sphx_glr_download_how_to_deploy_models_deploy_object_detection_pytorch.py:
diff --git a/docs/_sources/how_to/deploy_models/deploy_prequantized.rst.txt b/docs/_sources/how_to/deploy_models/deploy_prequantized.rst.txt
index 4041f48786..ea142efd5b 100644
--- a/docs/_sources/how_to/deploy_models/deploy_prequantized.rst.txt
+++ b/docs/_sources/how_to/deploy_models/deploy_prequantized.rst.txt
@@ -227,7 +227,7 @@ training. Other models require a full post training calibration.
     /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torchvision/models/_utils.py:223: UserWarning: Arguments other than a weight enum or `None` for 'weights' are deprecated since 0.13 and may be removed in the future. The current behavior is equivalent to passing `weights=MobileNet_V2_Weights.IMAGENET1K_V1`. You can also use `weights=MobileNet_V2_Weights.DEFAULT` to get the most up-to-date weights.
       warnings.warn(msg)
     Downloading: "https://download.pytorch.org/models/mobilenet_v2-b0353104.pth" to /workspace/.cache/torch/hub/checkpoints/mobilenet_v2-b0353104.pth
-
      0%|          | 0.00/13.6M [00:00<?, ?B/s]
     47%|####6     | 6.30M/13.6M [00:00<00:00, 37.5MB/s]
     73%|#######2  | 9.88M/13.6M [00:00<00:00, 25.1MB/s]
     91%|#########1| 12.4M/13.6M [00:00<00:00, 18.6MB/s]
    100%|##########| 13.6M/13.6M [00:00<00:00, 23.2MB/s]
+
      0%|          | 0.00/13.6M [00:00<?, ?B/s]
     59%|#####8    | 7.99M/13.6M [00:00<00:00, 45.3MB/s]
     91%|######### | 12.3M/13.6M [00:00<00:00, 27.0MB/s]
    100%|##########| 13.6M/13.6M [00:00<00:00, 31.9MB/s]
 
 
 
@@ -409,7 +409,7 @@ Here we give an example of how to measure performance of TVM compiled models.
 
     Execution time summary:
      mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)  
-      88.5201      88.4573      89.8281      88.3015       0.2439                  
+      89.2064      89.1410      90.4811      88.7694       0.3194                  
 
 
 
@@ -457,7 +457,7 @@ TODO
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  24.756 seconds)
+   **Total running time of the script:** ( 1 minutes  29.115 seconds)
 
 
 .. _sphx_glr_download_how_to_deploy_models_deploy_prequantized.py:
diff --git a/docs/_sources/how_to/deploy_models/deploy_prequantized_tflite.rst.txt b/docs/_sources/how_to/deploy_models/deploy_prequantized_tflite.rst.txt
index eea428ed39..bf67cf6d9c 100644
--- a/docs/_sources/how_to/deploy_models/deploy_prequantized_tflite.rst.txt
+++ b/docs/_sources/how_to/deploy_models/deploy_prequantized_tflite.rst.txt
@@ -423,7 +423,7 @@ Here we give an example of how to measure performance of TVM compiled models.
 
     Execution time summary:
      mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)  
-      107.4410     107.1817     130.4887     106.5048      2.3590                  
+      110.2547     110.1715     114.9507     109.6149      0.5384                  
 
 
 
diff --git a/docs/_sources/how_to/deploy_models/deploy_quantized.rst.txt b/docs/_sources/how_to/deploy_models/deploy_quantized.rst.txt
index 27b1120a62..ac541eb7c3 100644
--- a/docs/_sources/how_to/deploy_models/deploy_quantized.rst.txt
+++ b/docs/_sources/how_to/deploy_models/deploy_quantized.rst.txt
@@ -257,7 +257,7 @@ We create a Relay VM to build and execute the model.
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 2 minutes  49.812 seconds)
+   **Total running time of the script:** ( 2 minutes  25.486 seconds)
 
 
 .. _sphx_glr_download_how_to_deploy_models_deploy_quantized.py:
diff --git a/docs/_sources/how_to/deploy_models/sg_execution_times.rst.txt b/docs/_sources/how_to/deploy_models/sg_execution_times.rst.txt
index d545914f28..061b05b45f 100644
--- a/docs/_sources/how_to/deploy_models/sg_execution_times.rst.txt
+++ b/docs/_sources/how_to/deploy_models/sg_execution_times.rst.txt
@@ -5,26 +5,26 @@
 
 Computation times
 =================
-**12:24.454** total execution time for **how_to_deploy_models** files:
+**12:32.905** total execution time for **how_to_deploy_models** files:
 
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_object_detection_pytorch.py` (``deploy_object_detection_pytorch.py``) | 03:25.343 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_object_detection_pytorch.py` (``deploy_object_detection_pytorch.py``) | 03:41.475 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_quantized.py` (``deploy_quantized.py``)                               | 02:49.812 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_quantized.py` (``deploy_quantized.py``)                               | 02:25.486 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_prequantized.py` (``deploy_prequantized.py``)                         | 01:24.756 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_prequantized.py` (``deploy_prequantized.py``)                         | 01:29.115 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_adreno.py` (``deploy_model_on_adreno.py``)                   | 01:19.179 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_adreno.py` (``deploy_model_on_adreno.py``)                   | 01:21.192 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_prequantized_tflite.py` (``deploy_prequantized_tflite.py``)           | 00:49.947 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_prequantized_tflite.py` (``deploy_prequantized_tflite.py``)           | 00:52.419 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_adreno_tvmc.py` (``deploy_model_on_adreno_tvmc.py``)         | 00:48.322 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_android.py` (``deploy_model_on_android.py``)                 | 00:51.993 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_android.py` (``deploy_model_on_android.py``)                 | 00:48.308 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_adreno_tvmc.py` (``deploy_model_on_adreno_tvmc.py``)         | 00:48.792 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_nano.py` (``deploy_model_on_nano.py``)                       | 00:29.535 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_nano.py` (``deploy_model_on_nano.py``)                       | 00:31.586 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_rasp.py` (``deploy_model_on_rasp.py``)                       | 00:29.246 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_rasp.py` (``deploy_model_on_rasp.py``)                       | 00:30.841 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_sparse.py` (``deploy_sparse.py``)                                     | 00:00.006 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_sparse.py` (``deploy_sparse.py``)                                     | 00:00.007 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/how_to/extend_tvm/bring_your_own_datatypes.rst.txt b/docs/_sources/how_to/extend_tvm/bring_your_own_datatypes.rst.txt
index 8acbc20df8..9d79c38cde 100644
--- a/docs/_sources/how_to/extend_tvm/bring_your_own_datatypes.rst.txt
+++ b/docs/_sources/how_to/extend_tvm/bring_your_own_datatypes.rst.txt
@@ -463,7 +463,7 @@ First let us define two helper functions to get the mobilenet model and a cat im
 
  .. code-block:: none
 
-    Downloading /workspace/.mxnet/models/mobilenet0.25-9f83e440.zip41398fd1-55a6-4cbf-aab3-9360365a4ae4 from https://apache-mxnet.s3-accelerate.dualstack.amazonaws.com/gluon/models/mobilenet0.25-9f83e440.zip...
+    Downloading /workspace/.mxnet/models/mobilenet0.25-9f83e440.zipba07a27b-88d9-4a5e-9d6e-76f5762539d0 from https://apache-mxnet.s3-accelerate.dualstack.amazonaws.com/gluon/models/mobilenet0.25-9f83e440.zip...
 
 
 
diff --git a/docs/_sources/how_to/extend_tvm/sg_execution_times.rst.txt b/docs/_sources/how_to/extend_tvm/sg_execution_times.rst.txt
index 974450bfb0..b5454bc7e4 100644
--- a/docs/_sources/how_to/extend_tvm/sg_execution_times.rst.txt
+++ b/docs/_sources/how_to/extend_tvm/sg_execution_times.rst.txt
@@ -5,14 +5,14 @@
 
 Computation times
 =================
-**00:55.439** total execution time for **how_to_extend_tvm** files:
+**00:58.962** total execution time for **how_to_extend_tvm** files:
 
 +-------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_extend_tvm_bring_your_own_datatypes.py` (``bring_your_own_datatypes.py``) | 00:51.675 | 0.0 MB |
+| :ref:`sphx_glr_how_to_extend_tvm_bring_your_own_datatypes.py` (``bring_your_own_datatypes.py``) | 00:54.958 | 0.0 MB |
 +-------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_extend_tvm_use_pass_instrument.py` (``use_pass_instrument.py``)           | 00:02.628 | 0.0 MB |
+| :ref:`sphx_glr_how_to_extend_tvm_use_pass_instrument.py` (``use_pass_instrument.py``)           | 00:02.799 | 0.0 MB |
 +-------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_extend_tvm_use_pass_infra.py` (``use_pass_infra.py``)                     | 00:01.130 | 0.0 MB |
+| :ref:`sphx_glr_how_to_extend_tvm_use_pass_infra.py` (``use_pass_infra.py``)                     | 00:01.197 | 0.0 MB |
 +-------------------------------------------------------------------------------------------------+-----------+--------+
 | :ref:`sphx_glr_how_to_extend_tvm_low_level_custom_pass.py` (``low_level_custom_pass.py``)       | 00:00.007 | 0.0 MB |
 +-------------------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/how_to/extend_tvm/use_pass_instrument.rst.txt b/docs/_sources/how_to/extend_tvm/use_pass_instrument.rst.txt
index aded4c6140..126d4b6a1d 100644
--- a/docs/_sources/how_to/extend_tvm/use_pass_instrument.rst.txt
+++ b/docs/_sources/how_to/extend_tvm/use_pass_instrument.rst.txt
@@ -220,10 +220,10 @@ profile the execution time of each passes.
  .. code-block:: none
 
     Printing results of timing profile...
-    InferType: 23277us [23277us] (48.70%; 48.70%)
-    FoldScaleAxis: 24521us [6us] (51.30%; 51.30%)
-            FoldConstant: 24514us [1672us] (51.29%; 99.97%)
-                    InferType: 22842us [22842us] (47.79%; 93.18%)
+    InferType: 23383us [23383us] (48.44%; 48.44%)
+    FoldScaleAxis: 24893us [8us] (51.56%; 51.56%)
+            FoldConstant: 24885us [1775us] (51.55%; 99.97%)
+                    InferType: 23110us [23110us] (47.87%; 92.87%)
 
 
 
@@ -262,10 +262,10 @@ Refer to following sections and :py:func:`tvm.instrument.pass_instrument` for th
  .. code-block:: none
 
     Printing results of timing profile...
-    InferType: 22568us [22568us] (48.42%; 48.42%)
-    FoldScaleAxis: 24041us [5us] (51.58%; 51.58%)
-            FoldConstant: 24036us [1592us] (51.57%; 99.98%)
-                    InferType: 22444us [22444us] (48.15%; 93.38%)
+    InferType: 23034us [23034us] (48.18%; 48.18%)
+    FoldScaleAxis: 24776us [7us] (51.82%; 51.82%)
+            FoldConstant: 24768us [1806us] (51.81%; 99.97%)
+                    InferType: 22962us [22962us] (48.03%; 92.71%)
 
 
 
diff --git a/docs/_sources/how_to/optimize_operators/opt_conv_cuda.rst.txt b/docs/_sources/how_to/optimize_operators/opt_conv_cuda.rst.txt
index 854378caf3..5b579e31f2 100644
--- a/docs/_sources/how_to/optimize_operators/opt_conv_cuda.rst.txt
+++ b/docs/_sources/how_to/optimize_operators/opt_conv_cuda.rst.txt
@@ -331,7 +331,7 @@ latency of convolution.
 
  .. code-block:: none
 
-    Convolution: 37.394462 ms
+    Convolution: 37.716030 ms
 
 
 
diff --git a/docs/_sources/how_to/optimize_operators/opt_conv_tensorcore.rst.txt b/docs/_sources/how_to/optimize_operators/opt_conv_tensorcore.rst.txt
index fa585e66ff..86938d4bc2 100644
--- a/docs/_sources/how_to/optimize_operators/opt_conv_tensorcore.rst.txt
+++ b/docs/_sources/how_to/optimize_operators/opt_conv_tensorcore.rst.txt
@@ -598,7 +598,7 @@ be able to run on our build server
 
  .. code-block:: none
 
-    conv2d with tensor core: 12.273107 ms
+    conv2d with tensor core: 12.264448 ms
 
 
 
diff --git a/docs/_sources/how_to/optimize_operators/opt_gemm.rst.txt b/docs/_sources/how_to/optimize_operators/opt_gemm.rst.txt
index ff4d355300..a4406e7140 100644
--- a/docs/_sources/how_to/optimize_operators/opt_gemm.rst.txt
+++ b/docs/_sources/how_to/optimize_operators/opt_gemm.rst.txt
@@ -134,8 +134,8 @@ Then we write a baseline implementation, the simplest way to write a matrix mult
 
  .. code-block:: none
 
-    Numpy running time: 0.017484
-    Baseline: 3.200752
+    Numpy running time: 0.018754
+    Baseline: 3.433402
 
 
 
@@ -227,7 +227,7 @@ fill 32 * 32 * sizeof(float) which is 4KB in the cache whose total size is 32KB
 
  .. code-block:: none
 
-    Opt1: 0.294598
+    Opt1: 0.317832
 
 
 
@@ -318,7 +318,7 @@ In this tutorial, we chose to vectorize the inner loop row data since it is cach
 
  .. code-block:: none
 
-    Opt2: 0.273858
+    Opt2: 0.304177
 
 
 
@@ -406,7 +406,7 @@ the access pattern for A matrix is more cache friendly.
 
  .. code-block:: none
 
-    Opt3: 0.109366
+    Opt3: 0.119378
 
 
 
@@ -523,7 +523,7 @@ flattening.
 
  .. code-block:: none
 
-    Opt4: 0.106350
+    Opt4: 0.108205
 
 
 
@@ -635,7 +635,7 @@ write to C when all the block results are ready.
 
  .. code-block:: none
 
-    Opt5: 0.110870
+    Opt5: 0.112157
 
 
 
@@ -748,7 +748,7 @@ Furthermore, we can also utilize multi-core processors to do the thread-level pa
 
  .. code-block:: none
 
-    Opt6: 0.131637
+    Opt6: 0.134299
 
 
 
diff --git a/docs/_sources/how_to/optimize_operators/sg_execution_times.rst.txt b/docs/_sources/how_to/optimize_operators/sg_execution_times.rst.txt
index b4f2c4b200..be9ab6bb6e 100644
--- a/docs/_sources/how_to/optimize_operators/sg_execution_times.rst.txt
+++ b/docs/_sources/how_to/optimize_operators/sg_execution_times.rst.txt
@@ -5,12 +5,12 @@
 
 Computation times
 =================
-**00:33.062** total execution time for **how_to_optimize_operators** files:
+**00:35.131** total execution time for **how_to_optimize_operators** files:
 
 +-----------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_optimize_operators_opt_gemm.py` (``opt_gemm.py``)                       | 00:29.878 | 0.0 MB |
+| :ref:`sphx_glr_how_to_optimize_operators_opt_gemm.py` (``opt_gemm.py``)                       | 00:31.673 | 0.0 MB |
 +-----------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_optimize_operators_opt_conv_tensorcore.py` (``opt_conv_tensorcore.py``) | 00:02.000 | 0.0 MB |
+| :ref:`sphx_glr_how_to_optimize_operators_opt_conv_tensorcore.py` (``opt_conv_tensorcore.py``) | 00:02.099 | 0.0 MB |
 +-----------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_optimize_operators_opt_conv_cuda.py` (``opt_conv_cuda.py``)             | 00:01.183 | 0.0 MB |
+| :ref:`sphx_glr_how_to_optimize_operators_opt_conv_cuda.py` (``opt_conv_cuda.py``)             | 00:01.359 | 0.0 MB |
 +-----------------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/how_to/tune_with_autoscheduler/sg_execution_times.rst.txt b/docs/_sources/how_to/tune_with_autoscheduler/sg_execution_times.rst.txt
index 2f534798c7..ff6a118974 100644
--- a/docs/_sources/how_to/tune_with_autoscheduler/sg_execution_times.rst.txt
+++ b/docs/_sources/how_to/tune_with_autoscheduler/sg_execution_times.rst.txt
@@ -5,18 +5,18 @@
 
 Computation times
 =================
-**03:30.279** total execution time for **how_to_tune_with_autoscheduler** files:
+**03:38.594** total execution time for **how_to_tune_with_autoscheduler** files:
 
 +----------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_network_x86.py` (``tune_network_x86.py``)             | 01:30.059 | 0.0 MB |
+| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_network_x86.py` (``tune_network_x86.py``)             | 01:34.397 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_network_cuda.py` (``tune_network_cuda.py``)           | 01:11.139 | 0.0 MB |
+| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_network_cuda.py` (``tune_network_cuda.py``)           | 01:13.332 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_conv2d_layer_cuda.py` (``tune_conv2d_layer_cuda.py``) | 00:17.478 | 0.0 MB |
+| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_conv2d_layer_cuda.py` (``tune_conv2d_layer_cuda.py``) | 00:17.549 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_network_arm.py` (``tune_network_arm.py``)             | 00:16.043 | 0.0 MB |
+| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_network_arm.py` (``tune_network_arm.py``)             | 00:16.774 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_network_mali.py` (``tune_network_mali.py``)           | 00:15.458 | 0.0 MB |
+| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_network_mali.py` (``tune_network_mali.py``)           | 00:16.440 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_sparse_x86.py` (``tune_sparse_x86.py``)               | 00:00.103 | 0.0 MB |
+| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_sparse_x86.py` (``tune_sparse_x86.py``)               | 00:00.102 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/how_to/tune_with_autoscheduler/tune_conv2d_layer_cuda.rst.txt b/docs/_sources/how_to/tune_with_autoscheduler/tune_conv2d_layer_cuda.rst.txt
index 308e1e8477..b2444d5fa2 100644
--- a/docs/_sources/how_to/tune_with_autoscheduler/tune_conv2d_layer_cuda.rst.txt
+++ b/docs/_sources/how_to/tune_with_autoscheduler/tune_conv2d_layer_cuda.rst.txt
@@ -766,7 +766,7 @@ We build the binary and check its correctness and performance.
 
  .. code-block:: none
 
-    Execution time of this operator: 0.348 ms
+    Execution time of this operator: 0.342 ms
 
 
 
diff --git a/docs/_sources/how_to/tune_with_autoscheduler/tune_network_cuda.rst.txt b/docs/_sources/how_to/tune_with_autoscheduler/tune_network_cuda.rst.txt
index 6ab46e924f..2121216496 100644
--- a/docs/_sources/how_to/tune_with_autoscheduler/tune_network_cuda.rst.txt
+++ b/docs/_sources/how_to/tune_with_autoscheduler/tune_network_cuda.rst.txt
@@ -647,7 +647,7 @@ so we can read the log file and load the best schedules.
     Evaluate inference time cost...
     Execution time summary:
      mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)  
-       3.2687       3.2699       3.2712       3.2651       0.0026                  
+       3.2445       3.2427       3.2497       3.2410       0.0038                  
 
 
 
@@ -674,7 +674,7 @@ Other Tips
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  11.139 seconds)
+   **Total running time of the script:** ( 1 minutes  13.332 seconds)
 
 
 .. _sphx_glr_download_how_to_tune_with_autoscheduler_tune_network_cuda.py:
diff --git a/docs/_sources/how_to/tune_with_autoscheduler/tune_network_x86.rst.txt b/docs/_sources/how_to/tune_with_autoscheduler/tune_network_x86.rst.txt
index 9af8489c3b..a56ebaed67 100644
--- a/docs/_sources/how_to/tune_with_autoscheduler/tune_network_x86.rst.txt
+++ b/docs/_sources/how_to/tune_with_autoscheduler/tune_network_x86.rst.txt
@@ -666,7 +666,7 @@ so we can read the log file and load the best schedules.
     Evaluate inference time cost...
     Execution time summary:
      mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)  
-      756.5339     756.5140     757.0487     756.0391      0.4124                  
+      767.1440     768.7540     770.2038     762.4741      3.3547                  
 
 
 
@@ -693,7 +693,7 @@ Other Tips
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  30.059 seconds)
+   **Total running time of the script:** ( 1 minutes  34.397 seconds)
 
 
 .. _sphx_glr_download_how_to_tune_with_autoscheduler_tune_network_x86.py:
diff --git a/docs/_sources/how_to/tune_with_autotvm/sg_execution_times.rst.txt b/docs/_sources/how_to/tune_with_autotvm/sg_execution_times.rst.txt
index 360fe03098..a509b17b8e 100644
--- a/docs/_sources/how_to/tune_with_autotvm/sg_execution_times.rst.txt
+++ b/docs/_sources/how_to/tune_with_autotvm/sg_execution_times.rst.txt
@@ -5,12 +5,12 @@
 
 Computation times
 =================
-**00:23.696** total execution time for **how_to_tune_with_autotvm** files:
+**00:23.864** total execution time for **how_to_tune_with_autotvm** files:
 
 +--------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_tune_with_autotvm_tune_conv2d_cuda.py` (``tune_conv2d_cuda.py``)           | 00:23.654 | 0.0 MB |
+| :ref:`sphx_glr_how_to_tune_with_autotvm_tune_conv2d_cuda.py` (``tune_conv2d_cuda.py``)           | 00:23.825 | 0.0 MB |
 +--------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_tune_with_autotvm_tune_relay_x86.py` (``tune_relay_x86.py``)               | 00:00.025 | 0.0 MB |
+| :ref:`sphx_glr_how_to_tune_with_autotvm_tune_relay_x86.py` (``tune_relay_x86.py``)               | 00:00.023 | 0.0 MB |
 +--------------------------------------------------------------------------------------------------+-----------+--------+
 | :ref:`sphx_glr_how_to_tune_with_autotvm_tune_relay_cuda.py` (``tune_relay_cuda.py``)             | 00:00.006 | 0.0 MB |
 +--------------------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/how_to/tune_with_autotvm/tune_conv2d_cuda.rst.txt b/docs/_sources/how_to/tune_with_autotvm/tune_conv2d_cuda.rst.txt
index cf00a067fa..c347dd11a7 100644
--- a/docs/_sources/how_to/tune_with_autotvm/tune_conv2d_cuda.rst.txt
+++ b/docs/_sources/how_to/tune_with_autotvm/tune_conv2d_cuda.rst.txt
@@ -326,7 +326,7 @@ and measure running time.
 
     Best config:
     ,None
-    Time cost of this operator: 0.037294
+    Time cost of this operator: 0.037275
 
 
 
diff --git a/docs/_sources/how_to/work_with_microtvm/micro_autotune.rst.txt b/docs/_sources/how_to/work_with_microtvm/micro_autotune.rst.txt
index 54cf1bc58e..fc09d3a0ef 100644
--- a/docs/_sources/how_to/work_with_microtvm/micro_autotune.rst.txt
+++ b/docs/_sources/how_to/work_with_microtvm/micro_autotune.rst.txt
@@ -360,10 +360,10 @@ Timing the untuned program
     ########## Build without Autotuning ##########
     Node Name                                     Ops                                           Time(us)  Time(%)  Shape              Inputs  Outputs  Measurements(us)  
     ---------                                     ---                                           --------  -------  -----              ------  -------  ----------------  
-    tvmgen_default_fused_nn_contrib_conv2d_NCHWc  tvmgen_default_fused_nn_contrib_conv2d_NCHWc  302.8     98.75    (1, 2, 10, 10, 3)  2       1        [302.8]           
-    tvmgen_default_fused_layout_transform_1       tvmgen_default_fused_layout_transform_1       2.881     0.94     (1, 6, 10, 10)     1       1        [2.881]           
-    tvmgen_default_fused_layout_transform         tvmgen_default_fused_layout_transform         0.953     0.311    (1, 1, 10, 10, 3)  1       1        [0.953]           
-    Total_time                                    -                                             306.634   -        -                  -       -        -                 
+    tvmgen_default_fused_nn_contrib_conv2d_NCHWc  tvmgen_default_fused_nn_contrib_conv2d_NCHWc  308.6     98.762   (1, 2, 10, 10, 3)  2       1        [308.6]           
+    tvmgen_default_fused_layout_transform_1       tvmgen_default_fused_layout_transform_1       2.881     0.922    (1, 6, 10, 10)     1       1        [2.881]           
+    tvmgen_default_fused_layout_transform         tvmgen_default_fused_layout_transform         0.987     0.316    (1, 1, 10, 10, 3)  1       1        [0.987]           
+    Total_time                                    -                                             312.469   -        -                  -       -        -                 
 
 
 
@@ -428,10 +428,10 @@ Timing the tuned program
     ########## Build with Autotuning ##########
     Node Name                                     Ops                                           Time(us)  Time(%)  Shape              Inputs  Outputs  Measurements(us)  
     ---------                                     ---                                           --------  -------  -----              ------  -------  ----------------  
-    tvmgen_default_fused_nn_contrib_conv2d_NCHWc  tvmgen_default_fused_nn_contrib_conv2d_NCHWc  100.3     97.33    (1, 6, 10, 10, 1)  2       1        [100.3]           
-    tvmgen_default_fused_layout_transform_1       tvmgen_default_fused_layout_transform_1       1.79      1.737    (1, 6, 10, 10)     1       1        [1.79]            
-    tvmgen_default_fused_layout_transform         tvmgen_default_fused_layout_transform         0.962     0.933    (1, 1, 10, 10, 3)  1       1        [0.962]           
-    Total_time                                    -                                             103.052   -        -                  -       -        -                 
+    tvmgen_default_fused_nn_contrib_conv2d_NCHWc  tvmgen_default_fused_nn_contrib_conv2d_NCHWc  104.6     97.447   (1, 6, 10, 10, 1)  2       1        [104.6]           
+    tvmgen_default_fused_layout_transform_1       tvmgen_default_fused_layout_transform_1       1.891     1.762    (1, 6, 10, 10)     1       1        [1.891]           
+    tvmgen_default_fused_layout_transform         tvmgen_default_fused_layout_transform         0.849     0.791    (1, 3, 10, 10, 1)  1       1        [0.849]           
+    Total_time                                    -                                             107.34    -        -                  -       -        -                 
 
 
 
@@ -439,7 +439,7 @@ Timing the tuned program
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  23.014 seconds)
+   **Total running time of the script:** ( 1 minutes  28.395 seconds)
 
 
 .. _sphx_glr_download_how_to_work_with_microtvm_micro_autotune.py:
diff --git a/docs/_sources/how_to/work_with_microtvm/micro_pytorch.rst.txt b/docs/_sources/how_to/work_with_microtvm/micro_pytorch.rst.txt
index f1d557fb93..6d427fba29 100644
--- a/docs/_sources/how_to/work_with_microtvm/micro_pytorch.rst.txt
+++ b/docs/_sources/how_to/work_with_microtvm/micro_pytorch.rst.txt
@@ -118,7 +118,7 @@ download a cat image and preprocess it to use as the model input.
     /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torch/ao/quantization/utils.py:310: UserWarning: must run observer before calling calculate_qparams. Returning default values.
       warnings.warn(
     Downloading: "https://download.pytorch.org/models/quantized/mobilenet_v2_qnnpack_37f702c5.pth" to /workspace/.cache/torch/hub/checkpoints/mobilenet_v2_qnnpack_37f702c5.pth
-
      0%|          | 0.00/3.42M [00:00<?, ?B/s]
     61%|######    | 2.09M/3.42M [00:00<00:00, 4.62MB/s]
    100%|##########| 3.42M/3.42M [00:00<00:00, 7.51MB/s]
+
      0%|          | 0.00/3.42M [00:00<?, ?B/s]
     61%|######    | 2.09M/3.42M [00:00<00:00, 4.92MB/s]
    100%|##########| 3.42M/3.42M [00:00<00:00, 7.96MB/s]
     /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torch/_utils.py:314: UserWarning: TypedStorage is deprecated. It will be removed in the future and UntypedStorage will be the only storage class. This should only matter to you if you are using storages directly.  To access UntypedStorage directly, use tensor.untyped_storage() instead of tensor.storage()
       device=storage.device,
     /workspace/python/tvm/relay/frontend/pytorch_utils.py:47: DeprecationWarning: distutils Version classes are deprecated. Use packaging.version instead.
@@ -326,7 +326,7 @@ Look up prediction top 1 index in 1000 class synset.
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  25.558 seconds)
+   **Total running time of the script:** ( 1 minutes  30.321 seconds)
 
 
 .. _sphx_glr_download_how_to_work_with_microtvm_micro_pytorch.py:
diff --git a/docs/_sources/how_to/work_with_microtvm/micro_train.rst.txt b/docs/_sources/how_to/work_with_microtvm/micro_train.rst.txt
index f4ed03513e..de40e982b5 100644
--- a/docs/_sources/how_to/work_with_microtvm/micro_train.rst.txt
+++ b/docs/_sources/how_to/work_with_microtvm/micro_train.rst.txt
@@ -217,7 +217,7 @@ take about **2 minutes** to download the Stanford Cars, while COCO 2017 validati
  .. code-block:: none
 
 
-    '/tmp/tmprgrucuqn/images/random'
+    '/tmp/tmpj6s0wly9/images/random'
 
 
 
@@ -317,8 +317,8 @@ objects to other stuff? We can display some examples from our datasets using ``m
 
  .. code-block:: none
 
-    /tmp/tmprgrucuqn/images/target contains 8144 images
-    /tmp/tmprgrucuqn/images/random contains 5000 images
+    /tmp/tmpj6s0wly9/images/target contains 8144 images
+    /tmp/tmpj6s0wly9/images/random contains 5000 images
 
 
 
@@ -493,13 +493,13 @@ the time on our validation set).
  .. code-block:: none
 
     Epoch 1/3
-    328/328 - 40s - loss: 0.2188 - accuracy: 0.9230 - val_loss: 0.1100 - val_accuracy: 0.9585 - 40s/epoch - 123ms/step
+    328/328 - 42s - loss: 0.2165 - accuracy: 0.9237 - val_loss: 0.1030 - val_accuracy: 0.9653 - 42s/epoch - 128ms/step
     Epoch 2/3
-    328/328 - 35s - loss: 0.0986 - accuracy: 0.9633 - val_loss: 0.0946 - val_accuracy: 0.9622 - 35s/epoch - 107ms/step
+    328/328 - 36s - loss: 0.1002 - accuracy: 0.9646 - val_loss: 0.1067 - val_accuracy: 0.9619 - 36s/epoch - 109ms/step
     Epoch 3/3
-    328/328 - 35s - loss: 0.0656 - accuracy: 0.9762 - val_loss: 0.0915 - val_accuracy: 0.9683 - 35s/epoch - 107ms/step
+    328/328 - 36s - loss: 0.0649 - accuracy: 0.9747 - val_loss: 0.0956 - val_accuracy: 0.9698 - 36s/epoch - 108ms/step
 
-    <keras.callbacks.History object at 0x7fcd322ecb50>
+    <keras.callbacks.History object at 0x7f650fa97d30>
 
 
 
@@ -860,7 +860,7 @@ Arduino tutorial for how to do that `on GitHub <https://github.com/guberti/tvm-a
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 5 minutes  34.435 seconds)
+   **Total running time of the script:** ( 5 minutes  22.994 seconds)
 
 
 .. _sphx_glr_download_how_to_work_with_microtvm_micro_train.py:
diff --git a/docs/_sources/how_to/work_with_microtvm/sg_execution_times.rst.txt b/docs/_sources/how_to/work_with_microtvm/sg_execution_times.rst.txt
index 5c78f37dbc..8f651005f4 100644
--- a/docs/_sources/how_to/work_with_microtvm/sg_execution_times.rst.txt
+++ b/docs/_sources/how_to/work_with_microtvm/sg_execution_times.rst.txt
@@ -5,20 +5,20 @@
 
 Computation times
 =================
-**08:51.188** total execution time for **how_to_work_with_microtvm** files:
+**08:51.841** total execution time for **how_to_work_with_microtvm** files:
 
 +-----------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_microtvm_micro_train.py` (``micro_train.py``)           | 05:34.435 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_microtvm_micro_train.py` (``micro_train.py``)           | 05:22.994 | 0.0 MB |
 +-----------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_microtvm_micro_pytorch.py` (``micro_pytorch.py``)       | 01:25.558 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_microtvm_micro_pytorch.py` (``micro_pytorch.py``)       | 01:30.321 | 0.0 MB |
 +-----------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_microtvm_micro_autotune.py` (``micro_autotune.py``)     | 01:23.014 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_microtvm_micro_autotune.py` (``micro_autotune.py``)     | 01:28.395 | 0.0 MB |
 +-----------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_microtvm_micro_aot.py` (``micro_aot.py``)               | 00:11.543 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_microtvm_micro_aot.py` (``micro_aot.py``)               | 00:12.073 | 0.0 MB |
 +-----------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_microtvm_micro_tflite.py` (``micro_tflite.py``)         | 00:08.503 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_microtvm_micro_tflite.py` (``micro_tflite.py``)         | 00:09.538 | 0.0 MB |
 +-----------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_microtvm_micro_custom_ide.py` (``micro_custom_ide.py``) | 00:08.135 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_microtvm_micro_custom_ide.py` (``micro_custom_ide.py``) | 00:08.520 | 0.0 MB |
 +-----------------------------------------------------------------------------------------+-----------+--------+
 | :ref:`sphx_glr_how_to_work_with_microtvm_micro_ethosu.py` (``micro_ethosu.py``)         | 00:00.000 | 0.0 MB |
 +-----------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/how_to/work_with_relay/sg_execution_times.rst.txt b/docs/_sources/how_to/work_with_relay/sg_execution_times.rst.txt
index 3ef31c4dd7..97abaa89a9 100644
--- a/docs/_sources/how_to/work_with_relay/sg_execution_times.rst.txt
+++ b/docs/_sources/how_to/work_with_relay/sg_execution_times.rst.txt
@@ -5,14 +5,14 @@
 
 Computation times
 =================
-**00:38.809** total execution time for **how_to_work_with_relay** files:
+**00:40.803** total execution time for **how_to_work_with_relay** files:
 
 +----------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_relay_using_pipeline_executor.py` (``using_pipeline_executor.py``) | 00:33.633 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_relay_using_pipeline_executor.py` (``using_pipeline_executor.py``) | 00:35.580 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_relay_using_external_lib.py` (``using_external_lib.py``)           | 00:03.133 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_relay_using_external_lib.py` (``using_external_lib.py``)           | 00:03.246 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_relay_build_gcn.py` (``build_gcn.py``)                             | 00:02.037 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_relay_build_gcn.py` (``build_gcn.py``)                             | 00:01.971 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------+-----------+--------+
 | :ref:`sphx_glr_how_to_work_with_relay_using_relay_viz.py` (``using_relay_viz.py``)                 | 00:00.006 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/how_to/work_with_schedules/intrin_math.rst.txt b/docs/_sources/how_to/work_with_schedules/intrin_math.rst.txt
index 8759cb61d9..a766dcda07 100644
--- a/docs/_sources/how_to/work_with_schedules/intrin_math.rst.txt
+++ b/docs/_sources/how_to/work_with_schedules/intrin_math.rst.txt
@@ -281,7 +281,7 @@ The following example customizes CUDA lowering rule for :code:`exp`.
  .. code-block:: none
 
 
-    <function my_cuda_math_rule at 0x7fce8b2fcee0>
+    <function my_cuda_math_rule at 0x7f651e9cca60>
 
 
 
diff --git a/docs/_sources/how_to/work_with_schedules/sg_execution_times.rst.txt b/docs/_sources/how_to/work_with_schedules/sg_execution_times.rst.txt
index 51d980c1cb..a82bdaf2da 100644
--- a/docs/_sources/how_to/work_with_schedules/sg_execution_times.rst.txt
+++ b/docs/_sources/how_to/work_with_schedules/sg_execution_times.rst.txt
@@ -5,22 +5,22 @@
 
 Computation times
 =================
-**00:06.389** total execution time for **how_to_work_with_schedules** files:
+**00:09.759** total execution time for **how_to_work_with_schedules** files:
 
 +------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_schedules_intrin_math.py` (``intrin_math.py``)                 | 00:03.332 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_schedules_intrin_math.py` (``intrin_math.py``)                 | 00:06.370 | 0.0 MB |
 +------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_schedules_tensorize.py` (``tensorize.py``)                     | 00:01.287 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_schedules_tensorize.py` (``tensorize.py``)                     | 00:01.548 | 0.0 MB |
 +------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_schedules_reduction.py` (``reduction.py``)                     | 00:00.754 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_schedules_reduction.py` (``reduction.py``)                     | 00:00.784 | 0.0 MB |
 +------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_schedules_scan.py` (``scan.py``)                               | 00:00.735 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_schedules_scan.py` (``scan.py``)                               | 00:00.764 | 0.0 MB |
 +------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_schedules_extern_op.py` (``extern_op.py``)                     | 00:00.117 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_schedules_extern_op.py` (``extern_op.py``)                     | 00:00.118 | 0.0 MB |
 +------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_schedules_schedule_primitives.py` (``schedule_primitives.py``) | 00:00.068 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_schedules_schedule_primitives.py` (``schedule_primitives.py``) | 00:00.071 | 0.0 MB |
 +------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_schedules_tedd.py` (``tedd.py``)                               | 00:00.065 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_schedules_tedd.py` (``tedd.py``)                               | 00:00.069 | 0.0 MB |
 +------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_schedules_tuple_inputs.py` (``tuple_inputs.py``)               | 00:00.031 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_schedules_tuple_inputs.py` (``tuple_inputs.py``)               | 00:00.033 | 0.0 MB |
 +------------------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/topic/vta/tutorials/autotvm/sg_execution_times.rst.txt b/docs/_sources/topic/vta/tutorials/autotvm/sg_execution_times.rst.txt
index aeb420640a..706fade3b3 100644
--- a/docs/_sources/topic/vta/tutorials/autotvm/sg_execution_times.rst.txt
+++ b/docs/_sources/topic/vta/tutorials/autotvm/sg_execution_times.rst.txt
@@ -5,10 +5,10 @@
 
 Computation times
 =================
-**00:34.228** total execution time for **topic_vta_tutorials_autotvm** files:
+**00:36.431** total execution time for **topic_vta_tutorials_autotvm** files:
 
 +---------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_topic_vta_tutorials_autotvm_tune_relay_vta.py` (``tune_relay_vta.py``) | 00:34.220 | 0.0 MB |
+| :ref:`sphx_glr_topic_vta_tutorials_autotvm_tune_relay_vta.py` (``tune_relay_vta.py``) | 00:36.423 | 0.0 MB |
 +---------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_topic_vta_tutorials_autotvm_tune_alu_vta.py` (``tune_alu_vta.py``)     | 00:00.007 | 0.0 MB |
+| :ref:`sphx_glr_topic_vta_tutorials_autotvm_tune_alu_vta.py` (``tune_alu_vta.py``)     | 00:00.008 | 0.0 MB |
 +---------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/topic/vta/tutorials/frontend/deploy_classification.rst.txt b/docs/_sources/topic/vta/tutorials/frontend/deploy_classification.rst.txt
index 8f9eecc722..3a169445f4 100644
--- a/docs/_sources/topic/vta/tutorials/frontend/deploy_classification.rst.txt
+++ b/docs/_sources/topic/vta/tutorials/frontend/deploy_classification.rst.txt
@@ -293,7 +293,7 @@ The compilation steps are:
       warnings.warn(
     /workspace/vta/tutorials/frontend/deploy_classification.py:212: DeprecationWarning: legacy graph executor behavior of producing json / lib / params will be removed in the next release. Please see documents of tvm.contrib.graph_executor.GraphModule for the  new recommended usage.
       graph, lib, params = relay.build(
-    resnet18_v1 inference graph built in 36.03s!
+    resnet18_v1 inference graph built in 38.65s!
 
 
 
@@ -416,7 +416,7 @@ and an input test image.
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  3.145 seconds)
+   **Total running time of the script:** ( 1 minutes  6.068 seconds)
 
 
 .. _sphx_glr_download_topic_vta_tutorials_frontend_deploy_classification.py:
diff --git a/docs/_sources/topic/vta/tutorials/frontend/deploy_detection.rst.txt b/docs/_sources/topic/vta/tutorials/frontend/deploy_detection.rst.txt
index b7a13a0b4c..9de2837218 100644
--- a/docs/_sources/topic/vta/tutorials/frontend/deploy_detection.rst.txt
+++ b/docs/_sources/topic/vta/tutorials/frontend/deploy_detection.rst.txt
@@ -337,7 +337,7 @@ The compilation steps are:
 
     /workspace/python/tvm/relay/build_module.py:345: DeprecationWarning: Please use input parameter mod (tvm.IRModule) instead of deprecated parameter mod (tvm.relay.function.Function)
       warnings.warn(
-    yolov3-tiny inference graph built in 24.87s!
+    yolov3-tiny inference graph built in 26.66s!
 
 
 
@@ -447,7 +447,7 @@ Download test image
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  8.939 seconds)
+   **Total running time of the script:** ( 1 minutes  10.839 seconds)
 
 
 .. _sphx_glr_download_topic_vta_tutorials_frontend_deploy_detection.py:
diff --git a/docs/_sources/topic/vta/tutorials/frontend/sg_execution_times.rst.txt b/docs/_sources/topic/vta/tutorials/frontend/sg_execution_times.rst.txt
index 5649f78cc3..a2b8bad2b7 100644
--- a/docs/_sources/topic/vta/tutorials/frontend/sg_execution_times.rst.txt
+++ b/docs/_sources/topic/vta/tutorials/frontend/sg_execution_times.rst.txt
@@ -5,10 +5,10 @@
 
 Computation times
 =================
-**02:12.084** total execution time for **topic_vta_tutorials_frontend** files:
+**02:16.906** total execution time for **topic_vta_tutorials_frontend** files:
 
 +------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_topic_vta_tutorials_frontend_deploy_detection.py` (``deploy_detection.py``)           | 01:08.939 | 0.0 MB |
+| :ref:`sphx_glr_topic_vta_tutorials_frontend_deploy_detection.py` (``deploy_detection.py``)           | 01:10.839 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_topic_vta_tutorials_frontend_deploy_classification.py` (``deploy_classification.py``) | 01:03.145 | 0.0 MB |
+| :ref:`sphx_glr_topic_vta_tutorials_frontend_deploy_classification.py` (``deploy_classification.py``) | 01:06.068 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/topic/vta/tutorials/optimize/sg_execution_times.rst.txt b/docs/_sources/topic/vta/tutorials/optimize/sg_execution_times.rst.txt
index 7d297febb0..b6fdb99daa 100644
--- a/docs/_sources/topic/vta/tutorials/optimize/sg_execution_times.rst.txt
+++ b/docs/_sources/topic/vta/tutorials/optimize/sg_execution_times.rst.txt
@@ -5,10 +5,10 @@
 
 Computation times
 =================
-**00:03.392** total execution time for **topic_vta_tutorials_optimize** files:
+**00:03.455** total execution time for **topic_vta_tutorials_optimize** files:
 
 +--------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_topic_vta_tutorials_optimize_convolution_opt.py` (``convolution_opt.py``)         | 00:02.867 | 0.0 MB |
+| :ref:`sphx_glr_topic_vta_tutorials_optimize_convolution_opt.py` (``convolution_opt.py``)         | 00:02.905 | 0.0 MB |
 +--------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_topic_vta_tutorials_optimize_matrix_multiply_opt.py` (``matrix_multiply_opt.py``) | 00:00.526 | 0.0 MB |
+| :ref:`sphx_glr_topic_vta_tutorials_optimize_matrix_multiply_opt.py` (``matrix_multiply_opt.py``) | 00:00.549 | 0.0 MB |
 +--------------------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/topic/vta/tutorials/sg_execution_times.rst.txt b/docs/_sources/topic/vta/tutorials/sg_execution_times.rst.txt
index a2c1ed1159..f120a2d866 100644
--- a/docs/_sources/topic/vta/tutorials/sg_execution_times.rst.txt
+++ b/docs/_sources/topic/vta/tutorials/sg_execution_times.rst.txt
@@ -5,10 +5,10 @@
 
 Computation times
 =================
-**00:00.875** total execution time for **topic_vta_tutorials** files:
+**00:00.930** total execution time for **topic_vta_tutorials** files:
 
 +---------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_topic_vta_tutorials_matrix_multiply.py` (``matrix_multiply.py``) | 00:00.450 | 0.0 MB |
+| :ref:`sphx_glr_topic_vta_tutorials_matrix_multiply.py` (``matrix_multiply.py``) | 00:00.481 | 0.0 MB |
 +---------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_topic_vta_tutorials_vta_get_started.py` (``vta_get_started.py``) | 00:00.425 | 0.0 MB |
+| :ref:`sphx_glr_topic_vta_tutorials_vta_get_started.py` (``vta_get_started.py``) | 00:00.448 | 0.0 MB |
 +---------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/tutorial/auto_scheduler_matmul_x86.rst.txt b/docs/_sources/tutorial/auto_scheduler_matmul_x86.rst.txt
index 463d4e5564..6e16f4b363 100644
--- a/docs/_sources/tutorial/auto_scheduler_matmul_x86.rst.txt
+++ b/docs/_sources/tutorial/auto_scheduler_matmul_x86.rst.txt
@@ -207,13 +207,6 @@ trials, we can load the best schedule from the log file and apply it.
 
 
 
-.. rst-class:: sphx-glr-script-out
-
- .. code-block:: none
-
-    *E
-
-
 
 
 
@@ -325,7 +318,7 @@ We build the binary and check its correctness and performance.
 
  .. code-block:: none
 
-    Execution time of this operator: 95.261 ms
+    Execution time of this operator: 94.027 ms
 
 
 
@@ -441,7 +434,7 @@ operations.
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  32.887 seconds)
+   **Total running time of the script:** ( 1 minutes  29.828 seconds)
 
 
 .. _sphx_glr_download_tutorial_auto_scheduler_matmul_x86.py:
diff --git a/docs/_sources/tutorial/autotvm_matmul_x86.rst.txt b/docs/_sources/tutorial/autotvm_matmul_x86.rst.txt
index 13ef8cf087..f604070371 100644
--- a/docs/_sources/tutorial/autotvm_matmul_x86.rst.txt
+++ b/docs/_sources/tutorial/autotvm_matmul_x86.rst.txt
@@ -454,16 +454,16 @@ reduce variance, we take 5 measurements and average them.
     waiting for device...
     device available
     Get devices for measurement successfully!
-    No: 1   GFLOPS: 11.48/11.48     result: MeasureResult(costs=(0.0233807554,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.6335878372192383, timestamp=1694458413.4182088)       [('tile_y', [-1, 32]), ('tile_x', [-1, 512])],None,95
-    No: 2   GFLOPS: 5.95/11.48      result: MeasureResult(costs=(0.0451233262,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.9610412120819092, timestamp=1694458414.3951511)       [('tile_y', [-1, 1]), ('tile_x', [-1, 4])],None,20
-    No: 3   GFLOPS: 12.11/12.11     result: MeasureResult(costs=(0.0221696152,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.629791259765625, timestamp=1694458415.0074625)        [('tile_y', [-1, 16]), ('tile_x', [-1, 16])],None,44
-    No: 4   GFLOPS: 9.05/12.11      result: MeasureResult(costs=(0.0296757462,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.7296693325042725, timestamp=1694458415.7390516)       [('tile_y', [-1, 256]), ('tile_x', [-1, 16])],None,48
-    No: 5   GFLOPS: 13.74/13.74     result: MeasureResult(costs=(0.0195410336,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.6325600147247314, timestamp=1694458416.534681)        [('tile_y', [-1, 128]), ('tile_x', [-1, 64])],None,67
-    No: 6   GFLOPS: 2.13/13.74      result: MeasureResult(costs=(0.1259638444,), error_no=MeasureErrorNo.NO_ERROR, all_cost=2.2803854942321777, timestamp=1694458418.808116)        [('tile_y', [-1, 32]), ('tile_x', [-1, 4])],None,25
-    No: 7   GFLOPS: 12.90/13.74     result: MeasureResult(costs=(0.020810600800000002,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.7001931667327881, timestamp=1694458419.405369)        [('tile_y', [-1, 256]), ('tile_x', [-1, 128])],None,78
-    No: 8   GFLOPS: 2.05/13.74      result: MeasureResult(costs=(0.1312056582,), error_no=MeasureErrorNo.NO_ERROR, all_cost=2.3531384468078613, timestamp=1694458421.759663)        [('tile_y', [-1, 256]), ('tile_x', [-1, 4])],None,28
-    No: 9   GFLOPS: 11.10/13.74     result: MeasureResult(costs=(0.024180552,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.6201024055480957, timestamp=1694458422.4953978)        [('tile_y', [-1, 8]), ('tile_x', [-1, 512])],None,93
-    No: 10  GFLOPS: 10.49/13.74     result: MeasureResult(costs=(0.0255952322,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.6382443904876709, timestamp=1694458423.1593802)       [('tile_y', [-1, 8]), ('tile_x', [-1, 16])],None,43
+    No: 1   GFLOPS: 10.97/10.97     result: MeasureResult(costs=(0.024480559,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.6535546779632568, timestamp=1694478358.1835053)        [('tile_y', [-1, 256]), ('tile_x', [-1, 512])],None,98
+    No: 2   GFLOPS: 10.92/10.97     result: MeasureResult(costs=(0.0245758038,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.6554069519042969, timestamp=1694478358.8346965)       [('tile_y', [-1, 2]), ('tile_x', [-1, 32])],None,51
+    No: 3   GFLOPS: 11.12/11.12     result: MeasureResult(costs=(0.0241504482,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.6345679759979248, timestamp=1694478359.4884417)       [('tile_y', [-1, 32]), ('tile_x', [-1, 512])],None,95
+    No: 4   GFLOPS: 1.62/11.12      result: MeasureResult(costs=(0.1659211502,), error_no=MeasureErrorNo.NO_ERROR, all_cost=2.9103546142578125, timestamp=1694478362.4038994)       [('tile_y', [-1, 16]), ('tile_x', [-1, 1])],None,4
+    No: 5   GFLOPS: 11.19/11.19     result: MeasureResult(costs=(0.0239976282,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.6705803871154785, timestamp=1694478363.199061)        [('tile_y', [-1, 128]), ('tile_x', [-1, 32])],None,57
+    No: 6   GFLOPS: 0.51/11.19      result: MeasureResult(costs=(0.5280925008,), error_no=MeasureErrorNo.NO_ERROR, all_cost=8.717654466629028, timestamp=1694478371.9076557)        [('tile_y', [-1, 128]), ('tile_x', [-1, 1])],None,7
+    No: 7   GFLOPS: 11.68/11.68     result: MeasureResult(costs=(0.0229882406,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.6719496250152588, timestamp=1694478372.5450892)       [('tile_y', [-1, 8]), ('tile_x', [-1, 256])],None,83
+    No: 8   GFLOPS: 10.68/11.68     result: MeasureResult(costs=(0.0251413438,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.6791331768035889, timestamp=1694478373.2152972)       [('tile_y', [-1, 256]), ('tile_x', [-1, 256])],None,88
+    No: 9   GFLOPS: 11.65/11.68     result: MeasureResult(costs=(0.0230323118,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.6062197685241699, timestamp=1694478373.9330184)       [('tile_y', [-1, 32]), ('tile_x', [-1, 32])],None,55
+    No: 10  GFLOPS: 3.64/11.68      result: MeasureResult(costs=(0.07369955619999999,), error_no=MeasureErrorNo.NO_ERROR, all_cost=1.4113316535949707, timestamp=1694478375.3736925)        [('tile_y', [-1, 128]), ('tile_x', [-1, 8])],None,37
 
 
 
diff --git a/docs/_sources/tutorial/autotvm_relay_x86.rst.txt b/docs/_sources/tutorial/autotvm_relay_x86.rst.txt
index 366aeb84cb..e4b9c9bf3b 100644
--- a/docs/_sources/tutorial/autotvm_relay_x86.rst.txt
+++ b/docs/_sources/tutorial/autotvm_relay_x86.rst.txt
@@ -311,7 +311,7 @@ standard deviation.
 
  .. code-block:: none
 
-    {'mean': 488.051624159998, 'median': 487.0145931001389, 'std': 3.6031003135280066}
+    {'mean': 497.0907632900344, 'median': 497.0771257999331, 'std': 3.0660985668566254}
 
 
 
@@ -582,29 +582,28 @@ the tuning data to.
 
  .. code-block:: none
 
-
    [Task  1/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  1/25]  Current/Best:   20.78/  20.78 GFLOPS | Progress: (4/20) | 8.65 s
    [Task  1/25]  Current/Best:   12.71/  20.78 GFLOPS | Progress: (8/20) | 11.36 s
    [Task  1/25]  Current/Best:   12.35/  20.78 GFLOPS | Progress: (12/20) | 14.17 s
    [Task  1/25]  Current/Best:    6.34/  20.78 GFLOPS | Progress: (16/20) | 16.75 s
    [Task  1/25]  Current/Best:    6.88/  20.78 GFLOPS | Progress: (20/20) | 20.86 s Done.
-
    [Task  2/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  2/25]  Current/Best:   13.07/  13.42 GFLOPS | Progress: (4/20) | 4.64 s
    [Task  2/25]  Current/Best:   17.28/  19.32 GFLOPS | Progress: (8/20) | 6.23 s
    [Task  2/25]  Current/Best:    5.25/  19.32 GFLOPS | Progress: (12/20) | 7.89 s
    [Task  2/25]  Current/Best:   22.67/  22.67 GFLOPS | Progress: (16/20) | 9.53 s
    [Task  2/25]  Current/Best:   14.34/  22.67 GFLOPS | Progress: (20/20) | 11.00 s Done.
-
    [Task  3/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  3/25]  Current/Best:   17.40/  22.57 GFLOPS | Progress: (4/20) | 5.16 s
    [Task  3/25]  Current/Best:   12.64/  23.20 GFLOPS | Progress: (8/20) | 7.63 s
    [Task  3/25]  Current/Best:   15.49/  23.20 GFLOPS | Progress: (12/20) | 10.33 s
    [Task  3/25]  Current/Best:   14.14/  23.20 GFLOPS | Progress: (16/20) | 12.78 s
    [Task  3/25]  Current/Best:   12.39/  23.20 GFLOPS | Progress: (20/20) | 15.75 s Done.
-
    [Task  4/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  4/25]  Current/Best:    9.43/  20.19 GFLOPS | Progress: (4/20) | 4.48 s
    [Task  4/25]  Current/Best:    7.03/  20.19 GFLOPS | Progress: (8/20) | 6.57 s
    [Task  4/25]  Current/Best:   12.38/  20.19 GFLOPS | Progress: (12/20) | 8.69 s
    [Task  4/25]  Current/Best:   21.06/  21.06 GFLOPS | Progress: (16/20) | 11.60 s
    [Task  4/25]  Current/Best:   11.52/  21.06 GFLOPS | Progress: (20/20) | 13.56 s Done.
-
    [Task  5/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  5/25]  Current/Best:   16.23/  16.23 GFLOPS | Progress: (4/20) | 5.01 s
    [Task  5/25]  Current/Best:   20.44/  20.44 GFLOPS | Progress: (8/20) | 7.37 s
    [Task  5/25]  Current/Best:   16.01/  20.44 GFLOPS | Progress: (12/20) | 9.23 s
    [Task  5/25]  Current/Best:    4.02/  23.03 GFLOPS | Progress: (16/20) | 11.43 s
    [Task  5/25]  Current/Best:   12.95/  23.03 GFLOPS | Progress: (20/20) | 13.50 s Done.
-
    [Task  6/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  6/25]  Current/Best:   12.73/  15.13 GFLOPS | Progress: (4/20) | 4.94 s
    [Task  6/25]  Current/Best:   18.74/  18.74 GFLOPS | Progress: (8/20) | 6.87 s
    [Task  6/25]  Current/Best:   12.11/  18.74 GFLOPS | Progress: (12/20) | 9.75 s
    [Task  6/25]  Current/Best:    4.32/  18.74 GFLOPS | Progress: (16/20) | 12.62 s
    [Task  6/25]  Current/Best:   12.68/  18.74 GFLOPS | Progress: (20/20) | 14.89 s Done.
-
    [Task  7/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  7/25]  Current/Best:    5.64/  20.70 GFLOPS | Progress: (4/20) | 5.18 s
    [Task  7/25]  Current/Best:   15.57/  20.70 GFLOPS | Progress: (8/20) | 7.21 s
    [Task  7/25]  Current/Best:   13.41/  20.70 GFLOPS | Progress: (12/20) | 9.76 s
    [Task  7/25]  Current/Best:    2.79/  21.51 GFLOPS | Progress: (16/20) | 13.27 s
    [Task  7/25]  Current/Best:   21.35/  23.26 GFLOPS | Progress: (20/20) | 15.52 s Done.
-
    [Task  8/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  8/25]  Current/Best:   17.29/  17.29 GFLOPS | Progress: (4/20) | 5.32 s
    [Task  8/25]  Current/Best:   10.31/  17.29 GFLOPS | Progress: (8/20) | 8.05 s
    [Task  8/25]  Current/Best:   14.74/  17.29 GFLOPS | Progress: (12/20) | 11.02 s
    [Task  8/25]  Current/Best:   10.26/  17.53 GFLOPS | Progress: (16/20) | 16.26 s
    [Task  8/25]  Current/Best:   11.93/  17.53 GFLOPS | Progress: (20/20) | 19.71 s Done.
-
    [Task  9/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  9/25]  Current/Best:   11.69/  17.14 GFLOPS | Progress: (4/20) | 5.71 s
    [Task  9/25]  Current/Best:   18.34/  19.42 GFLOPS | Progress: (8/20) | 8.94 s
    [Task  9/25]  Current/Best:   21.96/  21.96 GFLOPS | Progress: (12/20) | 19.91 s
    [Task  9/25]  Current/Best:   10.16/  21.96 GFLOPS | Progress: (16/20) | 24.99 s
    [Task  9/25]  Current/Best:   10.56/  21.96 GFLOPS | Progress: (20/20) | 35.87 s Done.
-
    [Task 10/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 10/25]  Current/Best:   13.87/  15.94 GFLOPS | Progress: (4/20) | 4.90 s
    [Task 10/25]  Current/Best:    7.43/  15.94 GFLOPS | Progress: (8/20) | 7.06 s
    [Task 10/25]  Current/Best:   15.84/  15.94 GFLOPS | Progress: (12/20) | 9.37 s
    [Task 10/25]  Current/Best:   14.45/  16.39 GFLOPS | Progress: (16/20) | 11.65 s
    [Task 10/25]  Current/Best:   13.67/  16.39 GFLOPS | Progress: (20/20) | 14.35 s Done.
-
    [Task 11/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 11/25]  Current/Best:   23.71/  23.71 GFLOPS | Progress: (4/20) | 5.67 s
    [Task 11/25]  Current/Best:   20.09/  23.71 GFLOPS | Progress: (8/20) | 9.21 s
    [Task 11/25]  Current/Best:    6.29/  23.71 GFLOPS | Progress: (12/20) | 11.45 s
    [Task 11/25]  Current/Best:   22.26/  23.71 GFLOPS | Progress: (16/20) | 13.60 s
    [Task 11/25]  Current/Best:   21.31/  23.71 GFLOPS | Progress: (20/20) | 17.02 s Done.
-
    [Task 12/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 12/25]  Current/Best:    9.60/  14.75 GFLOPS | Progress: (4/20) | 7.45 s
    [Task 12/25]  Current/Best:   12.90/  18.45 GFLOPS | Progress: (8/20) | 10.00 s
    [Task 12/25]  Current/Best:    5.33/  18.45 GFLOPS | Progress: (12/20) | 12.53 s
    [Task 12/25]  Current/Best:    3.19/  18.45 GFLOPS | Progress: (16/20) | 15.40 s
    [Task 12/25]  Current/Best:   14.68/  18.45 GFLOPS | Progress: (20/20) | 20.81 s Done.
-
    [Task 13/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 13/25]  Current/Best:   12.29/  16.55 GFLOPS | Progress: (4/20) | 6.30 s
    [Task 13/25]  Current/Best:    9.46/  20.13 GFLOPS | Progress: (8/20) | 10.06 s
    [Task 13/25]  Current/Best:    7.97/  21.59 GFLOPS | Progress: (12/20) | 13.01 s
    [Task 13/25]  Current/Best:   18.45/  21.59 GFLOPS | Progress: (16/20) | 16.47 s
    [Task 13/25]  Current/Best:    8.34/  21.59 GFLOPS | Progress: (20/20) | 19.58 s Done.
-
    [Task 14/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 14/25]  Current/Best:    8.30/  13.36 GFLOPS | Progress: (4/20) | 12.18 s
    [Task 14/25]  Current/Best:   13.10/  15.09 GFLOPS | Progress: (8/20) | 15.31 s
    [Task 14/25]  Current/Best:   14.80/  15.09 GFLOPS | Progress: (12/20) | 18.96 s
    [Task 14/25]  Current/Best:    7.92/  15.09 GFLOPS | Progress: (16/20) | 26.43 s
    [Task 14/25]  Current/Best:   14.84/  16.97 GFLOPS | Progress: (20/20) | 28.63 s Done.
-
    [Task 15/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 15/25]  Current/Best:   17.85/  22.40 GFLOPS | Progress: (4/20) | 6.52 s
    [Task 15/25]  Current/Best:   19.68/  22.40 GFLOPS | Progress: (8/20) | 8.62 s
    [Task 15/25]  Current/Best:   12.90/  22.40 GFLOPS | Progress: (12/20) | 10.44 s
    [Task 15/25]  Current/Best:    7.05/  22.40 GFLOPS | Progress: (16/20) | 21.63 s
    [Task 15/25]  Current/Best:   15.09/  22.40 GFLOPS | Progress: (20/20) | 24.82 s
    [Task 16/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 16/25]  Current/Best:   21.53/  21.53 GFLOPS | Progress: (4/20) | 4.51 s
    [Task 16/25]  Current/Best:   17.14/  21.53 GFLOPS | Progress: (8/20) | 6.84 s
    [Task 16/25]  Current/Best:    9.30/  21.53 GFLOPS | Progress: (12/20) | 10.02 s
    [Task 16/25]  Current/Best:   18.23/  21.53 GFLOPS | Progress: (16/20) | 12.83 s
    [Task 16/25]  Current/Best:   16.18/  21.53 GFLOPS | Progress: (20/20
 ) | 14.65 s Done.
-
    [Task 17/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 17/25]  Current/Best:   11.52/  18.33 GFLOPS | Progress: (4/20) | 5.48 s
    [Task 17/25]  Current/Best:   12.18/  20.17 GFLOPS | Progress: (8/20) | 8.47 s
    [Task 17/25]  Current/Best:   10.62/  20.72 GFLOPS | Progress: (12/20) | 10.83 s
    [Task 17/25]  Current/Best:    3.09/  22.24 GFLOPS | Progress: (16/20) | 13.43 s
    [Task 17/25]  Current/Best:   18.52/  22.24 GFLOPS | Progress: (20/20) | 16.59 s Done.
-
    [Task 18/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 18/25]  Current/Best:   17.59/  19.45 GFLOPS | Progress: (4/20) | 4.80 s
    [Task 18/25]  Current/Best:   10.96/  19.45 GFLOPS | Progress: (8/20) | 7.74 s
    [Task 18/25]  Current/Best:    7.79/  19.45 GFLOPS | Progress: (12/20) | 10.02 s
    [Task 18/25]  Current/Best:   17.87/  19.45 GFLOPS | Progress: (16/20) | 15.87 s
    [Task 18/25]  Current/Best:   20.99/  20.99 GFLOPS | Progress: (20/20) | 18.21 s Done.
-
    [Task 19/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 19/25]  Current/Best:    5.38/  19.36 GFLOPS | Progress: (4/20) | 5.71 s
    [Task 19/25]  Current/Best:   10.74/  21.34 GFLOPS | Progress: (8/20) | 8.49 s
    [Task 19/25]  Current/Best:   18.61/  21.85 GFLOPS | Progress: (12/20) | 11.09 s
    [Task 19/25]  Current/Best:   19.16/  21.85 GFLOPS | Progress: (16/20) | 14.23 s
    [Task 19/25]  Current/Best:    8.62/  22.44 GFLOPS | Progress: (20/20) | 16.73 s Done.
-
    [Task 20/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 20/25]  Current/Best:    2.71/   8.30 GFLOPS | Progress: (4/20) | 15.75 s
    [Task 20/25]  Current/Best:   14.69/  18.67 GFLOPS | Progress: (8/20) | 19.28 s
    [Task 20/25]  Current/Best:   10.38/  21.12 GFLOPS | Progress: (12/20) | 22.11 s
    [Task 20/25]  Current/Best:    6.43/  21.12 GFLOPS | Progress: (16/20) | 24.28 s
    [Task 20/25]  Current/Best:   21.65/  21.65 GFLOPS | Progress: (20/20) | 36.08 s
    [Task 21/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s Done.
-     Done.
-
    [Task 21/25]  Current/Best:   22.74/  22.74 GFLOPS | Progress: (4/20) | 5.60 s
    [Task 21/25]  Current/Best:    7.27/  22.74 GFLOPS | Progress: (8/20) | 11.85 s
    [Task 21/25]  Current/Best:    6.40/  22.74 GFLOPS | Progress: (12/20) | 13.69 s
    [Task 21/25]  Current/Best:    6.34/  22.74 GFLOPS | Progress: (16/20) | 24.90 s
    [Task 21/25]  Current/Best:   12.45/  22.74 GFLOPS | Progress: (20/20) | 32.19 s
    [Task 22/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 22/25]  Current/Best:   10.07/  15.11 GFLOPS | Progress: (4/20) | 5.17 s
    [Task 22/25]  Current/Best:   10.28/  17.85 GFLOPS | Progress: (8/20) | 6.87 s
    [Task 22/25]  Current/Best:    8.01/  17.85 GFLOPS | Progress: (12/20) | 11.94 s
    [Task 22/25]  Current/Best:   20.66/  20.79 GFLOPS | Progress: (16/20) | 13.68 s
    [Task 22/25]  Current/Best:    9.12/  20.79 GFLOPS | Progress: (20/20) | 18.47 s Done.
-
    [Task 23/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 23/25]  Current/Best:   10.79/  23.21 GFLOPS | Progress: (4/20) | 9.52 s
    [Task 23/25]  Current/Best:   22.04/  23.21 GFLOPS | Progress: (8/20) | 12.04 s
    [Task 23/25]  Current/Best:   17.56/  23.21 GFLOPS | Progress: (12/20) | 18.33 s
    [Task 23/25]  Current/Best:    2.50/  24.13 GFLOPS | Progress: (16/20) | 21.64 s
    [Task 23/25]  Current/Best:   10.68/  24.13 GFLOPS | Progress: (20/20) | 26.06 s Done.
-
    [Task 24/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 24/25]  Current/Best:    2.22/   4.29 GFLOPS | Progress: (4/20) | 7.29 s
    [Task 24/25]  Current/Best:    1.87/  10.28 GFLOPS | Progress: (8/20) | 11.00 s
    [Task 24/25]  Current/Best:    3.76/  10.28 GFLOPS | Progress: (12/20) | 13.48 s
    [Task 24/25]  Current/Best:    4.44/  10.28 GFLOPS | Progress: (16/20) | 24.17 s
    [Task 24/25]  Current/Best:    4.12/  10.28 GFLOPS | Progress: (20/20) | 28.90 s
    [Task 25/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 25/25]  Current/Best:    5.99/   9.80 GFLOPS | Progress: (4/20) | 7.68 s
    [Task 25/25]  Current/Best:    4.60/   9.80 GFLOPS | Progress: (8/20) | 18.63 s
    [Task 25/25]  Current/Best:    7.09/   9.80 GFLOPS | Progress: (12/20) | 29.59 s
    [Task 25/25]  Current/Best:    6.27/   9.80 GFLOPS | Progress: (16/20) | 32.65 s
    [Task 25/25]  Current/Best:    2.80/   9.80 GFLOPS | Progress: (20/
 20) | 34.82 s
+
    [Task  1/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  1/25]  Current/Best:   13.32/  20.98 GFLOPS | Progress: (4/20) | 9.52 s
    [Task  1/25]  Current/Best:    9.41/  20.98 GFLOPS | Progress: (8/20) | 13.24 s
    [Task  1/25]  Current/Best:   18.46/  20.98 GFLOPS | Progress: (12/20) | 15.63 s
    [Task  1/25]  Current/Best:   16.57/  20.98 GFLOPS | Progress: (16/20) | 18.46 s
    [Task  1/25]  Current/Best:   18.68/  23.44 GFLOPS | Progress: (20/20) | 21.07 s Done.
+
    [Task  2/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  2/25]  Current/Best:   18.20/  18.20 GFLOPS | Progress: (4/20) | 4.48 s
    [Task  2/25]  Current/Best:   11.27/  19.82 GFLOPS | Progress: (8/20) | 6.27 s
    [Task  2/25]  Current/Best:   14.81/  19.82 GFLOPS | Progress: (12/20) | 7.76 s
    [Task  2/25]  Current/Best:    9.54/  19.82 GFLOPS | Progress: (16/20) | 9.17 s
    [Task  2/25]  Current/Best:   10.98/  19.82 GFLOPS | Progress: (20/20) | 11.30 s Done.
+
    [Task  3/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  3/25]  Current/Best:   20.46/  20.46 GFLOPS | Progress: (4/20) | 5.00 s
    [Task  3/25]  Current/Best:    9.70/  20.46 GFLOPS | Progress: (8/20) | 7.38 s
    [Task  3/25]  Current/Best:   13.43/  23.24 GFLOPS | Progress: (12/20) | 9.92 s
    [Task  3/25]  Current/Best:   23.36/  23.36 GFLOPS | Progress: (16/20) | 12.13 s
    [Task  3/25]  Current/Best:   19.06/  23.36 GFLOPS | Progress: (20/20) | 14.73 s Done.
+
    [Task  4/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  4/25]  Current/Best:    9.74/  12.20 GFLOPS | Progress: (4/20) | 5.79 s
    [Task  4/25]  Current/Best:   12.03/  12.20 GFLOPS | Progress: (8/20) | 13.58 s
    [Task  4/25]  Current/Best:   11.08/  18.53 GFLOPS | Progress: (12/20) | 15.45 s
    [Task  4/25]  Current/Best:   14.01/  18.53 GFLOPS | Progress: (16/20) | 17.46 s
    [Task  4/25]  Current/Best:   10.45/  18.53 GFLOPS | Progress: (20/20) | 22.13 s Done.
+
    [Task  5/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  5/25]  Current/Best:    8.70/  22.56 GFLOPS | Progress: (4/20) | 4.61 s
    [Task  5/25]  Current/Best:    4.99/  22.56 GFLOPS | Progress: (8/20) | 6.45 s
    [Task  5/25]  Current/Best:    6.00/  22.56 GFLOPS | Progress: (12/20) | 8.55 s
    [Task  5/25]  Current/Best:   11.27/  22.56 GFLOPS | Progress: (16/20) | 10.58 s
    [Task  5/25]  Current/Best:    5.55/  22.56 GFLOPS | Progress: (20/20) | 12.66 s Done.
+
    [Task  6/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  6/25]  Current/Best:    2.19/  11.09 GFLOPS | Progress: (4/20) | 6.60 s
    [Task  6/25]  Current/Best:   11.16/  12.02 GFLOPS | Progress: (8/20) | 10.96 s
    [Task  6/25]  Current/Best:    5.98/  13.66 GFLOPS | Progress: (12/20) | 13.46 s
    [Task  6/25]  Current/Best:   12.54/  14.22 GFLOPS | Progress: (16/20) | 15.93 s
    [Task  6/25]  Current/Best:   12.11/  14.22 GFLOPS | Progress: (20/20) | 18.77 s Done.
+
    [Task  7/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  7/25]  Current/Best:   16.96/  21.07 GFLOPS | Progress: (4/20) | 5.00 s
    [Task  7/25]  Current/Best:   14.42/  21.07 GFLOPS | Progress: (8/20) | 7.36 s
    [Task  7/25]  Current/Best:   19.98/  21.07 GFLOPS | Progress: (12/20) | 11.16 s
    [Task  7/25]  Current/Best:   18.88/  22.77 GFLOPS | Progress: (16/20) | 13.19 s
    [Task  7/25]  Current/Best:   20.40/  22.77 GFLOPS | Progress: (20/20) | 15.56 s Done.
+
    [Task  8/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  8/25]  Current/Best:    4.80/  14.04 GFLOPS | Progress: (4/20) | 6.06 s
    [Task  8/25]  Current/Best:   15.05/  15.05 GFLOPS | Progress: (8/20) | 12.26 s
    [Task  8/25]  Current/Best:   21.79/  21.79 GFLOPS | Progress: (12/20) | 15.62 s
    [Task  8/25]  Current/Best:   18.97/  21.79 GFLOPS | Progress: (16/20) | 18.17 s
    [Task  8/25]  Current/Best:   16.32/  21.79 GFLOPS | Progress: (20/20) | 20.29 s Done.
+
    [Task  9/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  9/25]  Current/Best:   14.37/  18.09 GFLOPS | Progress: (4/20) | 4.45 s
    [Task  9/25]  Current/Best:   15.82/  18.09 GFLOPS | Progress: (8/20) | 8.11 s
    [Task  9/25]  Current/Best:   11.20/  18.09 GFLOPS | Progress: (12/20) | 10.47 s
    [Task  9/25]  Current/Best:   12.54/  19.76 GFLOPS | Progress: (16/20) | 12.73 s
    [Task  9/25]  Current/Best:   19.17/  19.76 GFLOPS | Progress: (20/20) | 14.52 s Done.
+
    [Task 10/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 10/25]  Current/Best:    3.17/  12.50 GFLOPS | Progress: (4/20) | 5.60 s
    [Task 10/25]  Current/Best:   10.31/  16.98 GFLOPS | Progress: (8/20) | 8.03 s
    [Task 10/25]  Current/Best:   12.16/  16.98 GFLOPS | Progress: (12/20) | 11.33 s
    [Task 10/25]  Current/Best:    9.54/  19.02 GFLOPS | Progress: (16/20) | 13.14 s
    [Task 10/25]  Current/Best:   22.05/  22.05 GFLOPS | Progress: (20/20) | 15.47 s Done.
+
    [Task 11/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 11/25]  Current/Best:   13.04/  22.96 GFLOPS | Progress: (4/20) | 5.02 s
    [Task 11/25]  Current/Best:   21.69/  22.96 GFLOPS | Progress: (8/20) | 7.37 s
    [Task 11/25]  Current/Best:    8.90/  22.96 GFLOPS | Progress: (12/20) | 10.16 s
    [Task 11/25]  Current/Best:    8.64/  22.96 GFLOPS | Progress: (16/20) | 12.78 s
    [Task 11/25]  Current/Best:   18.22/  22.96 GFLOPS | Progress: (20/20) | 15.03 s Done.
+
    [Task 12/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 12/25]  Current/Best:    3.67/  18.21 GFLOPS | Progress: (4/20) | 5.47 s
    [Task 12/25]  Current/Best:   15.00/  18.34 GFLOPS | Progress: (8/20) | 8.25 s
    [Task 12/25]  Current/Best:   14.20/  18.34 GFLOPS | Progress: (12/20) | 11.32 s
    [Task 12/25]  Current/Best:   11.48/  18.34 GFLOPS | Progress: (16/20) | 15.63 s
    [Task 12/25]  Current/Best:   15.23/  18.34 GFLOPS | Progress: (20/20) | 17.69 s Done.
+
    [Task 13/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 13/25]  Current/Best:   22.14/  22.14 GFLOPS | Progress: (4/20) | 6.54 s
    [Task 13/25]  Current/Best:   12.60/  22.14 GFLOPS | Progress: (8/20) | 9.51 s
    [Task 13/25]  Current/Best:    6.02/  22.14 GFLOPS | Progress: (12/20) | 11.95 s
    [Task 13/25]  Current/Best:   19.76/  22.14 GFLOPS | Progress: (16/20) | 14.52 s
    [Task 13/25]  Current/Best:   20.19/  22.14 GFLOPS | Progress: (20/20) | 16.44 s Done.
+
    [Task 14/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 14/25]  Current/Best:   12.57/  18.93 GFLOPS | Progress: (4/20) | 5.04 s
    [Task 14/25]  Current/Best:   14.76/  18.93 GFLOPS | Progress: (8/20) | 12.96 s
    [Task 14/25]  Current/Best:   12.22/  18.93 GFLOPS | Progress: (12/20) | 24.40 s
    [Task 14/25]  Current/Best:   19.46/  19.46 GFLOPS | Progress: (16/20) | 35.82 s
    [Task 14/25]  Current/Best:   14.79/  19.46 GFLOPS | Progress: (20/20) | 39.45 s
    [Task 15/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 15/25]  Current/Best:    9.37/  18.82 GFLOPS | Progress: (4/20) | 6.16 s
    [Task 15/25]  Current/Best:    9.71/  18.93 GFLOPS | Progress: (8/20) | 12.79 s
    [Task 15/25]  Current/Best:    7.97/  20.88 GFLOPS | Progress: (12/20) | 14.42 s
    [Task 15/25]  Current/Best:    9.57/  20.88 GFLOPS | Progress: (16/20) | 16.16 s
    [Task 15/25]  Current/Best:   14.51/  20.88 GFLOPS | Progress: (20/
 20) | 18.03 s Done.
+
    [Task 16/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 16/25]  Current/Best:   14.69/  14.69 GFLOPS | Progress: (4/20) | 5.26 s
    [Task 16/25]  Current/Best:   14.23/  16.94 GFLOPS | Progress: (8/20) | 7.08 s
    [Task 16/25]  Current/Best:    7.20/  17.71 GFLOPS | Progress: (12/20) | 9.10 s
    [Task 16/25]  Current/Best:   10.92/  17.71 GFLOPS | Progress: (16/20) | 11.47 s
    [Task 16/25]  Current/Best:   14.27/  17.71 GFLOPS | Progress: (20/20) | 13.26 s Done.
+
    [Task 17/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 17/25]  Current/Best:    6.14/  23.14 GFLOPS | Progress: (4/20) | 5.48 s
    [Task 17/25]  Current/Best:   18.81/  23.14 GFLOPS | Progress: (8/20) | 8.16 s
    [Task 17/25]  Current/Best:   19.40/  23.14 GFLOPS | Progress: (12/20) | 10.52 s
    [Task 17/25]  Current/Best:   11.02/  23.14 GFLOPS | Progress: (16/20) | 14.44 s
    [Task 17/25]  Current/Best:   18.18/  23.14 GFLOPS | Progress: (20/20) | 17.35 s Done.
+
    [Task 18/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 18/25]  Current/Best:   10.46/  18.59 GFLOPS | Progress: (4/20) | 5.03 s
    [Task 18/25]  Current/Best:    6.17/  18.59 GFLOPS | Progress: (8/20) | 7.30 s
    [Task 18/25]  Current/Best:    2.92/  18.59 GFLOPS | Progress: (12/20) | 11.61 s
    [Task 18/25]  Current/Best:   12.27/  18.59 GFLOPS | Progress: (16/20) | 15.92 s
    [Task 18/25]  Current/Best:    9.38/  18.59 GFLOPS | Progress: (20/20) | 23.15 s Done.
+
    [Task 19/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 19/25]  Current/Best:   11.98/  20.13 GFLOPS | Progress: (4/20) | 5.60 s
    [Task 19/25]  Current/Best:   15.23/  20.13 GFLOPS | Progress: (8/20) | 8.80 s
    [Task 19/25]  Current/Best:    8.23/  22.02 GFLOPS | Progress: (12/20) | 11.42 s
    [Task 19/25]  Current/Best:    6.15/  22.02 GFLOPS | Progress: (16/20) | 14.76 s
    [Task 19/25]  Current/Best:    7.62/  22.02 GFLOPS | Progress: (20/20) | 18.14 s Done.
+
    [Task 20/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 20/25]  Current/Best:    6.29/  15.31 GFLOPS | Progress: (4/20) | 10.75 s
    [Task 20/25]  Current/Best:    6.42/  15.93 GFLOPS | Progress: (8/20) | 14.60 s
    [Task 20/25]  Current/Best:   13.22/  17.59 GFLOPS | Progress: (12/20) | 26.03 s Done.
+
    [Task 20/25]  Current/Best:   19.99/  19.99 GFLOPS | Progress: (16/20) | 30.58 s
    [Task 20/25]  Current/Best:    7.72/  19.99 GFLOPS | Progress: (20/20) | 42.13 s
    [Task 21/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 21/25]  Current/Best:   17.07/  17.44 GFLOPS | Progress: (4/20) | 7.69 s
    [Task 21/25]  Current/Best:   17.45/  18.23 GFLOPS | Progress: (8/20) | 9.92 s
    [Task 21/25]  Current/Best:   10.72/  18.23 GFLOPS | Progress: (12/20) | 21.44 s
    [Task 21/25]  Current/Best:    4.75/  20.10 GFLOPS | Progress: (16/20) | 34.00 s
    [Task 21/25]  Current/Best:   12.51/  20.10 GFLOPS | Progress: (20/20) | 43.40 s
    [Task 22/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 22/25]  Current/Best:    8.71/   8.71 GFLOPS | Progress: (4/20) | 6.63 s
    [Task 22/25]  Current/Best:   10.93/  19.65 GFLOPS | Progress: (8/20) | 8.94 s
    [Task 22/25]  Current/Best:    9.28/  19.65 GFLOPS | Progress: (12/20
 ) | 12.24 s
    [Task 22/25]  Current/Best:   20.51/  20.51 GFLOPS | Progress: (16/20) | 14.63 s
    [Task 22/25]  Current/Best:   18.38/  21.35 GFLOPS | Progress: (20/20) | 18.33 s Done.
+
    [Task 23/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 23/25]  Current/Best:    6.13/  22.66 GFLOPS | Progress: (4/20) | 6.90 s
    [Task 23/25]  Current/Best:   20.85/  22.66 GFLOPS | Progress: (8/20) | 8.92 s
    [Task 23/25]  Current/Best:    5.37/  22.66 GFLOPS | Progress: (12/20) | 12.30 s
    [Task 23/25]  Current/Best:   20.44/  22.66 GFLOPS | Progress: (16/20) | 15.16 s
    [Task 23/25]  Current/Best:    8.74/  22.89 GFLOPS | Progress: (20/20) | 20.33 s Done.
+
    [Task 24/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 24/25]  Current/Best:    0.99/   9.40 GFLOPS | Progress: (4/20) | 9.47 s
    [Task 24/25]  Current/Best:    4.14/   9.40 GFLOPS | Progress: (8/20) | 14.73 s
    [Task 24/25]  Current/Best:    3.62/   9.40 GFLOPS | Progress: (12/20) | 18.61 s
    [Task 24/25]  Current/Best:    2.96/   9.40 GFLOPS | Progress: (16/20) | 23.00 s
    [Task 24/25]  Current/Best:    7.21/   9.40 GFLOPS | Progress: (20/20) | 34.00 s
    [Task 25/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 25/25]  Current/Best:    2.95/   8.10 GFLOPS | Progress: (4/20) | 7.80 s
    [Task 25/25]  Current/Best:    5.87/   8.10 GFLOPS | Progress: (8/20) | 16.84 s
    [Task 25/25]  Current/Best:    1.54/   9.23 GFLOPS | Progress: (12/20) | 20.14 s
    [Task 25/25]  Current/Best:    2.51/   9.23 GFLOPS | Progress: (16/20) | 25.37 s
    [Task 25/25]  Current/Best:    7.17/   9.23 GFLOPS | Progress: (20/
 20) | 36.05 s
 
 
 
@@ -675,6 +674,7 @@ model using optimized operators to speed up our computations.
      Done.
      Done.
      Done.
+     Done.
 
 
 
@@ -708,8 +708,8 @@ Verify that the optimized model runs and produces the same results:
 
  .. code-block:: none
 
-    class='n02123045 tabby, tabby cat' with probability=0.621104
-    class='n02123159 tiger cat' with probability=0.356378
+    class='n02123045 tabby, tabby cat' with probability=0.621103
+    class='n02123159 tiger cat' with probability=0.356379
     class='n02124075 Egyptian cat' with probability=0.019712
     class='n02129604 tiger, Panthera tigris' with probability=0.001215
     class='n04040759 radiator' with probability=0.000262
@@ -766,8 +766,8 @@ improvement in comparing the optimized model to the unoptimized model.
 
  .. code-block:: none
 
-    optimized: {'mean': 406.27946542994323, 'median': 404.5121894499971, 'std': 3.4501309615297613}
-    unoptimized: {'mean': 488.051624159998, 'median': 487.0145931001389, 'std': 3.6031003135280066}
+    optimized: {'mean': 409.69271746998857, 'median': 409.63112874997023, 'std': 2.6985752746225575}
+    unoptimized: {'mean': 497.0907632900344, 'median': 497.0771257999331, 'std': 3.0660985668566254}
 
 
 
@@ -790,7 +790,7 @@ profiling/benchmarking.
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 12 minutes  52.070 seconds)
+   **Total running time of the script:** ( 13 minutes  13.290 seconds)
 
 
 .. _sphx_glr_download_tutorial_autotvm_relay_x86.py:
diff --git a/docs/_sources/tutorial/cross_compilation_and_rpc.rst.txt b/docs/_sources/tutorial/cross_compilation_and_rpc.rst.txt
index fed913002a..182881495e 100644
--- a/docs/_sources/tutorial/cross_compilation_and_rpc.rst.txt
+++ b/docs/_sources/tutorial/cross_compilation_and_rpc.rst.txt
@@ -274,7 +274,7 @@ device and returns the measured cost. Network overhead is excluded.
 
  .. code-block:: none
 
-    1.174e-07 secs/op
+    1.118e-07 secs/op
 
 
 
diff --git a/docs/_sources/tutorial/intro_topi.rst.txt b/docs/_sources/tutorial/intro_topi.rst.txt
index 17c43d9e49..93f23d8df8 100644
--- a/docs/_sources/tutorial/intro_topi.rst.txt
+++ b/docs/_sources/tutorial/intro_topi.rst.txt
@@ -270,7 +270,7 @@ As you can see, scheduled stages of computation have been accumulated and we can
 
  .. code-block:: none
 
-    [stage(a, placeholder(a, 0x24da3630)), stage(b, placeholder(b, 0x163301e0)), stage(T_add, compute(T_add, body=[a[ax0, ax1, ax2] + b[ax1, ax2]], axis=[T.iter_var(ax0, T.Range(0, 100), "DataPar", ""), T.iter_var(ax1, T.Range(0, 10), "DataPar", ""), T.iter_var(ax2, T.Range(0, 10), "DataPar", "")], reduce_axis=[], tag=broadcast, attrs={})), stage(T_multiply, compute(T_multiply, body=[a[ax0, ax1, ax2] * b[ax1, ax2]], axis=[T.iter_var(ax0, T.Range(0, 100), "DataPar", ""), T.iter_var(ax1, T [...]
+    [stage(a, placeholder(a, 0xc72f050)), stage(b, placeholder(b, 0x15a62410)), stage(T_add, compute(T_add, body=[a[ax0, ax1, ax2] + b[ax1, ax2]], axis=[T.iter_var(ax0, T.Range(0, 100), "DataPar", ""), T.iter_var(ax1, T.Range(0, 10), "DataPar", ""), T.iter_var(ax2, T.Range(0, 10), "DataPar", "")], reduce_axis=[], tag=broadcast, attrs={})), stage(T_multiply, compute(T_multiply, body=[a[ax0, ax1, ax2] * b[ax1, ax2]], axis=[T.iter_var(ax0, T.Range(0, 100), "DataPar", ""), T.iter_var(ax1, T. [...]
 
 
 
diff --git a/docs/_sources/tutorial/sg_execution_times.rst.txt b/docs/_sources/tutorial/sg_execution_times.rst.txt
index 109ca8902c..2ae1afc64d 100644
--- a/docs/_sources/tutorial/sg_execution_times.rst.txt
+++ b/docs/_sources/tutorial/sg_execution_times.rst.txt
@@ -5,31 +5,31 @@
 
 Computation times
 =================
-**16:22.660** total execution time for **tutorial** files:
+**16:53.768** total execution time for **tutorial** files:
 
 +------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_tutorial_autotvm_relay_x86.py` (``autotvm_relay_x86.py``)                 | 12:52.070 | 0.0 MB |
+| :ref:`sphx_glr_tutorial_autotvm_relay_x86.py` (``autotvm_relay_x86.py``)                 | 13:13.290 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_tutorial_auto_scheduler_matmul_x86.py` (``auto_scheduler_matmul_x86.py``) | 01:32.887 | 0.0 MB |
+| :ref:`sphx_glr_tutorial_auto_scheduler_matmul_x86.py` (``auto_scheduler_matmul_x86.py``) | 01:29.828 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_tutorial_tensor_expr_get_started.py` (``tensor_expr_get_started.py``)     | 00:57.737 | 0.0 MB |
+| :ref:`sphx_glr_tutorial_tensor_expr_get_started.py` (``tensor_expr_get_started.py``)     | 01:01.077 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_tutorial_relay_quick_start.py` (``relay_quick_start.py``)                 | 00:41.064 | 0.0 MB |
+| :ref:`sphx_glr_tutorial_relay_quick_start.py` (``relay_quick_start.py``)                 | 00:42.956 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_tutorial_autotvm_matmul_x86.py` (``autotvm_matmul_x86.py``)               | 00:16.877 | 0.0 MB |
+| :ref:`sphx_glr_tutorial_autotvm_matmul_x86.py` (``autotvm_matmul_x86.py``)               | 00:24.560 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_tutorial_intro_topi.py` (``intro_topi.py``)                               | 00:00.988 | 0.0 MB |
+| :ref:`sphx_glr_tutorial_intro_topi.py` (``intro_topi.py``)                               | 00:01.006 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_tutorial_tensor_ir_blitz_course.py` (``tensor_ir_blitz_course.py``)       | 00:00.849 | 0.0 MB |
+| :ref:`sphx_glr_tutorial_tensor_ir_blitz_course.py` (``tensor_ir_blitz_course.py``)       | 00:00.852 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_tutorial_cross_compilation_and_rpc.py` (``cross_compilation_and_rpc.py``) | 00:00.188 | 0.0 MB |
+| :ref:`sphx_glr_tutorial_cross_compilation_and_rpc.py` (``cross_compilation_and_rpc.py``) | 00:00.199 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
 | :ref:`sphx_glr_tutorial_uma.py` (``uma.py``)                                             | 00:00.000 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_tutorial_tvmc_python.py` (``tvmc_python.py``)                             | 00:00.000 | 0.0 MB |
-+------------------------------------------------------------------------------------------+-----------+--------+
 | :ref:`sphx_glr_tutorial_tvmc_command_line_driver.py` (``tvmc_command_line_driver.py``)   | 00:00.000 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
+| :ref:`sphx_glr_tutorial_tvmc_python.py` (``tvmc_python.py``)                             | 00:00.000 | 0.0 MB |
++------------------------------------------------------------------------------------------+-----------+--------+
 | :ref:`sphx_glr_tutorial_introduction.py` (``introduction.py``)                           | 00:00.000 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
 | :ref:`sphx_glr_tutorial_install.py` (``install.py``)                                     | 00:00.000 | 0.0 MB |
diff --git a/docs/_sources/tutorial/tensor_expr_get_started.rst.txt b/docs/_sources/tutorial/tensor_expr_get_started.rst.txt
index 959d2dda9f..396748d65d 100644
--- a/docs/_sources/tutorial/tensor_expr_get_started.rst.txt
+++ b/docs/_sources/tutorial/tensor_expr_get_started.rst.txt
@@ -285,8 +285,8 @@ helper function to run a profile of the TVM generated code.
 
  .. code-block:: none
 
-    Numpy running time: 0.000007
-    naive: 0.000007
+    Numpy running time: 0.000008
+    naive: 0.000008
 
 
 
@@ -444,7 +444,7 @@ factor to be the number of threads on your CPU.
 
  .. code-block:: none
 
-    vector: 0.000040
+    vector: 0.000039
     # from tvm.script import ir as I
     # from tvm.script import tir as T
 
@@ -498,10 +498,10 @@ We can now compare the different schedules
  .. code-block:: none
 
                 Operator                  Timing             Performance
-                   numpy     7.3681700087036e-06                     1.0
-                   naive              6.6974e-06      0.9089638257652499
-                parallel              7.0057e-06      0.9508059656230197
-                  vector             3.95141e-05       5.362810569425548
+                   numpy    7.706819997110869e-06                    1.0
+                   naive    8.226200000000001e-06     1.0673922581666415
+                parallel              7.3352e-06      0.9517803715085882
+                  vector             3.93811e-05       5.109902659561684
 
 
 
@@ -922,7 +922,7 @@ matrix multiplication.
 
  .. code-block:: none
 
-    Numpy running time: 0.017548
+    Numpy running time: 0.018427
 
 
 
@@ -980,7 +980,7 @@ optimizations.
 
  .. code-block:: none
 
-    none: 3.252857
+    none: 3.445608
 
 
 
@@ -1080,7 +1080,7 @@ schedule.
 
  .. code-block:: none
 
-    blocking: 0.291531
+    blocking: 0.313910
 
 
 
@@ -1164,7 +1164,7 @@ already cache friendly from our previous optimizations.
 
  .. code-block:: none
 
-    vectorization: 0.269656
+    vectorization: 0.301106
     # from tvm.script import ir as I
     # from tvm.script import tir as T
 
@@ -1230,7 +1230,7 @@ more cache friendly.
 
  .. code-block:: none
 
-    loop permutation: 0.113240
+    loop permutation: 0.115910
     # from tvm.script import ir as I
     # from tvm.script import tir as T
 
@@ -1321,7 +1321,7 @@ optimized schedule.
 
  .. code-block:: none
 
-    array packing: 0.106775
+    array packing: 0.106509
     # from tvm.script import ir as I
     # from tvm.script import tir as T
 
@@ -1404,7 +1404,7 @@ to `C` when all the block results are ready.
 
  .. code-block:: none
 
-    block caching: 0.110606
+    block caching: 0.111519
     # from tvm.script import ir as I
     # from tvm.script import tir as T
 
@@ -1478,7 +1478,7 @@ of thread-level parallelization.
 
  .. code-block:: none
 
-    parallelization: 0.132033
+    parallelization: 0.132100
     # from tvm.script import ir as I
     # from tvm.script import tir as T
 
@@ -1548,13 +1548,13 @@ working, we can compare the results.
  .. code-block:: none
 
                 Operator                  Timing             Performance
-                    none              3.25285727                     1.0
-                blocking            0.2915307195     0.08962296691855773
-           vectorization            0.2696557751     0.08289812700573856
-        loop permutation            0.1132398652     0.03481242975041447
-           array packing     0.10677501569999999     0.03282499256415268
-           block caching     0.11060617449999999     0.03400277519708081
-         parallelization     0.13203342580000002     0.04058998438625007
+                    none      3.4456082048999996                     1.0
+                blocking            0.3139097878     0.09110431863773394
+           vectorization     0.30110615550000003     0.08738839055229697
+        loop permutation            0.1159101786    0.033639976371998455
+           array packing            0.1065087079    0.030911439016349556
+           block caching     0.11151873059999999     0.03236547046800307
+         parallelization     0.13209956250000002     0.03833853260279019
 
 
 
@@ -1594,6 +1594,11 @@ operations with tunable parameters that allows you to automatically optimize
 the computation for specific platforms.
 
 
+.. rst-class:: sphx-glr-timing
+
+   **Total running time of the script:** ( 1 minutes  1.077 seconds)
+
+
 .. _sphx_glr_download_tutorial_tensor_expr_get_started.py:
 
 .. only:: html
diff --git a/docs/api/rust/help.html b/docs/api/rust/help.html
index b7e9afb4d7..6efdf48682 100644
--- a/docs/api/rust/help.html
+++ b/docs/api/rust/help.html
@@ -1 +1 @@
-<!DOCTYPE html><html lang="en"><head><meta charset="utf-8"><meta name="viewport" content="width=device-width, initial-scale=1.0"><meta name="generator" content="rustdoc"><meta name="description" content="Documentation for Rustdoc"><title>Rustdoc help</title><link rel="preload" as="font" type="font/woff2" crossorigin href="./static.files/SourceSerif4-Regular-46f98efaafac5295.ttf.woff2"><link rel="preload" as="font" type="font/woff2" crossorigin href="./static.files/FiraSans-Regular-018c14 [...]
\ No newline at end of file
+<!DOCTYPE html><html lang="en"><head><meta charset="utf-8"><meta name="viewport" content="width=device-width, initial-scale=1.0"><meta name="generator" content="rustdoc"><meta name="description" content="Documentation for Rustdoc"><title>Rustdoc help</title><link rel="preload" as="font" type="font/woff2" crossorigin href="./static.files/SourceSerif4-Regular-46f98efaafac5295.ttf.woff2"><link rel="preload" as="font" type="font/woff2" crossorigin href="./static.files/FiraSans-Regular-018c14 [...]
\ No newline at end of file
diff --git a/docs/api/rust/settings.html b/docs/api/rust/settings.html
index 6212392595..95bf831b71 100644
--- a/docs/api/rust/settings.html
+++ b/docs/api/rust/settings.html
@@ -1 +1 @@
-<!DOCTYPE html><html lang="en"><head><meta charset="utf-8"><meta name="viewport" content="width=device-width, initial-scale=1.0"><meta name="generator" content="rustdoc"><meta name="description" content="Settings of Rustdoc"><title>Rustdoc settings</title><link rel="preload" as="font" type="font/woff2" crossorigin href="./static.files/SourceSerif4-Regular-46f98efaafac5295.ttf.woff2"><link rel="preload" as="font" type="font/woff2" crossorigin href="./static.files/FiraSans-Regular-018c141b [...]
\ No newline at end of file
+<!DOCTYPE html><html lang="en"><head><meta charset="utf-8"><meta name="viewport" content="width=device-width, initial-scale=1.0"><meta name="generator" content="rustdoc"><meta name="description" content="Settings of Rustdoc"><title>Rustdoc settings</title><link rel="preload" as="font" type="font/woff2" crossorigin href="./static.files/SourceSerif4-Regular-46f98efaafac5295.ttf.woff2"><link rel="preload" as="font" type="font/woff2" crossorigin href="./static.files/FiraSans-Regular-018c141b [...]
\ No newline at end of file
diff --git a/docs/commit_hash b/docs/commit_hash
index e5b0d66636..e6911f50be 100644
--- a/docs/commit_hash
+++ b/docs/commit_hash
@@ -1 +1 @@
-2032b44f427dec2028e0d2a98be1d5210ed3033b
+25b8a0798e6308b21191ead0739eac54d376806e
diff --git a/docs/genindex.html b/docs/genindex.html
index 7d3b511b02..fa12b01ba6 100644
--- a/docs/genindex.html
+++ b/docs/genindex.html
@@ -1246,6 +1246,8 @@
         <li><a href="reference/api/python/meta_schedule.html#tvm.meta_schedule.TaskScheduler.create">(tvm.meta_schedule.TaskScheduler static method)</a>
 </li>
       </ul></li>
+      <li><a href="reference/api/python/tir.html#tvm.tir.create_barriers">create_barriers() (in module tvm.tir)</a>
+</li>
       <li><a href="reference/api/python/contrib.html#tvm.contrib.xcode.create_dylib">create_dylib() (in module tvm.contrib.xcode)</a>
 </li>
       <li><a href="reference/api/python/contrib.html#tvm.contrib.cc.create_executable">create_executable() (in module tvm.contrib.cc)</a>
diff --git a/docs/how_to/compile_models/from_darknet.html b/docs/how_to/compile_models/from_darknet.html
index 08e5e2037e..d45d6f819d 100644
--- a/docs/how_to/compile_models/from_darknet.html
+++ b/docs/how_to/compile_models/from_darknet.html
@@ -600,7 +600,7 @@ class:[&#39;truck 0.9266&#39;] left:471 top:83 right:689 bottom:169
 class:[&#39;bicycle 0.9984&#39;] left:111 top:113 right:577 bottom:447
 </pre></div>
 </div>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  35.240 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  40.931 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-compile-models-from-darknet-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/7716f96385bd5abb6e822041e285be54/from_darknet.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">from_darknet.py</span></code></a></p>
diff --git a/docs/how_to/compile_models/from_mxnet.html b/docs/how_to/compile_models/from_mxnet.html
index 47d5a3aa7f..953ec8c39b 100644
--- a/docs/how_to/compile_models/from_mxnet.html
+++ b/docs/how_to/compile_models/from_mxnet.html
@@ -454,7 +454,7 @@
 <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;x&quot;</span><span class="p">,</span> <a href="https://docs.python.org/3/library/stdtypes.html#tuple" title="builtins.tuple" class="sphx-glr-backref-module-builtins sphx-glr-backref-type-py-class sphx-glr-backref-instance"><span class="n">x</span><span class="o">.</span><span class="n">shape</span></a><span class="p">)</span>
 </pre></div>
 </div>
-<img src="../../_images/sphx_glr_from_mxnet_001.png" srcset="../../_images/sphx_glr_from_mxnet_001.png" alt="from mxnet" class = "sphx-glr-single-img"/><div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Downloading /workspace/.mxnet/models/resnet18_v1-a0666292.zip7308da15-42b5-4532-a205-fef0862c2d49 from https://apache-mxnet.s3-accelerate.dualstack.amazonaws.com/gluon/models/resnet18_v1-a0666292.zip...
+<img src="../../_images/sphx_glr_from_mxnet_001.png" srcset="../../_images/sphx_glr_from_mxnet_001.png" alt="from mxnet" class = "sphx-glr-single-img"/><div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Downloading /workspace/.mxnet/models/resnet18_v1-a0666292.zip2b246167-9e23-4399-b720-fd30fd5ca936 from https://apache-mxnet.s3-accelerate.dualstack.amazonaws.com/gluon/models/resnet18_v1-a0666292.zip...
 x (1, 3, 224, 224)
 </pre></div>
 </div>
diff --git a/docs/how_to/compile_models/from_oneflow.html b/docs/how_to/compile_models/from_oneflow.html
index e94d3c928f..91a46becbe 100644
--- a/docs/how_to/compile_models/from_oneflow.html
+++ b/docs/how_to/compile_models/from_oneflow.html
@@ -464,14 +464,14 @@ Deprecated in NumPy 1.20; for more details and guidance: https://numpy.org/devdo
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Downloading: &quot;https://oneflow-public.oss-cn-beijing.aliyuncs.com/model_zoo/flowvision/classification/ResNet/resnet18.zip&quot; to /workspace/.oneflow/flowvision_cache/resnet18.zip
 
   0%|          | 0.00/41.5M [00:00&lt;?, ?B/s]
- 15%|#5        | 6.33M/41.5M [00:00&lt;00:01, 36.6MB/s]
- 24%|##3       | 9.82M/41.5M [00:00&lt;00:01, 30.2MB/s]
- 35%|###4      | 14.3M/41.5M [00:01&lt;00:02, 10.7MB/s]
- 39%|###8      | 16.1M/41.5M [00:01&lt;00:02, 10.2MB/s]
- 58%|#####7    | 24.0M/41.5M [00:01&lt;00:01, 16.4MB/s]
- 77%|#######7  | 32.0M/41.5M [00:01&lt;00:00, 22.7MB/s]
- 92%|#########2| 38.3M/41.5M [00:01&lt;00:00, 27.9MB/s]
-100%|##########| 41.5M/41.5M [00:02&lt;00:00, 21.4MB/s]
+ 15%|#5        | 6.33M/41.5M [00:00&lt;00:00, 53.6MB/s]
+ 28%|##7       | 11.4M/41.5M [00:00&lt;00:01, 29.3MB/s]
+ 39%|###8      | 16.0M/41.5M [00:00&lt;00:00, 26.7MB/s]
+ 54%|#####3    | 22.3M/41.5M [00:00&lt;00:00, 33.6MB/s]
+ 62%|######2   | 25.9M/41.5M [00:00&lt;00:00, 29.3MB/s]
+ 77%|#######7  | 32.0M/41.5M [00:01&lt;00:00, 31.4MB/s]
+ 92%|#########2| 38.3M/41.5M [00:01&lt;00:00, 32.9MB/s]
+100%|##########| 41.5M/41.5M [00:01&lt;00:00, 31.9MB/s]
 </pre></div>
 </div>
 </div>
diff --git a/docs/how_to/compile_models/from_paddle.html b/docs/how_to/compile_models/from_paddle.html
index 2a2574a9d5..c9ab360b99 100644
--- a/docs/how_to/compile_models/from_paddle.html
+++ b/docs/how_to/compile_models/from_paddle.html
@@ -499,7 +499,7 @@ To begin, we’ll install PaddlePaddle&gt;=2.1.3:</p>
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>TVM prediction top-1 id: 282, class name:  282: &#39;tiger cat&#39;,
 </pre></div>
 </div>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  2.100 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  7.187 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-compile-models-from-paddle-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/16269b77359771348d507395692524cf/from_paddle.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">from_paddle.py</span></code></a></p>
diff --git a/docs/how_to/compile_models/from_pytorch.html b/docs/how_to/compile_models/from_pytorch.html
index 6e8af17a75..6ca0deb7f9 100644
--- a/docs/how_to/compile_models/from_pytorch.html
+++ b/docs/how_to/compile_models/from_pytorch.html
@@ -447,16 +447,17 @@ be unstable.</p>
 Downloading: &quot;https://download.pytorch.org/models/resnet18-f37072fd.pth&quot; to /workspace/.cache/torch/hub/checkpoints/resnet18-f37072fd.pth
 
   0%|          | 0.00/44.7M [00:00&lt;?, ?B/s]
- 14%|#4        | 6.30M/44.7M [00:00&lt;00:01, 34.6MB/s]
- 21%|##1       | 9.60M/44.7M [00:00&lt;00:01, 22.9MB/s]
- 32%|###2      | 14.3M/44.7M [00:00&lt;00:01, 28.2MB/s]
- 39%|###8      | 17.2M/44.7M [00:00&lt;00:01, 27.2MB/s]
- 54%|#####3    | 24.0M/44.7M [00:00&lt;00:00, 29.7MB/s]
- 68%|######7   | 30.3M/44.7M [00:01&lt;00:00, 31.2MB/s]
- 75%|#######4  | 33.3M/44.7M [00:01&lt;00:00, 27.4MB/s]
- 86%|########5 | 38.3M/44.7M [00:01&lt;00:00, 27.9MB/s]
- 92%|#########1| 40.9M/44.7M [00:01&lt;00:00, 23.7MB/s]
-100%|##########| 44.7M/44.7M [00:01&lt;00:00, 28.8MB/s]
+ 14%|#4        | 6.30M/44.7M [00:00&lt;00:01, 30.0MB/s]
+ 21%|##        | 9.17M/44.7M [00:00&lt;00:01, 24.7MB/s]
+ 32%|###2      | 14.3M/44.7M [00:00&lt;00:01, 27.9MB/s]
+ 38%|###7      | 16.9M/44.7M [00:00&lt;00:01, 24.0MB/s]
+ 54%|#####3    | 24.0M/44.7M [00:00&lt;00:00, 26.4MB/s]
+ 59%|#####9    | 26.4M/44.7M [00:01&lt;00:00, 26.1MB/s]
+ 68%|######7   | 30.3M/44.7M [00:01&lt;00:00, 24.1MB/s]
+ 73%|#######2  | 32.6M/44.7M [00:01&lt;00:00, 21.6MB/s]
+ 86%|########5 | 38.3M/44.7M [00:01&lt;00:00, 22.8MB/s]
+ 91%|######### | 40.4M/44.7M [00:01&lt;00:00, 19.9MB/s]
+100%|##########| 44.7M/44.7M [00:01&lt;00:00, 25.3MB/s]
 </pre></div>
 </div>
 </div>
diff --git a/docs/how_to/compile_models/from_tensorflow.html b/docs/how_to/compile_models/from_tensorflow.html
index ab4720ae16..5cf27308ef 100644
--- a/docs/how_to/compile_models/from_tensorflow.html
+++ b/docs/how_to/compile_models/from_tensorflow.html
@@ -667,7 +667,7 @@ banana (score = 0.00022)
 desk (score = 0.00019)
 </pre></div>
 </div>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  32.303 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  34.681 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-compile-models-from-tensorflow-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/7f1d3d1b878694c201c614c807cdebc8/from_tensorflow.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">from_tensorflow.py</span></code></a></p>
diff --git a/docs/how_to/compile_models/sg_execution_times.html b/docs/how_to/compile_models/sg_execution_times.html
index 29c2c7e679..8cdb905166 100644
--- a/docs/how_to/compile_models/sg_execution_times.html
+++ b/docs/how_to/compile_models/sg_execution_times.html
@@ -355,7 +355,7 @@
             
   <div class="section" id="computation-times">
 <span id="sphx-glr-how-to-compile-models-sg-execution-times"></span><h1>Computation times<a class="headerlink" href="#computation-times" title="Permalink to this headline">¶</a></h1>
-<p><strong>07:13.238</strong> total execution time for <strong>how_to_compile_models</strong> files:</p>
+<p><strong>07:36.928</strong> total execution time for <strong>how_to_compile_models</strong> files:</p>
 <table class="docutils align-default">
 <colgroup>
 <col style="width: 81%" />
@@ -364,43 +364,43 @@
 </colgroup>
 <tbody>
 <tr class="row-odd"><td><p><a class="reference internal" href="from_darknet.html#sphx-glr-how-to-compile-models-from-darknet-py"><span class="std std-ref">Compile YOLO-V2 and YOLO-V3 in DarkNet Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_darknet.py</span></code>)</p></td>
-<td><p>01:35.240</p></td>
+<td><p>01:40.931</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="from_tensorflow.html#sphx-glr-how-to-compile-models-from-tensorflow-py"><span class="std std-ref">Compile Tensorflow Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_tensorflow.py</span></code>)</p></td>
-<td><p>01:32.303</p></td>
+<td><p>01:34.681</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="from_paddle.html#sphx-glr-how-to-compile-models-from-paddle-py"><span class="std std-ref">Compile PaddlePaddle Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_paddle.py</span></code>)</p></td>
-<td><p>01:02.100</p></td>
+<td><p>01:07.187</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="from_oneflow.html#sphx-glr-how-to-compile-models-from-oneflow-py"><span class="std std-ref">Compile OneFlow Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_oneflow.py</span></code>)</p></td>
-<td><p>00:41.510</p></td>
+<td><p>00:42.524</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="from_coreml.html#sphx-glr-how-to-compile-models-from-coreml-py"><span class="std std-ref">Compile CoreML Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_coreml.py</span></code>)</p></td>
-<td><p>00:36.333</p></td>
+<td><p>00:39.479</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="from_mxnet.html#sphx-glr-how-to-compile-models-from-mxnet-py"><span class="std std-ref">Compile MXNet Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_mxnet.py</span></code>)</p></td>
-<td><p>00:33.518</p></td>
+<td><p>00:35.261</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="from_pytorch.html#sphx-glr-how-to-compile-models-from-pytorch-py"><span class="std std-ref">Compile PyTorch Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_pytorch.py</span></code>)</p></td>
-<td><p>00:28.201</p></td>
+<td><p>00:29.733</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="from_keras.html#sphx-glr-how-to-compile-models-from-keras-py"><span class="std std-ref">Compile Keras Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_keras.py</span></code>)</p></td>
-<td><p>00:27.876</p></td>
+<td><p>00:29.634</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="from_tflite.html#sphx-glr-how-to-compile-models-from-tflite-py"><span class="std std-ref">Compile TFLite Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_tflite.py</span></code>)</p></td>
-<td><p>00:13.309</p></td>
+<td><p>00:14.560</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="from_onnx.html#sphx-glr-how-to-compile-models-from-onnx-py"><span class="std std-ref">Compile ONNX Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_onnx.py</span></code>)</p></td>
-<td><p>00:02.849</p></td>
+<td><p>00:02.938</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 </tbody>
diff --git a/docs/how_to/deploy_models/deploy_model_on_adreno.html b/docs/how_to/deploy_models/deploy_model_on_adreno.html
index c8873cd1f4..68c2315d9f 100644
--- a/docs/how_to/deploy_models/deploy_model_on_adreno.html
+++ b/docs/how_to/deploy_models/deploy_model_on_adreno.html
@@ -845,10 +845,10 @@ Top5 predictions:
 Evaluate inference time cost...
 Execution time summary:
  mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)
- 4218.7213    4218.0683    4223.8765    4216.0642      2.2713
+ 4227.1443    4226.2691    4229.6240    4225.5971      1.5891
 </pre></div>
 </div>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  19.179 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  21.192 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-deploy-models-deploy-model-on-adreno-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/2387d8448da213eb625e6b3d916327d4/deploy_model_on_adreno.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">deploy_model_on_adreno.py</span></code></a></p>
diff --git a/docs/how_to/deploy_models/deploy_model_on_adreno_tvmc.html b/docs/how_to/deploy_models/deploy_model_on_adreno_tvmc.html
index 2fba17e863..ed09e97340 100644
--- a/docs/how_to/deploy_models/deploy_model_on_adreno_tvmc.html
+++ b/docs/how_to/deploy_models/deploy_model_on_adreno_tvmc.html
@@ -453,34 +453,33 @@ to run this tutorial with a real device over rpc.</p>
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Downloading data from https://storage.googleapis.com/tensorflow/keras-applications/resnet/resnet50_weights_tf_dim_ordering_tf_kernels.h5
 
      8192/102967424 [..............................] - ETA: 0s
-  6635520/102967424 [&gt;.............................] - ETA: 4s
-  8380416/102967424 [=&gt;............................] - ETA: 4s
- 15024128/102967424 [===&gt;..........................] - ETA: 3s
+  4022272/102967424 [&gt;.............................] - ETA: 1s
+  6635520/102967424 [&gt;.............................] - ETA: 1s
+  8380416/102967424 [=&gt;............................] - ETA: 2s
+ 15024128/102967424 [===&gt;..........................] - ETA: 2s
  16769024/102967424 [===&gt;..........................] - ETA: 3s
- 23412736/102967424 [=====&gt;........................] - ETA: 3s
+ 19562496/102967424 [====&gt;.........................] - ETA: 2s
+ 23412736/102967424 [=====&gt;........................] - ETA: 2s
  25157632/102967424 [======&gt;.......................] - ETA: 3s
+ 28565504/102967424 [=======&gt;......................] - ETA: 2s
  33546240/102967424 [========&gt;.....................] - ETA: 2s
  40189952/102967424 [==========&gt;...................] - ETA: 2s
  41934848/102967424 [===========&gt;..................] - ETA: 2s
- 48578560/102967424 [=============&gt;................] - ETA: 2s
- 50323456/102967424 [=============&gt;................] - ETA: 2s
- 56967168/102967424 [===============&gt;..............] - ETA: 1s
+ 48578560/102967424 [=============&gt;................] - ETA: 1s
+ 50323456/102967424 [=============&gt;................] - ETA: 1s
  58712064/102967424 [================&gt;.............] - ETA: 1s
- 64151552/102967424 [=================&gt;............] - ETA: 1s
  65355776/102967424 [==================&gt;...........] - ETA: 1s
  67100672/102967424 [==================&gt;...........] - ETA: 1s
- 69296128/102967424 [===================&gt;..........] - ETA: 1s
- 73744384/102967424 [====================&gt;.........] - ETA: 1s
- 75489280/102967424 [====================&gt;.........] - ETA: 1s
- 82124800/102967424 [======================&gt;.......] - ETA: 1s
- 83877888/102967424 [=======================&gt;......] - ETA: 1s
- 84017152/102967424 [=======================&gt;......] - ETA: 1s
- 90521600/102967424 [=========================&gt;....] - ETA: 0s
+ 69206016/102967424 [===================&gt;..........] - ETA: 1s
+ 72540160/102967424 [====================&gt;.........] - ETA: 0s
+ 75489280/102967424 [====================&gt;.........] - ETA: 0s
+ 75628544/102967424 [=====================&gt;........] - ETA: 0s
+ 82124800/102967424 [======================&gt;.......] - ETA: 0s
+ 83877888/102967424 [=======================&gt;......] - ETA: 0s
  92266496/102967424 [=========================&gt;....] - ETA: 0s
- 98910208/102967424 [===========================&gt;..] - ETA: 0s
 100646912/102967424 [============================&gt;.] - ETA: 0s
 102850560/102967424 [============================&gt;.] - ETA: 0s
-102967424/102967424 [==============================] - 5s 0us/step
+102967424/102967424 [==============================] - 3s 0us/step
 </pre></div>
 </div>
 </div>
diff --git a/docs/how_to/deploy_models/deploy_model_on_android.html b/docs/how_to/deploy_models/deploy_model_on_android.html
index 322e6ecbb6..c0b8ba1180 100644
--- a/docs/how_to/deploy_models/deploy_model_on_android.html
+++ b/docs/how_to/deploy_models/deploy_model_on_android.html
@@ -677,7 +677,7 @@ to the remote android device.</p>
 Evaluate inference time cost...
 Execution time summary:
  mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)
-  15.5840      15.5806      15.7468      15.4171       0.1004
+  15.3326      15.1779      16.3568      15.0942       0.3637
 </pre></div>
 </div>
 </div>
diff --git a/docs/how_to/deploy_models/deploy_object_detection_pytorch.html b/docs/how_to/deploy_models/deploy_object_detection_pytorch.html
index aeff4a256b..2e6ffa8433 100644
--- a/docs/how_to/deploy_models/deploy_object_detection_pytorch.html
+++ b/docs/how_to/deploy_models/deploy_object_detection_pytorch.html
@@ -469,44 +469,42 @@ be unstable.</p>
 Downloading: &quot;https://download.pytorch.org/models/maskrcnn_resnet50_fpn_coco-bf2d0c1e.pth&quot; to /workspace/.cache/torch/hub/checkpoints/maskrcnn_resnet50_fpn_coco-bf2d0c1e.pth
 
   0%|          | 0.00/170M [00:00&lt;?, ?B/s]
-  4%|3         | 6.30M/170M [00:00&lt;00:04, 37.1MB/s]
-  6%|5         | 9.85M/170M [00:00&lt;00:06, 26.5MB/s]
-  8%|8         | 14.3M/170M [00:00&lt;00:06, 23.8MB/s]
- 10%|9         | 16.6M/170M [00:00&lt;00:09, 17.5MB/s]
- 13%|#3        | 22.3M/170M [00:00&lt;00:06, 24.0MB/s]
- 15%|#4        | 24.9M/170M [00:01&lt;00:07, 20.1MB/s]
- 18%|#7        | 30.3M/170M [00:01&lt;00:06, 22.6MB/s]
- 19%|#9        | 32.6M/170M [00:01&lt;00:07, 19.3MB/s]
+  2%|1         | 2.61M/170M [00:00&lt;00:09, 19.0MB/s]
+  4%|3         | 6.30M/170M [00:00&lt;00:06, 25.3MB/s]
+  5%|5         | 8.75M/170M [00:00&lt;00:08, 20.3MB/s]
+  8%|8         | 14.3M/170M [00:00&lt;00:06, 25.6MB/s]
+ 10%|9         | 16.7M/170M [00:00&lt;00:07, 21.5MB/s]
+ 13%|#3        | 22.3M/170M [00:01&lt;00:07, 21.0MB/s]
+ 14%|#4        | 24.3M/170M [00:01&lt;00:08, 18.6MB/s]
+ 18%|#7        | 30.3M/170M [00:01&lt;00:07, 20.3MB/s]
+ 19%|#8        | 32.2M/170M [00:01&lt;00:08, 16.4MB/s]
  24%|##3       | 40.0M/170M [00:01&lt;00:05, 25.3MB/s]
- 27%|##7       | 46.3M/170M [00:01&lt;00:04, 30.0MB/s]
- 29%|##9       | 49.3M/170M [00:02&lt;00:04, 27.2MB/s]
- 33%|###2      | 56.0M/170M [00:02&lt;00:03, 34.9MB/s]
- 37%|###6      | 62.3M/170M [00:02&lt;00:03, 32.4MB/s]
- 39%|###8      | 65.7M/170M [00:02&lt;00:04, 26.8MB/s]
- 42%|####2     | 72.0M/170M [00:02&lt;00:03, 33.6MB/s]
- 46%|####6     | 78.3M/170M [00:03&lt;00:03, 32.0MB/s]
- 48%|####8     | 81.8M/170M [00:03&lt;00:03, 27.9MB/s]
- 51%|#####     | 86.3M/170M [00:03&lt;00:03, 24.9MB/s]
- 52%|#####2    | 88.9M/170M [00:03&lt;00:03, 22.8MB/s]
- 56%|#####5    | 94.3M/170M [00:03&lt;00:03, 23.2MB/s]
- 57%|#####6    | 96.6M/170M [00:04&lt;00:03, 21.0MB/s]
- 60%|######    | 102M/170M [00:04&lt;00:02, 23.8MB/s]
- 62%|######1   | 105M/170M [00:04&lt;00:03, 21.1MB/s]
- 66%|######5   | 112M/170M [00:04&lt;00:02, 26.2MB/s]
- 70%|######9   | 118M/170M [00:04&lt;00:01, 30.6MB/s]
- 71%|#######1  | 121M/170M [00:04&lt;00:02, 24.1MB/s]
- 74%|#######4  | 126M/170M [00:05&lt;00:01, 27.3MB/s]
- 76%|#######6  | 129M/170M [00:05&lt;00:01, 26.6MB/s]
- 79%|#######9  | 134M/170M [00:05&lt;00:01, 25.6MB/s]
- 81%|########  | 137M/170M [00:05&lt;00:01, 23.5MB/s]
- 85%|########4 | 144M/170M [00:05&lt;00:00, 28.8MB/s]
- 88%|########8 | 150M/170M [00:05&lt;00:00, 34.6MB/s]
- 91%|######### | 154M/170M [00:06&lt;00:00, 28.7MB/s]
- 93%|#########3| 158M/170M [00:06&lt;00:00, 27.3MB/s]
- 95%|#########4| 161M/170M [00:06&lt;00:00, 23.7MB/s]
- 98%|#########7| 166M/170M [00:06&lt;00:00, 22.2MB/s]
- 99%|#########9| 169M/170M [00:06&lt;00:00, 22.6MB/s]
-100%|##########| 170M/170M [00:06&lt;00:00, 25.8MB/s]
+ 28%|##8       | 48.0M/170M [00:02&lt;00:03, 32.1MB/s]
+ 33%|###2      | 56.0M/170M [00:02&lt;00:03, 36.3MB/s]
+ 37%|###6      | 62.3M/170M [00:02&lt;00:02, 40.6MB/s]
+ 39%|###9      | 66.5M/170M [00:02&lt;00:02, 36.9MB/s]
+ 42%|####2     | 72.0M/170M [00:02&lt;00:02, 36.1MB/s]
+ 46%|####6     | 78.3M/170M [00:02&lt;00:02, 36.8MB/s]
+ 48%|####8     | 81.9M/170M [00:03&lt;00:02, 34.4MB/s]
+ 51%|#####     | 86.3M/170M [00:03&lt;00:03, 27.3MB/s]
+ 52%|#####2    | 89.1M/170M [00:03&lt;00:03, 23.5MB/s]
+ 56%|#####5    | 94.3M/170M [00:03&lt;00:02, 26.7MB/s]
+ 57%|#####7    | 97.0M/170M [00:03&lt;00:03, 24.5MB/s]
+ 60%|######    | 102M/170M [00:03&lt;00:02, 27.8MB/s]
+ 62%|######1   | 105M/170M [00:04&lt;00:02, 26.1MB/s]
+ 66%|######5   | 112M/170M [00:04&lt;00:01, 30.6MB/s]
+ 70%|######9   | 118M/170M [00:04&lt;00:01, 34.7MB/s]
+ 72%|#######1  | 122M/170M [00:04&lt;00:02, 23.9MB/s]
+ 75%|#######5  | 128M/170M [00:04&lt;00:01, 26.1MB/s]
+ 77%|#######6  | 130M/170M [00:05&lt;00:01, 23.4MB/s]
+ 80%|########  | 136M/170M [00:05&lt;00:01, 23.7MB/s]
+ 85%|########4 | 144M/170M [00:05&lt;00:00, 30.0MB/s]
+ 88%|########8 | 150M/170M [00:05&lt;00:00, 22.1MB/s]
+ 90%|########9 | 153M/170M [00:06&lt;00:00, 20.2MB/s]
+ 93%|#########3| 158M/170M [00:06&lt;00:00, 21.9MB/s]
+ 95%|#########4| 161M/170M [00:06&lt;00:00, 19.2MB/s]
+ 98%|#########7| 166M/170M [00:06&lt;00:00, 19.7MB/s]
+100%|##########| 170M/170M [00:06&lt;00:00, 25.7MB/s]
 /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torch/nn/functional.py:3912: UserWarning: To copy construct from a tensor, it is recommended to use sourceTensor.clone().detach() or sourceTensor.clone().detach().requires_grad_(True), rather than torch.tensor(sourceTensor).
   (torch.floor((input.size(i + 2).float() * torch.tensor(scale_factors[i], dtype=torch.float32)).float()))
 /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torchvision/ops/boxes.py:157: UserWarning: To copy construct from a tensor, it is recommended to use sourceTensor.clone().detach() or sourceTensor.clone().detach().requires_grad_(True), rather than torch.tensor(sourceTensor).
@@ -600,7 +598,7 @@ torchvision rcnn models.</p>
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Get 9 valid boxes
 </pre></div>
 </div>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 3 minutes  25.343 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 3 minutes  41.475 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-deploy-models-deploy-object-detection-pytorch-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/7795da4b258c8feff986668b95ef57ad/deploy_object_detection_pytorch.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">deploy_object_detection_pytorch.py</span></code></a></p>
diff --git a/docs/how_to/deploy_models/deploy_prequantized.html b/docs/how_to/deploy_models/deploy_prequantized.html
index 5cc5fe60e7..8524f52669 100644
--- a/docs/how_to/deploy_models/deploy_prequantized.html
+++ b/docs/how_to/deploy_models/deploy_prequantized.html
@@ -510,10 +510,9 @@ training. Other models require a full post training calibration.</p>
 Downloading: &quot;https://download.pytorch.org/models/mobilenet_v2-b0353104.pth&quot; to /workspace/.cache/torch/hub/checkpoints/mobilenet_v2-b0353104.pth
 
   0%|          | 0.00/13.6M [00:00&lt;?, ?B/s]
- 47%|####6     | 6.30M/13.6M [00:00&lt;00:00, 37.5MB/s]
- 73%|#######2  | 9.88M/13.6M [00:00&lt;00:00, 25.1MB/s]
- 91%|#########1| 12.4M/13.6M [00:00&lt;00:00, 18.6MB/s]
-100%|##########| 13.6M/13.6M [00:00&lt;00:00, 23.2MB/s]
+ 59%|#####8    | 7.99M/13.6M [00:00&lt;00:00, 45.3MB/s]
+ 91%|######### | 12.3M/13.6M [00:00&lt;00:00, 27.0MB/s]
+100%|##########| 13.6M/13.6M [00:00&lt;00:00, 31.9MB/s]
 </pre></div>
 </div>
 </div>
@@ -604,7 +603,7 @@ output values are identical out of 1000 outputs from mobilenet v2.</p>
 </div>
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Execution time summary:
  mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)
-  88.5201      88.4573      89.8281      88.3015       0.2439
+  89.2064      89.1410      90.4811      88.7694       0.3194
 </pre></div>
 </div>
 <div class="admonition note">
@@ -643,7 +642,7 @@ This includes support for the VNNI 8 bit dot product instruction (CascadeLake or
 <div class="section" id="deploy-a-quantized-tflite-model">
 <h2>Deploy a quantized TFLite Model<a class="headerlink" href="#deploy-a-quantized-tflite-model" title="Permalink to this headline">¶</a></h2>
 <p>TODO</p>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  24.756 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  29.115 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-deploy-models-deploy-prequantized-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/fb8217c13f4351224c6cf3aacf1a87fc/deploy_prequantized.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">deploy_prequantized.py</span></code></a></p>
diff --git a/docs/how_to/deploy_models/deploy_prequantized_tflite.html b/docs/how_to/deploy_models/deploy_prequantized_tflite.html
index ae1fc8f8c6..0f4f3d6cf2 100644
--- a/docs/how_to/deploy_models/deploy_prequantized_tflite.html
+++ b/docs/how_to/deploy_models/deploy_prequantized_tflite.html
@@ -595,7 +595,7 @@ TFLite Top-5 labels: [387 102 386 341 349]
 </div>
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Execution time summary:
  mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)
-  107.4410     107.1817     130.4887     106.5048      2.3590
+  110.2547     110.1715     114.9507     109.6149      0.5384
 </pre></div>
 </div>
 <div class="admonition note">
diff --git a/docs/how_to/deploy_models/deploy_quantized.html b/docs/how_to/deploy_models/deploy_quantized.html
index 45ec583edc..6b133373df 100644
--- a/docs/how_to/deploy_models/deploy_quantized.html
+++ b/docs/how_to/deploy_models/deploy_quantized.html
@@ -536,7 +536,7 @@ for calibration. But the accuracy might be impacted.</p>
   warnings.warn(
 </pre></div>
 </div>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 2 minutes  49.812 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 2 minutes  25.486 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-deploy-models-deploy-quantized-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/7810ecf51bfc05f7d5e8a400ac3e815d/deploy_quantized.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">deploy_quantized.py</span></code></a></p>
diff --git a/docs/how_to/deploy_models/sg_execution_times.html b/docs/how_to/deploy_models/sg_execution_times.html
index 91eed0246c..4ffa49252f 100644
--- a/docs/how_to/deploy_models/sg_execution_times.html
+++ b/docs/how_to/deploy_models/sg_execution_times.html
@@ -355,7 +355,7 @@
             
   <div class="section" id="computation-times">
 <span id="sphx-glr-how-to-deploy-models-sg-execution-times"></span><h1>Computation times<a class="headerlink" href="#computation-times" title="Permalink to this headline">¶</a></h1>
-<p><strong>12:24.454</strong> total execution time for <strong>how_to_deploy_models</strong> files:</p>
+<p><strong>12:32.905</strong> total execution time for <strong>how_to_deploy_models</strong> files:</p>
 <table class="docutils align-default">
 <colgroup>
 <col style="width: 86%" />
@@ -364,43 +364,43 @@
 </colgroup>
 <tbody>
 <tr class="row-odd"><td><p><a class="reference internal" href="deploy_object_detection_pytorch.html#sphx-glr-how-to-deploy-models-deploy-object-detection-pytorch-py"><span class="std std-ref">Compile PyTorch Object Detection Models</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_object_detection_pytorch.py</span></code>)</p></td>
-<td><p>03:25.343</p></td>
+<td><p>03:41.475</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="deploy_quantized.html#sphx-glr-how-to-deploy-models-deploy-quantized-py"><span class="std std-ref">Deploy a Quantized Model on Cuda</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_quantized.py</span></code>)</p></td>
-<td><p>02:49.812</p></td>
+<td><p>02:25.486</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="deploy_prequantized.html#sphx-glr-how-to-deploy-models-deploy-prequantized-py"><span class="std std-ref">Deploy a Framework-prequantized Model with TVM</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_prequantized.py</span></code>)</p></td>
-<td><p>01:24.756</p></td>
+<td><p>01:29.115</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="deploy_model_on_adreno.html#sphx-glr-how-to-deploy-models-deploy-model-on-adreno-py"><span class="std std-ref">Deploy the Pretrained Model on Adrenoâ„¢</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_model_on_adreno.py</span></code>)</p></td>
-<td><p>01:19.179</p></td>
+<td><p>01:21.192</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="deploy_prequantized_tflite.html#sphx-glr-how-to-deploy-models-deploy-prequantized-tflite-py"><span class="std std-ref">Deploy a Framework-prequantized Model with TVM - Part 3 (TFLite)</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_prequantized_tflite.py</span></code>)</p></td>
-<td><p>00:49.947</p></td>
+<td><p>00:52.419</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
-<tr class="row-even"><td><p><a class="reference internal" href="deploy_model_on_adreno_tvmc.html#sphx-glr-how-to-deploy-models-deploy-model-on-adreno-tvmc-py"><span class="std std-ref">Deploy the Pretrained Model on Adrenoâ„¢ with tvmc Interface</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_model_on_adreno_tvmc.py</span></code>)</p></td>
-<td><p>00:48.322</p></td>
+<tr class="row-even"><td><p><a class="reference internal" href="deploy_model_on_android.html#sphx-glr-how-to-deploy-models-deploy-model-on-android-py"><span class="std std-ref">Deploy the Pretrained Model on Android</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_model_on_android.py</span></code>)</p></td>
+<td><p>00:51.993</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
-<tr class="row-odd"><td><p><a class="reference internal" href="deploy_model_on_android.html#sphx-glr-how-to-deploy-models-deploy-model-on-android-py"><span class="std std-ref">Deploy the Pretrained Model on Android</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_model_on_android.py</span></code>)</p></td>
-<td><p>00:48.308</p></td>
+<tr class="row-odd"><td><p><a class="reference internal" href="deploy_model_on_adreno_tvmc.html#sphx-glr-how-to-deploy-models-deploy-model-on-adreno-tvmc-py"><span class="std std-ref">Deploy the Pretrained Model on Adrenoâ„¢ with tvmc Interface</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_model_on_adreno_tvmc.py</span></code>)</p></td>
+<td><p>00:48.792</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="deploy_model_on_nano.html#sphx-glr-how-to-deploy-models-deploy-model-on-nano-py"><span class="std std-ref">Deploy the Pretrained Model on Jetson Nano</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_model_on_nano.py</span></code>)</p></td>
-<td><p>00:29.535</p></td>
+<td><p>00:31.586</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="deploy_model_on_rasp.html#sphx-glr-how-to-deploy-models-deploy-model-on-rasp-py"><span class="std std-ref">Deploy the Pretrained Model on Raspberry Pi</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_model_on_rasp.py</span></code>)</p></td>
-<td><p>00:29.246</p></td>
+<td><p>00:30.841</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="deploy_sparse.html#sphx-glr-how-to-deploy-models-deploy-sparse-py"><span class="std std-ref">Deploy a Hugging Face Pruned Model on CPU</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_sparse.py</span></code>)</p></td>
-<td><p>00:00.006</p></td>
+<td><p>00:00.007</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 </tbody>
diff --git a/docs/how_to/extend_tvm/bring_your_own_datatypes.html b/docs/how_to/extend_tvm/bring_your_own_datatypes.html
index 5444316939..74657699e4 100644
--- a/docs/how_to/extend_tvm/bring_your_own_datatypes.html
+++ b/docs/how_to/extend_tvm/bring_your_own_datatypes.html
@@ -634,7 +634,7 @@ In this alpha state of the Bring Your Own Datatypes framework, we have not imple
 <span class="n">module</span><span class="p">,</span> <a href="https://docs.python.org/3/library/stdtypes.html#dict" title="builtins.dict" class="sphx-glr-backref-module-builtins sphx-glr-backref-type-py-class sphx-glr-backref-instance"><span class="n">params</span></a> <span class="o">=</span> <span class="n">get_mobilenet</span><span class="p">()</span>
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Downloading /workspace/.mxnet/models/mobilenet0.25-9f83e440.zip41398fd1-55a6-4cbf-aab3-9360365a4ae4 from https://apache-mxnet.s3-accelerate.dualstack.amazonaws.com/gluon/models/mobilenet0.25-9f83e440.zip...
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Downloading /workspace/.mxnet/models/mobilenet0.25-9f83e440.zipba07a27b-88d9-4a5e-9d6e-76f5762539d0 from https://apache-mxnet.s3-accelerate.dualstack.amazonaws.com/gluon/models/mobilenet0.25-9f83e440.zip...
 </pre></div>
 </div>
 <p>It’s easy to execute MobileNet with native TVM:</p>
diff --git a/docs/how_to/extend_tvm/sg_execution_times.html b/docs/how_to/extend_tvm/sg_execution_times.html
index b8118e69d3..eb83280f3f 100644
--- a/docs/how_to/extend_tvm/sg_execution_times.html
+++ b/docs/how_to/extend_tvm/sg_execution_times.html
@@ -355,7 +355,7 @@
             
   <div class="section" id="computation-times">
 <span id="sphx-glr-how-to-extend-tvm-sg-execution-times"></span><h1>Computation times<a class="headerlink" href="#computation-times" title="Permalink to this headline">¶</a></h1>
-<p><strong>00:55.439</strong> total execution time for <strong>how_to_extend_tvm</strong> files:</p>
+<p><strong>00:58.962</strong> total execution time for <strong>how_to_extend_tvm</strong> files:</p>
 <table class="docutils align-default">
 <colgroup>
 <col style="width: 84%" />
@@ -364,15 +364,15 @@
 </colgroup>
 <tbody>
 <tr class="row-odd"><td><p><a class="reference internal" href="bring_your_own_datatypes.html#sphx-glr-how-to-extend-tvm-bring-your-own-datatypes-py"><span class="std std-ref">Bring Your Own Datatypes to TVM</span></a> (<code class="docutils literal notranslate"><span class="pre">bring_your_own_datatypes.py</span></code>)</p></td>
-<td><p>00:51.675</p></td>
+<td><p>00:54.958</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="use_pass_instrument.html#sphx-glr-how-to-extend-tvm-use-pass-instrument-py"><span class="std std-ref">How to Use TVM Pass Instrument</span></a> (<code class="docutils literal notranslate"><span class="pre">use_pass_instrument.py</span></code>)</p></td>
-<td><p>00:02.628</p></td>
+<td><p>00:02.799</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="use_pass_infra.html#sphx-glr-how-to-extend-tvm-use-pass-infra-py"><span class="std std-ref">How to Use TVM Pass Infra</span></a> (<code class="docutils literal notranslate"><span class="pre">use_pass_infra.py</span></code>)</p></td>
-<td><p>00:01.130</p></td>
+<td><p>00:01.197</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="low_level_custom_pass.html#sphx-glr-how-to-extend-tvm-low-level-custom-pass-py"><span class="std std-ref">Writing a Customized Pass</span></a> (<code class="docutils literal notranslate"><span class="pre">low_level_custom_pass.py</span></code>)</p></td>
diff --git a/docs/how_to/extend_tvm/use_pass_instrument.html b/docs/how_to/extend_tvm/use_pass_instrument.html
index 4fd4fa490f..2a0f758ec6 100644
--- a/docs/how_to/extend_tvm/use_pass_instrument.html
+++ b/docs/how_to/extend_tvm/use_pass_instrument.html
@@ -541,10 +541,10 @@ profile the execution time of each passes.</p>
 </pre></div>
 </div>
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Printing results of timing profile...
-InferType: 23277us [23277us] (48.70%; 48.70%)
-FoldScaleAxis: 24521us [6us] (51.30%; 51.30%)
-        FoldConstant: 24514us [1672us] (51.29%; 99.97%)
-                InferType: 22842us [22842us] (47.79%; 93.18%)
+InferType: 23383us [23383us] (48.44%; 48.44%)
+FoldScaleAxis: 24893us [8us] (51.56%; 51.56%)
+        FoldConstant: 24885us [1775us] (51.55%; 99.97%)
+                InferType: 23110us [23110us] (47.87%; 92.87%)
 </pre></div>
 </div>
 </div>
@@ -566,10 +566,10 @@ Refer to following sections and <a class="reference internal" href="../../refere
 </pre></div>
 </div>
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Printing results of timing profile...
-InferType: 22568us [22568us] (48.42%; 48.42%)
-FoldScaleAxis: 24041us [5us] (51.58%; 51.58%)
-        FoldConstant: 24036us [1592us] (51.57%; 99.98%)
-                InferType: 22444us [22444us] (48.15%; 93.38%)
+InferType: 23034us [23034us] (48.18%; 48.18%)
+FoldScaleAxis: 24776us [7us] (51.82%; 51.82%)
+        FoldConstant: 24768us [1806us] (51.81%; 99.97%)
+                InferType: 22962us [22962us] (48.03%; 92.71%)
 </pre></div>
 </div>
 <p>Register empty list to clear existing instruments.</p>
diff --git a/docs/how_to/optimize_operators/opt_conv_cuda.html b/docs/how_to/optimize_operators/opt_conv_cuda.html
index 0b6099e9f4..7d6e66fa79 100644
--- a/docs/how_to/optimize_operators/opt_conv_cuda.html
+++ b/docs/how_to/optimize_operators/opt_conv_cuda.html
@@ -590,7 +590,7 @@ latency of convolution.</p>
 <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;Convolution: </span><span class="si">%f</span><span class="s2"> ms&quot;</span> <span class="o">%</span> <span class="p">(</span><span class="n">evaluator</span><span class="p">(</span><span class="n">a</span><span class="p">,</span> <span class="n">w</span><span class="p">,</span> <span class="n">b</span><span class="p">)</span><span class="o">.</span><span class="n">mean</span> <span class="o">*</span> <span cl [...]
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Convolution: 37.394462 ms
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Convolution: 37.716030 ms
 </pre></div>
 </div>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-optimize-operators-opt-conv-cuda-py">
diff --git a/docs/how_to/optimize_operators/opt_conv_tensorcore.html b/docs/how_to/optimize_operators/opt_conv_tensorcore.html
index e9a7811edd..2ab08b52f1 100644
--- a/docs/how_to/optimize_operators/opt_conv_tensorcore.html
+++ b/docs/how_to/optimize_operators/opt_conv_tensorcore.html
@@ -872,7 +872,7 @@ be able to run on our build server</p>
     <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;conv2d with tensor core: </span><span class="si">%f</span><span class="s2"> ms&quot;</span> <span class="o">%</span> <span class="p">(</span><span class="n">evaluator</span><span class="p">(</span><span class="n">a</span><span class="p">,</span> <span class="n">w</span><span class="p">,</span> <span class="n">c</span><span class="p">)</span><span class="o">.</span><span class="n">mean</span> <span class="o">* [...]
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>conv2d with tensor core: 12.273107 ms
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>conv2d with tensor core: 12.264448 ms
 </pre></div>
 </div>
 </div>
diff --git a/docs/how_to/optimize_operators/opt_gemm.html b/docs/how_to/optimize_operators/opt_gemm.html
index e3ca898864..c47577ccde 100644
--- a/docs/how_to/optimize_operators/opt_gemm.html
+++ b/docs/how_to/optimize_operators/opt_gemm.html
@@ -487,8 +487,8 @@ Then we write a baseline implementation, the simplest way to write a matrix mult
 <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;Baseline: </span><span class="si">%f</span><span class="s2">&quot;</span> <span class="o">%</span> <span class="n">evaluator</span><span class="p">(</span><span class="n">a</span><span class="p">,</span> <span class="n">b</span><span class="p">,</span> <span class="n">c</span><span class="p">)</span><span class="o">.</span><span class="n">mean</span><span class="p">)</span>
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Numpy running time: 0.017484
-Baseline: 3.200752
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Numpy running time: 0.018754
+Baseline: 3.433402
 </pre></div>
 </div>
 <p>In TVM, we can always inspect lower level IR to debug or optimize our schedule.
@@ -547,7 +547,7 @@ fill 32 * 32 * sizeof(float) which is 4KB in the cache whose total size is 32KB
 <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;Opt1: </span><span class="si">%f</span><span class="s2">&quot;</span> <span class="o">%</span> <span class="n">evaluator</span><span class="p">(</span><span class="n">a</span><span class="p">,</span> <span class="n">b</span><span class="p">,</span> <span class="n">c</span><span class="p">)</span><span class="o">.</span><span class="n">mean</span><span class="p">)</span>
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt1: 0.294598
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt1: 0.317832
 </pre></div>
 </div>
 <p>Here is the generated IR after blocking.</p>
@@ -604,7 +604,7 @@ vastly.</p>
 <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;Opt2: </span><span class="si">%f</span><span class="s2">&quot;</span> <span class="o">%</span> <span class="n">evaluator</span><span class="p">(</span><span class="n">a</span><span class="p">,</span> <span class="n">b</span><span class="p">,</span> <span class="n">c</span><span class="p">)</span><span class="o">.</span><span class="n">mean</span><span class="p">)</span>
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt2: 0.273858
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt2: 0.304177
 </pre></div>
 </div>
 <p>Here is the generated IR after vectorization.</p>
@@ -659,7 +659,7 @@ the access pattern for A matrix is more cache friendly.</p>
 <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;Opt3: </span><span class="si">%f</span><span class="s2">&quot;</span> <span class="o">%</span> <span class="n">evaluator</span><span class="p">(</span><span class="n">a</span><span class="p">,</span> <span class="n">b</span><span class="p">,</span> <span class="n">c</span><span class="p">)</span><span class="o">.</span><span class="n">mean</span><span class="p">)</span>
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt3: 0.109366
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt3: 0.119378
 </pre></div>
 </div>
 <p>Here is the generated IR after loop permutation.</p>
@@ -736,7 +736,7 @@ flattening.</p>
 <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;Opt4: </span><span class="si">%f</span><span class="s2">&quot;</span> <span class="o">%</span> <span class="n">evaluator</span><span class="p">(</span><span class="n">a</span><span class="p">,</span> <span class="n">b</span><span class="p">,</span> <span class="n">c</span><span class="p">)</span><span class="o">.</span><span class="n">mean</span><span class="p">)</span>
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt4: 0.106350
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt4: 0.108205
 </pre></div>
 </div>
 <p>Here is the generated IR after array packing.</p>
@@ -814,7 +814,7 @@ write to C when all the block results are ready.</p>
 <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;Opt5: </span><span class="si">%f</span><span class="s2">&quot;</span> <span class="o">%</span> <span class="n">evaluator</span><span class="p">(</span><span class="n">a</span><span class="p">,</span> <span class="n">b</span><span class="p">,</span> <span class="n">c</span><span class="p">)</span><span class="o">.</span><span class="n">mean</span><span class="p">)</span>
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt5: 0.110870
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt5: 0.112157
 </pre></div>
 </div>
 <p>Here is the generated IR after blocking.</p>
@@ -894,7 +894,7 @@ class Module:
 <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;Opt6: </span><span class="si">%f</span><span class="s2">&quot;</span> <span class="o">%</span> <span class="n">opt6_time</span><span class="p">)</span>
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt6: 0.131637
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt6: 0.134299
 </pre></div>
 </div>
 <p>Here is the generated IR after parallelization.</p>
diff --git a/docs/how_to/optimize_operators/sg_execution_times.html b/docs/how_to/optimize_operators/sg_execution_times.html
index 59ac3efd8a..eab044786e 100644
--- a/docs/how_to/optimize_operators/sg_execution_times.html
+++ b/docs/how_to/optimize_operators/sg_execution_times.html
@@ -355,7 +355,7 @@
             
   <div class="section" id="computation-times">
 <span id="sphx-glr-how-to-optimize-operators-sg-execution-times"></span><h1>Computation times<a class="headerlink" href="#computation-times" title="Permalink to this headline">¶</a></h1>
-<p><strong>00:33.062</strong> total execution time for <strong>how_to_optimize_operators</strong> files:</p>
+<p><strong>00:35.131</strong> total execution time for <strong>how_to_optimize_operators</strong> files:</p>
 <table class="docutils align-default">
 <colgroup>
 <col style="width: 83%" />
@@ -364,15 +364,15 @@
 </colgroup>
 <tbody>
 <tr class="row-odd"><td><p><a class="reference internal" href="opt_gemm.html#sphx-glr-how-to-optimize-operators-opt-gemm-py"><span class="std std-ref">How to optimize GEMM on CPU</span></a> (<code class="docutils literal notranslate"><span class="pre">opt_gemm.py</span></code>)</p></td>
-<td><p>00:29.878</p></td>
+<td><p>00:31.673</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="opt_conv_tensorcore.html#sphx-glr-how-to-optimize-operators-opt-conv-tensorcore-py"><span class="std std-ref">How to optimize convolution using TensorCores</span></a> (<code class="docutils literal notranslate"><span class="pre">opt_conv_tensorcore.py</span></code>)</p></td>
-<td><p>00:02.000</p></td>
+<td><p>00:02.099</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="opt_conv_cuda.html#sphx-glr-how-to-optimize-operators-opt-conv-cuda-py"><span class="std std-ref">How to optimize convolution on GPU</span></a> (<code class="docutils literal notranslate"><span class="pre">opt_conv_cuda.py</span></code>)</p></td>
-<td><p>00:01.183</p></td>
+<td><p>00:01.359</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 </tbody>
diff --git a/docs/how_to/tune_with_autoscheduler/sg_execution_times.html b/docs/how_to/tune_with_autoscheduler/sg_execution_times.html
index f8414e9e9c..c67e78c60c 100644
--- a/docs/how_to/tune_with_autoscheduler/sg_execution_times.html
+++ b/docs/how_to/tune_with_autoscheduler/sg_execution_times.html
@@ -355,7 +355,7 @@
             
   <div class="section" id="computation-times">
 <span id="sphx-glr-how-to-tune-with-autoscheduler-sg-execution-times"></span><h1>Computation times<a class="headerlink" href="#computation-times" title="Permalink to this headline">¶</a></h1>
-<p><strong>03:30.279</strong> total execution time for <strong>how_to_tune_with_autoscheduler</strong> files:</p>
+<p><strong>03:38.594</strong> total execution time for <strong>how_to_tune_with_autoscheduler</strong> files:</p>
 <table class="docutils align-default">
 <colgroup>
 <col style="width: 85%" />
@@ -364,27 +364,27 @@
 </colgroup>
 <tbody>
 <tr class="row-odd"><td><p><a class="reference internal" href="tune_network_x86.html#sphx-glr-how-to-tune-with-autoscheduler-tune-network-x86-py"><span class="std std-ref">Auto-scheduling a Neural Network for x86 CPU</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_network_x86.py</span></code>)</p></td>
-<td><p>01:30.059</p></td>
+<td><p>01:34.397</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="tune_network_cuda.html#sphx-glr-how-to-tune-with-autoscheduler-tune-network-cuda-py"><span class="std std-ref">Auto-scheduling a Neural Network for NVIDIA GPU</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_network_cuda.py</span></code>)</p></td>
-<td><p>01:11.139</p></td>
+<td><p>01:13.332</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="tune_conv2d_layer_cuda.html#sphx-glr-how-to-tune-with-autoscheduler-tune-conv2d-layer-cuda-py"><span class="std std-ref">Auto-scheduling a Convolution Layer for GPU</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_conv2d_layer_cuda.py</span></code>)</p></td>
-<td><p>00:17.478</p></td>
+<td><p>00:17.549</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="tune_network_arm.html#sphx-glr-how-to-tune-with-autoscheduler-tune-network-arm-py"><span class="std std-ref">Auto-scheduling a Neural Network for ARM CPU</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_network_arm.py</span></code>)</p></td>
-<td><p>00:16.043</p></td>
+<td><p>00:16.774</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="tune_network_mali.html#sphx-glr-how-to-tune-with-autoscheduler-tune-network-mali-py"><span class="std std-ref">Auto-scheduling a Neural Network for mali GPU</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_network_mali.py</span></code>)</p></td>
-<td><p>00:15.458</p></td>
+<td><p>00:16.440</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="tune_sparse_x86.html#sphx-glr-how-to-tune-with-autoscheduler-tune-sparse-x86-py"><span class="std std-ref">Auto-scheduling Sparse Matrix Multiplication on CPU with Custom Sketch Rule</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_sparse_x86.py</span></code>)</p></td>
-<td><p>00:00.103</p></td>
+<td><p>00:00.102</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 </tbody>
diff --git a/docs/how_to/tune_with_autoscheduler/tune_conv2d_layer_cuda.html b/docs/how_to/tune_with_autoscheduler/tune_conv2d_layer_cuda.html
index eefa130f7c..8935c79772 100644
--- a/docs/how_to/tune_with_autoscheduler/tune_conv2d_layer_cuda.html
+++ b/docs/how_to/tune_with_autoscheduler/tune_conv2d_layer_cuda.html
@@ -1027,7 +1027,7 @@ class Module:
 <span class="p">)</span>
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Execution time of this operator: 0.348 ms
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Execution time of this operator: 0.342 ms
 </pre></div>
 </div>
 </div>
diff --git a/docs/how_to/tune_with_autoscheduler/tune_network_cuda.html b/docs/how_to/tune_with_autoscheduler/tune_network_cuda.html
index 60734e1950..0c8b5ac83d 100644
--- a/docs/how_to/tune_with_autoscheduler/tune_network_cuda.html
+++ b/docs/how_to/tune_with_autoscheduler/tune_network_cuda.html
@@ -931,7 +931,7 @@ so we can read the log file and load the best schedules.</p>
 Evaluate inference time cost...
 Execution time summary:
  mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)
-   3.2687       3.2699       3.2712       3.2651       0.0026
+   3.2445       3.2427       3.2497       3.2410       0.0038
 </pre></div>
 </div>
 </div>
@@ -953,7 +953,7 @@ to learn how to use the RPC Tracker and RPC Server.
 To use the RPC Tracker in auto-scheduler, replace the runner in <code class="code docutils literal notranslate"><span class="pre">TuningOptions</span></code>
 with <a class="reference internal" href="../../reference/api/python/auto_scheduler.html#tvm.auto_scheduler.RPCRunner" title="tvm.auto_scheduler.RPCRunner"><code class="xref any py py-class docutils literal notranslate"><span class="pre">auto_scheduler.RPCRunner</span></code></a>.</p></li>
 </ol>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  11.139 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  13.332 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-tune-with-autoscheduler-tune-network-cuda-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/eafe360d52540634c9eea0fa89e804bd/tune_network_cuda.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">tune_network_cuda.py</span></code></a></p>
diff --git a/docs/how_to/tune_with_autoscheduler/tune_network_x86.html b/docs/how_to/tune_with_autoscheduler/tune_network_x86.html
index d5d818644f..b452e7a47e 100644
--- a/docs/how_to/tune_with_autoscheduler/tune_network_x86.html
+++ b/docs/how_to/tune_with_autoscheduler/tune_network_x86.html
@@ -950,7 +950,7 @@ so we can read the log file and load the best schedules.</p>
 Evaluate inference time cost...
 Execution time summary:
  mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)
-  756.5339     756.5140     757.0487     756.0391      0.4124
+  767.1440     768.7540     770.2038     762.4741      3.3547
 </pre></div>
 </div>
 </div>
@@ -972,7 +972,7 @@ to learn how to use the RPC Tracker and RPC Server.
 To use the RPC Tracker in auto-scheduler, replace the runner in <code class="code docutils literal notranslate"><span class="pre">TuningOptions</span></code>
 with <a class="reference internal" href="../../reference/api/python/auto_scheduler.html#tvm.auto_scheduler.RPCRunner" title="tvm.auto_scheduler.RPCRunner"><code class="xref any py py-class docutils literal notranslate"><span class="pre">auto_scheduler.RPCRunner</span></code></a>.</p></li>
 </ol>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  30.059 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  34.397 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-tune-with-autoscheduler-tune-network-x86-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/e416b94ca1090b0897c0f6e0df95b911/tune_network_x86.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">tune_network_x86.py</span></code></a></p>
diff --git a/docs/how_to/tune_with_autotvm/sg_execution_times.html b/docs/how_to/tune_with_autotvm/sg_execution_times.html
index 03ca643e64..4053d93eb7 100644
--- a/docs/how_to/tune_with_autotvm/sg_execution_times.html
+++ b/docs/how_to/tune_with_autotvm/sg_execution_times.html
@@ -355,7 +355,7 @@
             
   <div class="section" id="computation-times">
 <span id="sphx-glr-how-to-tune-with-autotvm-sg-execution-times"></span><h1>Computation times<a class="headerlink" href="#computation-times" title="Permalink to this headline">¶</a></h1>
-<p><strong>00:23.696</strong> total execution time for <strong>how_to_tune_with_autotvm</strong> files:</p>
+<p><strong>00:23.864</strong> total execution time for <strong>how_to_tune_with_autotvm</strong> files:</p>
 <table class="docutils align-default">
 <colgroup>
 <col style="width: 84%" />
@@ -364,11 +364,11 @@
 </colgroup>
 <tbody>
 <tr class="row-odd"><td><p><a class="reference internal" href="tune_conv2d_cuda.html#sphx-glr-how-to-tune-with-autotvm-tune-conv2d-cuda-py"><span class="std std-ref">Tuning High Performance Convolution on NVIDIA GPUs</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_conv2d_cuda.py</span></code>)</p></td>
-<td><p>00:23.654</p></td>
+<td><p>00:23.825</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="tune_relay_x86.html#sphx-glr-how-to-tune-with-autotvm-tune-relay-x86-py"><span class="std std-ref">Auto-tuning a Convolutional Network for x86 CPU</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_relay_x86.py</span></code>)</p></td>
-<td><p>00:00.025</p></td>
+<td><p>00:00.023</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="tune_relay_cuda.html#sphx-glr-how-to-tune-with-autotvm-tune-relay-cuda-py"><span class="std std-ref">Auto-tuning a Convolutional Network for NVIDIA GPU</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_relay_cuda.py</span></code>)</p></td>
diff --git a/docs/how_to/tune_with_autotvm/tune_conv2d_cuda.html b/docs/how_to/tune_with_autotvm/tune_conv2d_cuda.html
index d9aa1c2b48..596405199b 100644
--- a/docs/how_to/tune_with_autotvm/tune_conv2d_cuda.html
+++ b/docs/how_to/tune_with_autotvm/tune_conv2d_cuda.html
@@ -625,7 +625,7 @@ and measure running time.</p>
 
 Best config:
 ,None
-Time cost of this operator: 0.037294
+Time cost of this operator: 0.037275
 </pre></div>
 </div>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-tune-with-autotvm-tune-conv2d-cuda-py">
diff --git a/docs/how_to/work_with_microtvm/micro_autotune.html b/docs/how_to/work_with_microtvm/micro_autotune.html
index f1f3d43ff8..30b38c495d 100644
--- a/docs/how_to/work_with_microtvm/micro_autotune.html
+++ b/docs/how_to/work_with_microtvm/micro_autotune.html
@@ -659,10 +659,10 @@ the tuned operator.</p>
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>########## Build without Autotuning ##########
 Node Name                                     Ops                                           Time(us)  Time(%)  Shape              Inputs  Outputs  Measurements(us)
 ---------                                     ---                                           --------  -------  -----              ------  -------  ----------------
-tvmgen_default_fused_nn_contrib_conv2d_NCHWc  tvmgen_default_fused_nn_contrib_conv2d_NCHWc  302.8     98.75    (1, 2, 10, 10, 3)  2       1        [302.8]
-tvmgen_default_fused_layout_transform_1       tvmgen_default_fused_layout_transform_1       2.881     0.94     (1, 6, 10, 10)     1       1        [2.881]
-tvmgen_default_fused_layout_transform         tvmgen_default_fused_layout_transform         0.953     0.311    (1, 1, 10, 10, 3)  1       1        [0.953]
-Total_time                                    -                                             306.634   -        -                  -       -        -
+tvmgen_default_fused_nn_contrib_conv2d_NCHWc  tvmgen_default_fused_nn_contrib_conv2d_NCHWc  308.6     98.762   (1, 2, 10, 10, 3)  2       1        [308.6]
+tvmgen_default_fused_layout_transform_1       tvmgen_default_fused_layout_transform_1       2.881     0.922    (1, 6, 10, 10)     1       1        [2.881]
+tvmgen_default_fused_layout_transform         tvmgen_default_fused_layout_transform         0.987     0.316    (1, 1, 10, 10, 3)  1       1        [0.987]
+Total_time                                    -                                             312.469   -        -                  -       -        -
 </pre></div>
 </div>
 </div>
@@ -714,13 +714,13 @@ Total_time                                    -
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>########## Build with Autotuning ##########
 Node Name                                     Ops                                           Time(us)  Time(%)  Shape              Inputs  Outputs  Measurements(us)
 ---------                                     ---                                           --------  -------  -----              ------  -------  ----------------
-tvmgen_default_fused_nn_contrib_conv2d_NCHWc  tvmgen_default_fused_nn_contrib_conv2d_NCHWc  100.3     97.33    (1, 6, 10, 10, 1)  2       1        [100.3]
-tvmgen_default_fused_layout_transform_1       tvmgen_default_fused_layout_transform_1       1.79      1.737    (1, 6, 10, 10)     1       1        [1.79]
-tvmgen_default_fused_layout_transform         tvmgen_default_fused_layout_transform         0.962     0.933    (1, 1, 10, 10, 3)  1       1        [0.962]
-Total_time                                    -                                             103.052   -        -                  -       -        -
+tvmgen_default_fused_nn_contrib_conv2d_NCHWc  tvmgen_default_fused_nn_contrib_conv2d_NCHWc  104.6     97.447   (1, 6, 10, 10, 1)  2       1        [104.6]
+tvmgen_default_fused_layout_transform_1       tvmgen_default_fused_layout_transform_1       1.891     1.762    (1, 6, 10, 10)     1       1        [1.891]
+tvmgen_default_fused_layout_transform         tvmgen_default_fused_layout_transform         0.849     0.791    (1, 3, 10, 10, 1)  1       1        [0.849]
+Total_time                                    -                                             107.34    -        -                  -       -        -
 </pre></div>
 </div>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  23.014 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  28.395 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-work-with-microtvm-micro-autotune-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/9ccca8fd489a1486ac71b55a55c320c5/micro_autotune.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">micro_autotune.py</span></code></a></p>
diff --git a/docs/how_to/work_with_microtvm/micro_pytorch.html b/docs/how_to/work_with_microtvm/micro_pytorch.html
index 452eaff05b..347298f455 100644
--- a/docs/how_to/work_with_microtvm/micro_pytorch.html
+++ b/docs/how_to/work_with_microtvm/micro_pytorch.html
@@ -470,8 +470,8 @@ download a cat image and preprocess it to use as the model input.</p>
 Downloading: &quot;https://download.pytorch.org/models/quantized/mobilenet_v2_qnnpack_37f702c5.pth&quot; to /workspace/.cache/torch/hub/checkpoints/mobilenet_v2_qnnpack_37f702c5.pth
 
   0%|          | 0.00/3.42M [00:00&lt;?, ?B/s]
- 61%|######    | 2.09M/3.42M [00:00&lt;00:00, 4.62MB/s]
-100%|##########| 3.42M/3.42M [00:00&lt;00:00, 7.51MB/s]
+ 61%|######    | 2.09M/3.42M [00:00&lt;00:00, 4.92MB/s]
+100%|##########| 3.42M/3.42M [00:00&lt;00:00, 7.96MB/s]
 /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torch/_utils.py:314: UserWarning: TypedStorage is deprecated. It will be removed in the future and UntypedStorage will be the only storage class. This should only matter to you if you are using storages directly.  To access UntypedStorage directly, use tensor.untyped_storage() instead of tensor.storage()
   device=storage.device,
 /workspace/python/tvm/relay/frontend/pytorch_utils.py:47: DeprecationWarning: distutils Version classes are deprecated. Use packaging.version instead.
@@ -599,7 +599,7 @@ via the host <cite>main.cc`</cite> or if a Zephyr emulated board is selected as
 Torch top-1 id: 282, class name: tiger cat
 </pre></div>
 </div>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  25.558 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  30.321 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-work-with-microtvm-micro-pytorch-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/12b9ecc04c41abaa12022061771821d1/micro_pytorch.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">micro_pytorch.py</span></code></a></p>
diff --git a/docs/how_to/work_with_microtvm/micro_train.html b/docs/how_to/work_with_microtvm/micro_train.html
index fa5c04aae5..43f7f0a374 100644
--- a/docs/how_to/work_with_microtvm/micro_train.html
+++ b/docs/how_to/work_with_microtvm/micro_train.html
@@ -538,7 +538,7 @@ take about <strong>2 minutes</strong> to download the Stanford Cars, while COCO
 <a href="https://docs.python.org/3/library/shutil.html#shutil.move" title="shutil.move" class="sphx-glr-backref-module-shutil sphx-glr-backref-type-py-function"><span class="n">shutil</span><span class="o">.</span><span class="n">move</span></a><span class="p">(</span><span class="sa">f</span><span class="s2">&quot;</span><span class="si">{</span><a href="https://docs.python.org/3/library/stdtypes.html#str" title="builtins.str" class="sphx-glr-backref-module-builtins sphx-glr-backref-typ [...]
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>&#39;/tmp/tmprgrucuqn/images/random&#39;
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>&#39;/tmp/tmpj6s0wly9/images/random&#39;
 </pre></div>
 </div>
 </div>
@@ -598,8 +598,8 @@ objects to other stuff? We can display some examples from our datasets using <co
     <span class="n">plt</span><span class="o">.</span><span class="n">axis</span><span class="p">(</span><span class="s2">&quot;off&quot;</span><span class="p">)</span>
 </pre></div>
 </div>
-<img src="../../_images/sphx_glr_micro_train_001.png" srcset="../../_images/sphx_glr_micro_train_001.png" alt="[1.0, 0.0], [1.0, 0.0], [1.0, 0.0], [0.0, 1.0], [0.0, 1.0], [0.0, 1.0], [0.0, 1.0], [0.0, 1.0], [1.0, 0.0], [0.0, 1.0]" class = "sphx-glr-single-img"/><div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>/tmp/tmprgrucuqn/images/target contains 8144 images
-/tmp/tmprgrucuqn/images/random contains 5000 images
+<img src="../../_images/sphx_glr_micro_train_001.png" srcset="../../_images/sphx_glr_micro_train_001.png" alt="[1.0, 0.0], [1.0, 0.0], [1.0, 0.0], [0.0, 1.0], [0.0, 1.0], [0.0, 1.0], [0.0, 1.0], [0.0, 1.0], [1.0, 0.0], [0.0, 1.0]" class = "sphx-glr-single-img"/><div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>/tmp/tmpj6s0wly9/images/target contains 8144 images
+/tmp/tmpj6s0wly9/images/random contains 5000 images
 </pre></div>
 </div>
 </div>
@@ -711,13 +711,13 @@ the time on our validation set).</p>
 </pre></div>
 </div>
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Epoch 1/3
-328/328 - 40s - loss: 0.2188 - accuracy: 0.9230 - val_loss: 0.1100 - val_accuracy: 0.9585 - 40s/epoch - 123ms/step
+328/328 - 42s - loss: 0.2165 - accuracy: 0.9237 - val_loss: 0.1030 - val_accuracy: 0.9653 - 42s/epoch - 128ms/step
 Epoch 2/3
-328/328 - 35s - loss: 0.0986 - accuracy: 0.9633 - val_loss: 0.0946 - val_accuracy: 0.9622 - 35s/epoch - 107ms/step
+328/328 - 36s - loss: 0.1002 - accuracy: 0.9646 - val_loss: 0.1067 - val_accuracy: 0.9619 - 36s/epoch - 109ms/step
 Epoch 3/3
-328/328 - 35s - loss: 0.0656 - accuracy: 0.9762 - val_loss: 0.0915 - val_accuracy: 0.9683 - 35s/epoch - 107ms/step
+328/328 - 36s - loss: 0.0649 - accuracy: 0.9747 - val_loss: 0.0956 - val_accuracy: 0.9698 - 36s/epoch - 108ms/step
 
-&lt;keras.callbacks.History object at 0x7fcd322ecb50&gt;
+&lt;keras.callbacks.History object at 0x7f650fa97d30&gt;
 </pre></div>
 </div>
 </div>
@@ -981,7 +981,7 @@ as intended.</p>
 <p>From here, we could modify the model to read live images from the camera - we have another
 Arduino tutorial for how to do that <a class="reference external" href="https://github.com/guberti/tvm-arduino-demos/tree/master/examples/person_detection">on GitHub</a>. Alternatively, we could also
 <a class="reference external" href="https://tvm.apache.org/docs/how_to/work_with_microtvm/micro_autotune.html">use TVM’s autotuning capabilities</a> to dramatically improve the model’s performance.</p>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 5 minutes  34.435 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 5 minutes  22.994 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-work-with-microtvm-micro-train-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/b52cec46baf4f78d6bcd94cbe269c8a6/micro_train.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">micro_train.py</span></code></a></p>
diff --git a/docs/how_to/work_with_microtvm/sg_execution_times.html b/docs/how_to/work_with_microtvm/sg_execution_times.html
index fe05d9f271..f0b32c36ce 100644
--- a/docs/how_to/work_with_microtvm/sg_execution_times.html
+++ b/docs/how_to/work_with_microtvm/sg_execution_times.html
@@ -355,7 +355,7 @@
             
   <div class="section" id="computation-times">
 <span id="sphx-glr-how-to-work-with-microtvm-sg-execution-times"></span><h1>Computation times<a class="headerlink" href="#computation-times" title="Permalink to this headline">¶</a></h1>
-<p><strong>08:51.188</strong> total execution time for <strong>how_to_work_with_microtvm</strong> files:</p>
+<p><strong>08:51.841</strong> total execution time for <strong>how_to_work_with_microtvm</strong> files:</p>
 <table class="docutils align-default">
 <colgroup>
 <col style="width: 82%" />
@@ -364,27 +364,27 @@
 </colgroup>
 <tbody>
 <tr class="row-odd"><td><p><a class="reference internal" href="micro_train.html#sphx-glr-how-to-work-with-microtvm-micro-train-py"><span class="std std-ref">5. Training Vision Models for microTVM on Arduino</span></a> (<code class="docutils literal notranslate"><span class="pre">micro_train.py</span></code>)</p></td>
-<td><p>05:34.435</p></td>
+<td><p>05:22.994</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="micro_pytorch.html#sphx-glr-how-to-work-with-microtvm-micro-pytorch-py"><span class="std std-ref">4. microTVM PyTorch Tutorial</span></a> (<code class="docutils literal notranslate"><span class="pre">micro_pytorch.py</span></code>)</p></td>
-<td><p>01:25.558</p></td>
+<td><p>01:30.321</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="micro_autotune.html#sphx-glr-how-to-work-with-microtvm-micro-autotune-py"><span class="std std-ref">6. Model Tuning with microTVM</span></a> (<code class="docutils literal notranslate"><span class="pre">micro_autotune.py</span></code>)</p></td>
-<td><p>01:23.014</p></td>
+<td><p>01:28.395</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="micro_aot.html#sphx-glr-how-to-work-with-microtvm-micro-aot-py"><span class="std std-ref">3. microTVM Ahead-of-Time (AOT) Compilation</span></a> (<code class="docutils literal notranslate"><span class="pre">micro_aot.py</span></code>)</p></td>
-<td><p>00:11.543</p></td>
+<td><p>00:12.073</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="micro_tflite.html#sphx-glr-how-to-work-with-microtvm-micro-tflite-py"><span class="std std-ref">2. microTVM TFLite Tutorial</span></a> (<code class="docutils literal notranslate"><span class="pre">micro_tflite.py</span></code>)</p></td>
-<td><p>00:08.503</p></td>
+<td><p>00:09.538</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="micro_custom_ide.html#sphx-glr-how-to-work-with-microtvm-micro-custom-ide-py"><span class="std std-ref">9. Bring microTVM to your own development environment</span></a> (<code class="docutils literal notranslate"><span class="pre">micro_custom_ide.py</span></code>)</p></td>
-<td><p>00:08.135</p></td>
+<td><p>00:08.520</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="micro_ethosu.html#sphx-glr-how-to-work-with-microtvm-micro-ethosu-py"><span class="std std-ref">7. Running TVM on bare metal Arm(R) Cortex(R)-M55 CPU and Ethos(TM)-U55 NPU with CMSIS-NN</span></a> (<code class="docutils literal notranslate"><span class="pre">micro_ethosu.py</span></code>)</p></td>
diff --git a/docs/how_to/work_with_relay/sg_execution_times.html b/docs/how_to/work_with_relay/sg_execution_times.html
index 19dd3cdbca..905762ccd3 100644
--- a/docs/how_to/work_with_relay/sg_execution_times.html
+++ b/docs/how_to/work_with_relay/sg_execution_times.html
@@ -355,7 +355,7 @@
             
   <div class="section" id="computation-times">
 <span id="sphx-glr-how-to-work-with-relay-sg-execution-times"></span><h1>Computation times<a class="headerlink" href="#computation-times" title="Permalink to this headline">¶</a></h1>
-<p><strong>00:38.809</strong> total execution time for <strong>how_to_work_with_relay</strong> files:</p>
+<p><strong>00:40.803</strong> total execution time for <strong>how_to_work_with_relay</strong> files:</p>
 <table class="docutils align-default">
 <colgroup>
 <col style="width: 84%" />
@@ -364,15 +364,15 @@
 </colgroup>
 <tbody>
 <tr class="row-odd"><td><p><a class="reference internal" href="using_pipeline_executor.html#sphx-glr-how-to-work-with-relay-using-pipeline-executor-py"><span class="std std-ref">Using Pipeline Executor in Relay</span></a> (<code class="docutils literal notranslate"><span class="pre">using_pipeline_executor.py</span></code>)</p></td>
-<td><p>00:33.633</p></td>
+<td><p>00:35.580</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="using_external_lib.html#sphx-glr-how-to-work-with-relay-using-external-lib-py"><span class="std std-ref">Using External Libraries in Relay</span></a> (<code class="docutils literal notranslate"><span class="pre">using_external_lib.py</span></code>)</p></td>
-<td><p>00:03.133</p></td>
+<td><p>00:03.246</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="build_gcn.html#sphx-glr-how-to-work-with-relay-build-gcn-py"><span class="std std-ref">Building a Graph Convolutional Network</span></a> (<code class="docutils literal notranslate"><span class="pre">build_gcn.py</span></code>)</p></td>
-<td><p>00:02.037</p></td>
+<td><p>00:01.971</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="using_relay_viz.html#sphx-glr-how-to-work-with-relay-using-relay-viz-py"><span class="std std-ref">Use Relay Visualizer to Visualize Relay</span></a> (<code class="docutils literal notranslate"><span class="pre">using_relay_viz.py</span></code>)</p></td>
diff --git a/docs/how_to/work_with_schedules/intrin_math.html b/docs/how_to/work_with_schedules/intrin_math.html
index 23200326a5..9cdc0d356e 100644
--- a/docs/how_to/work_with_schedules/intrin_math.html
+++ b/docs/how_to/work_with_schedules/intrin_math.html
@@ -567,7 +567,7 @@ The following example customizes CUDA lowering rule for <code class="code docuti
 <a href="../../reference/api/python/ir.html#tvm.ir.register_intrin_lowering" title="tvm.ir.register_intrin_lowering" class="sphx-glr-backref-module-tvm-ir sphx-glr-backref-type-py-function"><span class="n">register_intrin_lowering</span></a><span class="p">(</span><span class="s2">&quot;tir.exp&quot;</span><span class="p">,</span> <span class="n">target</span><span class="o">=</span><span class="s2">&quot;cuda&quot;</span><span class="p">,</span> <span class="n">f</span><span class="o">= [...]
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>&lt;function my_cuda_math_rule at 0x7fce8b2fcee0&gt;
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>&lt;function my_cuda_math_rule at 0x7f651e9cca60&gt;
 </pre></div>
 </div>
 <p>Register the rule to TVM with override option to override existing rule.
diff --git a/docs/how_to/work_with_schedules/sg_execution_times.html b/docs/how_to/work_with_schedules/sg_execution_times.html
index 61b8f9ba46..51f7a39f03 100644
--- a/docs/how_to/work_with_schedules/sg_execution_times.html
+++ b/docs/how_to/work_with_schedules/sg_execution_times.html
@@ -355,7 +355,7 @@
             
   <div class="section" id="computation-times">
 <span id="sphx-glr-how-to-work-with-schedules-sg-execution-times"></span><h1>Computation times<a class="headerlink" href="#computation-times" title="Permalink to this headline">¶</a></h1>
-<p><strong>00:06.389</strong> total execution time for <strong>how_to_work_with_schedules</strong> files:</p>
+<p><strong>00:09.759</strong> total execution time for <strong>how_to_work_with_schedules</strong> files:</p>
 <table class="docutils align-default">
 <colgroup>
 <col style="width: 83%" />
@@ -364,35 +364,35 @@
 </colgroup>
 <tbody>
 <tr class="row-odd"><td><p><a class="reference internal" href="intrin_math.html#sphx-glr-how-to-work-with-schedules-intrin-math-py"><span class="std std-ref">Intrinsics and Math Functions</span></a> (<code class="docutils literal notranslate"><span class="pre">intrin_math.py</span></code>)</p></td>
-<td><p>00:03.332</p></td>
+<td><p>00:06.370</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="tensorize.html#sphx-glr-how-to-work-with-schedules-tensorize-py"><span class="std std-ref">Use Tensorize to Leverage Hardware Intrinsics</span></a> (<code class="docutils literal notranslate"><span class="pre">tensorize.py</span></code>)</p></td>
-<td><p>00:01.287</p></td>
+<td><p>00:01.548</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="reduction.html#sphx-glr-how-to-work-with-schedules-reduction-py"><span class="std std-ref">Reduction</span></a> (<code class="docutils literal notranslate"><span class="pre">reduction.py</span></code>)</p></td>
-<td><p>00:00.754</p></td>
+<td><p>00:00.784</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="scan.html#sphx-glr-how-to-work-with-schedules-scan-py"><span class="std std-ref">Scan and Recurrent Kernel</span></a> (<code class="docutils literal notranslate"><span class="pre">scan.py</span></code>)</p></td>
-<td><p>00:00.735</p></td>
+<td><p>00:00.764</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="extern_op.html#sphx-glr-how-to-work-with-schedules-extern-op-py"><span class="std std-ref">External Tensor Functions</span></a> (<code class="docutils literal notranslate"><span class="pre">extern_op.py</span></code>)</p></td>
-<td><p>00:00.117</p></td>
+<td><p>00:00.118</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="schedule_primitives.html#sphx-glr-how-to-work-with-schedules-schedule-primitives-py"><span class="std std-ref">Schedule Primitives in TVM</span></a> (<code class="docutils literal notranslate"><span class="pre">schedule_primitives.py</span></code>)</p></td>
-<td><p>00:00.068</p></td>
+<td><p>00:00.071</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="tedd.html#sphx-glr-how-to-work-with-schedules-tedd-py"><span class="std std-ref">Use Tensor Expression Debug Display (TEDD) for Visualization</span></a> (<code class="docutils literal notranslate"><span class="pre">tedd.py</span></code>)</p></td>
-<td><p>00:00.065</p></td>
+<td><p>00:00.069</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="tuple_inputs.html#sphx-glr-how-to-work-with-schedules-tuple-inputs-py"><span class="std std-ref">Compute and Reduce with Tuple Inputs</span></a> (<code class="docutils literal notranslate"><span class="pre">tuple_inputs.py</span></code>)</p></td>
-<td><p>00:00.031</p></td>
+<td><p>00:00.033</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 </tbody>
diff --git a/docs/install/nnpack.html b/docs/install/nnpack.html
index f593cbe13b..e6565cbaae 100644
--- a/docs/install/nnpack.html
+++ b/docs/install/nnpack.html
@@ -244,17 +244,7 @@
               <p class="caption" role="heading"><span class="caption-text">Getting Started</span></p>
 <ul class="current">
 <li class="toctree-l1 current"><a class="reference internal" href="index.html">Installing TVM</a><ul class="current">
-<li class="toctree-l2 current"><a class="reference internal" href="from_source.html">Install from Source</a><ul class="current">
-<li class="toctree-l3"><a class="reference internal" href="from_source.html#developers-get-source-from-github">Developers: Get Source from Github</a></li>
-<li class="toctree-l3"><a class="reference internal" href="from_source.html#build-the-shared-library">Build the Shared Library</a></li>
-<li class="toctree-l3"><a class="reference internal" href="from_source.html#python-package-installation">Python Package Installation</a></li>
-<li class="toctree-l3 current"><a class="reference internal" href="from_source.html#install-contrib-libraries">Install Contrib Libraries</a><ul class="current">
-<li class="toctree-l4 current"><a class="current reference internal" href="#">NNPACK Contrib Installation</a></li>
-</ul>
-</li>
-<li class="toctree-l3"><a class="reference internal" href="from_source.html#enable-c-tests">Enable C++ Tests</a></li>
-</ul>
-</li>
+<li class="toctree-l2"><a class="reference internal" href="from_source.html">Install from Source</a></li>
 <li class="toctree-l2"><a class="reference internal" href="docker.html">Docker Images</a></li>
 <li class="toctree-l2 current"><a class="current reference internal" href="#">NNPACK Contrib Installation</a><ul>
 <li class="toctree-l3"><a class="reference internal" href="#conditions">Conditions</a></li>
diff --git a/docs/objects.inv b/docs/objects.inv
index 15988d5431..03441491d4 100644
Binary files a/docs/objects.inv and b/docs/objects.inv differ
diff --git a/docs/reference/api/doxygen/builtin_8h.html b/docs/reference/api/doxygen/builtin_8h.html
index c1640cddd7..ca96086887 100644
--- a/docs/reference/api/doxygen/builtin_8h.html
+++ b/docs/reference/api/doxygen/builtin_8h.html
@@ -328,6 +328,9 @@ Functions</h2></td></tr>
 <tr class="memitem:a89bf47c3237df742ada0b599e3e4787a"><td class="memItemLeft" align="right" valign="top">const Op &amp;&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a">tvm::tir::builtin::ptx_wait_barrier</a> ()</td></tr>
 <tr class="memdesc:a89bf47c3237df742ada0b599e3e4787a"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsics for ptx barrier wait using mbarrier.try_wait  <a href="namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a">More...</a><br /></td></tr>
 <tr class="separator:a89bf47c3237df742ada0b599e3e4787a"><td class="memSeparator" colspan="2">&#160;</td></tr>
+<tr class="memitem:a2dd4fbe8995788ddcd3dfb1f788869ee"><td class="memItemLeft" align="right" valign="top">const Op &amp;&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a2dd4fbe8995788ddcd3dfb1f788869ee">tvm::tir::builtin::create_barriers</a> ()</td></tr>
+<tr class="memdesc:a2dd4fbe8995788ddcd3dfb1f788869ee"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsics to create N barriers  <a href="namespacetvm_1_1tir_1_1builtin.html#a2dd4fbe8995788ddcd3dfb1f788869ee">More...</a><br /></td></tr>
+<tr class="separator:a2dd4fbe8995788ddcd3dfb1f788869ee"><td class="memSeparator" colspan="2">&#160;</td></tr>
 <tr class="memitem:a772fb68f083e71e635c50bb503903f22"><td class="memItemLeft" align="right" valign="top">const Op &amp;&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a772fb68f083e71e635c50bb503903f22">tvm::tir::builtin::mma_store</a> ()</td></tr>
 <tr class="memdesc:a772fb68f083e71e635c50bb503903f22"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsic for storing the result of PTX MMA into a destination pointer. <a class="el" href="classtvm_1_1tir_1_1For.html" title="Managed reference to ForNode.">For</a> example, if each thread in a warp of size 32 has 4 elements from the result of m16xn8xk16 MMA in its registers, this intrinsic can be used to store the result in a 16x8 region in shared or global memory.  <a hre [...]
 <tr class="separator:a772fb68f083e71e635c50bb503903f22"><td class="memSeparator" colspan="2">&#160;</td></tr>
diff --git a/docs/reference/api/doxygen/builtin_8h_source.html b/docs/reference/api/doxygen/builtin_8h_source.html
index fbd37385bf..3d65ec7c4b 100644
--- a/docs/reference/api/doxygen/builtin_8h_source.html
+++ b/docs/reference/api/doxygen/builtin_8h_source.html
@@ -218,77 +218,79 @@ $(function() {
 <div class="line"><a name="l00646"></a><span class="lineno">  646</span>&#160; </div>
 <div class="line"><a name="l00656"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a7eaa1b0b7d7cb550f6a7eaf5efe8d493">  656</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a7eaa1b0b7d7cb550f6a7eaf5efe8d493">ptx_cp_async</a>();</div>
 <div class="line"><a name="l00657"></a><span class="lineno">  657</span>&#160; </div>
-<div class="line"><a name="l00669"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a5a2befd1e97765919a6bd98ae314993e">  669</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a5a2befd1e97765919a6bd98ae314993e">ptx_cp_async_bulk</a>();</div>
-<div class="line"><a name="l00670"></a><span class="lineno">  670</span>&#160; </div>
-<div class="line"><a name="l00678"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a21346695611f032cadc3fad6615fe63b">  678</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a21346695611f032cadc3fad6615fe63b">ptx_commit_group</a>();</div>
-<div class="line"><a name="l00679"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#aed0dcc804c2d1b939969c9e48aa448f9">  679</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#aed0dcc804c2d1b939969c9e48aa448f9">ptx_wait_group</a>();</div>
-<div class="line"><a name="l00680"></a><span class="lineno">  680</span>&#160; </div>
-<div class="line"><a name="l00687"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a2b8d9de5b924dc2dd2dd35a110f767b3">  687</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a2b8d9de5b924dc2dd2dd35a110f767b3">ptx_cp_async_barrier</a>();</div>
-<div class="line"><a name="l00688"></a><span class="lineno">  688</span>&#160; </div>
-<div class="line"><a name="l00695"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#acbd805fd2396ed0803800644f5ecdaa5">  695</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#acbd805fd2396ed0803800644f5ecdaa5">ptx_init_barrier_thread_count</a>();</div>
-<div class="line"><a name="l00696"></a><span class="lineno">  696</span>&#160; </div>
-<div class="line"><a name="l00703"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ac21d88612f6c2c21562411b99e4d24d1">  703</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ac21d88612f6c2c21562411b99e4d24d1">ptx_arrive_barrier</a>();</div>
-<div class="line"><a name="l00704"></a><span class="lineno">  704</span>&#160; </div>
-<div class="line"><a name="l00711"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#aaf28239ff1cf7b756610e4d3b32bdfcd">  711</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#aaf28239ff1cf7b756610e4d3b32bdfcd">ptx_arrive_barrier_expect_tx</a>();</div>
-<div class="line"><a name="l00712"></a><span class="lineno">  712</span>&#160; </div>
-<div class="line"><a name="l00719"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a">  719</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a">ptx_wait_barrier</a>();</div>
-<div class="line"><a name="l00720"></a><span class="lineno">  720</span>&#160; </div>
-<div class="line"><a name="l00733"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a772fb68f083e71e635c50bb503903f22">  733</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a772fb68f083e71e635c50bb503903f22">mma_store</a>();</div>
-<div class="line"><a name="l00734"></a><span class="lineno">  734</span>&#160; </div>
-<div class="line"><a name="l00746"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a307667c449c54cef747d781771f79bab">  746</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a307667c449c54cef747d781771f79bab">mma_fill</a>();</div>
-<div class="line"><a name="l00747"></a><span class="lineno">  747</span>&#160; </div>
-<div class="line"><a name="l00748"></a><span class="lineno">  748</span>&#160;<span class="comment">// TODO(tvm-team) replace the usage of the vector operations by Shuffle.</span></div>
-<div class="line"><a name="l00752"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a45bf65ca7ca01d2016e0b609117d7e25">  752</a></span>&#160;<span class="comment"></span>TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a45bf65ca7ca01d2016e0b609117d7e25">vectorhigh</a>();</div>
-<div class="line"><a name="l00753"></a><span class="lineno">  753</span>&#160; </div>
-<div class="line"><a name="l00757"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a7ed64a9fb0a7f575fc63e1e0395e96a6">  757</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a7ed64a9fb0a7f575fc63e1e0395e96a6">vectorlow</a>();</div>
-<div class="line"><a name="l00758"></a><span class="lineno">  758</span>&#160; </div>
-<div class="line"><a name="l00762"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a30dff65bc2c142b57fae7f60e378ff43">  762</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a30dff65bc2c142b57fae7f60e378ff43">vectorcombine</a>();</div>
-<div class="line"><a name="l00763"></a><span class="lineno">  763</span>&#160; </div>
-<div class="line"><a name="l00767"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ab4a648f6e7451af295688f243a215cd7">  767</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ab4a648f6e7451af295688f243a215cd7">atomic_add</a>();</div>
-<div class="line"><a name="l00771"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a9603510b7eff067c253eb340310c2996">  771</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a9603510b7eff067c253eb340310c2996">nd_mem_alloc_with_scope</a>();</div>
-<div class="line"><a name="l00772"></a><span class="lineno">  772</span>&#160; </div>
-<div class="line"><a name="l00776"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a6ea87b06a1eb1050b7503ef6cc1bab34">  776</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a6ea87b06a1eb1050b7503ef6cc1bab34">texture2d_store</a>();</div>
-<div class="line"><a name="l00777"></a><span class="lineno">  777</span>&#160; </div>
-<div class="line"><a name="l00781"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ae52feb3e7828262a1b8a31062642ae36">  781</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ae52feb3e7828262a1b8a31062642ae36">texture2d_load</a>();</div>
-<div class="line"><a name="l00782"></a><span class="lineno">  782</span>&#160; </div>
-<div class="line"><a name="l00794"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#afd453e860a10562ac2692473edde6707">  794</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#afd453e860a10562ac2692473edde6707">dma_copy</a>();</div>
-<div class="line"><a name="l00795"></a><span class="lineno">  795</span>&#160; </div>
-<div class="line"><a name="l00802"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a13aefe6686345fee7d4a1633dd059806">  802</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a13aefe6686345fee7d4a1633dd059806">dma_wait</a>();</div>
-<div class="line"><a name="l00803"></a><span class="lineno">  803</span>&#160; </div>
-<div class="line"><a name="l00813"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ace1c09d0bcfa95c52dd509e0c40b1824">  813</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ace1c09d0bcfa95c52dd509e0c40b1824">dma_start_group</a>();</div>
-<div class="line"><a name="l00814"></a><span class="lineno">  814</span>&#160; </div>
-<div class="line"><a name="l00826"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a3397d82a9ffb11859615f298f529f538">  826</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a3397d82a9ffb11859615f298f529f538">dma_end_group</a>();</div>
-<div class="line"><a name="l00827"></a><span class="lineno">  827</span>&#160; </div>
-<div class="line"><a name="l00835"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a0b3d8f41abba4b7dd4a9af8ec2f9acba">  835</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a0b3d8f41abba4b7dd4a9af8ec2f9acba">assume</a>();</div>
-<div class="line"><a name="l00836"></a><span class="lineno">  836</span>&#160; </div>
-<div class="line"><a name="l00843"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a77a72a2e21fe0ea2118479924b4fb877">  843</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a77a72a2e21fe0ea2118479924b4fb877">undef</a>();</div>
-<div class="line"><a name="l00844"></a><span class="lineno">  844</span>&#160; </div>
-<div class="line"><a name="l00848"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#aa63c16281d1010a82a06eb771268b4e2">  848</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#aa63c16281d1010a82a06eb771268b4e2">start_profile_intrinsic</a>();</div>
-<div class="line"><a name="l00849"></a><span class="lineno">  849</span>&#160; </div>
-<div class="line"><a name="l00853"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a6c57e9701977267ff96d514bd3c2a5e6">  853</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a6c57e9701977267ff96d514bd3c2a5e6">end_profile_intrinsic</a>();</div>
-<div class="line"><a name="l00854"></a><span class="lineno">  854</span>&#160; </div>
-<div class="line"><a name="l00856"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1c">  856</a></span>&#160;<span class="keyword">enum</span> <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1c">TVMStructFieldKind</a> : <span class="keywordtype">int</span> {</div>
-<div class="line"><a name="l00857"></a><span class="lineno">  857</span>&#160;  <span class="comment">// array head address</span></div>
-<div class="line"><a name="l00858"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0b8af30aa268164148d5bfe1d8c2ba54">  858</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0b8af30aa268164148d5bfe1d8c2ba54">kArrAddr</a>,</div>
-<div class="line"><a name="l00859"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9076fb1a58386bac2e0f1fdae9cab844">  859</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9076fb1a58386bac2e0f1fdae9cab844">kArrData</a>,</div>
-<div class="line"><a name="l00860"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca57f69fd3d141caaa7e2e72fda7d6a1da">  860</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca57f69fd3d141caaa7e2e72fda7d6a1da">kArrShape</a>,</div>
-<div class="line"><a name="l00861"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca96e7b6492b5b174219cf60e19af0857c">  861</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca96e7b6492b5b174219cf60e19af0857c">kArrStrides</a>,</div>
-<div class="line"><a name="l00862"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cad28cfc7b69fd8745e12a4f0024d6942a">  862</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cad28cfc7b69fd8745e12a4f0024d6942a">kArrNDim</a>,</div>
-<div class="line"><a name="l00863"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca5ce842cabb26975681dd561c5132af1b">  863</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca5ce842cabb26975681dd561c5132af1b">kArrTypeCode</a>,</div>
-<div class="line"><a name="l00864"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca344dc1f419339b81024d4d3628083a1e">  864</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca344dc1f419339b81024d4d3628083a1e">kArrTypeBits</a>,</div>
-<div class="line"><a name="l00865"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0c960782c20a4f16cfe203c516760b00">  865</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0c960782c20a4f16cfe203c516760b00">kArrTypeLanes</a>,</div>
-<div class="line"><a name="l00866"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cafdb925cdf50f17a2b96c7ac4faefa1fb">  866</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cafdb925cdf50f17a2b96c7ac4faefa1fb">kArrByteOffset</a>,</div>
-<div class="line"><a name="l00867"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9539d6f9103aa0c177cd8cee72c39d74">  867</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9539d6f9103aa0c177cd8cee72c39d74">kArrDeviceId</a>,</div>
-<div class="line"><a name="l00868"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cabf798b873c868b7d77ced30c9907037d">  868</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cabf798b873c868b7d77ced30c9907037d">kArrDeviceType</a>,</div>
-<div class="line"><a name="l00869"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca4d4a5d54434514fd8b0ce57160059c92">  869</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca4d4a5d54434514fd8b0ce57160059c92">kArrKindBound_</a>,</div>
-<div class="line"><a name="l00870"></a><span class="lineno">  870</span>&#160;  <span class="comment">// TVMValue field</span></div>
-<div class="line"><a name="l00871"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca779c07403e11f671e936ec2813ce2304">  871</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca779c07403e11f671e936ec2813ce2304">kTVMValueContent</a>,</div>
-<div class="line"><a name="l00872"></a><span class="lineno">  872</span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1caa73457ed97931251f1762cb319adc858">kTVMValueKindBound_</a></div>
-<div class="line"><a name="l00873"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1caa73457ed97931251f1762cb319adc858">  873</a></span>&#160;};</div>
-<div class="line"><a name="l00874"></a><span class="lineno">  874</span>&#160;}  <span class="comment">// namespace builtin</span></div>
-<div class="line"><a name="l00875"></a><span class="lineno">  875</span>&#160;}  <span class="comment">// namespace tir</span></div>
-<div class="line"><a name="l00876"></a><span class="lineno">  876</span>&#160;}  <span class="comment">// namespace tvm</span></div>
-<div class="line"><a name="l00877"></a><span class="lineno">  877</span>&#160;<span class="preprocessor">#endif  </span><span class="comment">// TVM_TIR_BUILTIN_H_</span></div>
+<div class="line"><a name="l00668"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a5a2befd1e97765919a6bd98ae314993e">  668</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a5a2befd1e97765919a6bd98ae314993e">ptx_cp_async_bulk</a>();</div>
+<div class="line"><a name="l00669"></a><span class="lineno">  669</span>&#160; </div>
+<div class="line"><a name="l00677"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a21346695611f032cadc3fad6615fe63b">  677</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a21346695611f032cadc3fad6615fe63b">ptx_commit_group</a>();</div>
+<div class="line"><a name="l00678"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#aed0dcc804c2d1b939969c9e48aa448f9">  678</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#aed0dcc804c2d1b939969c9e48aa448f9">ptx_wait_group</a>();</div>
+<div class="line"><a name="l00679"></a><span class="lineno">  679</span>&#160; </div>
+<div class="line"><a name="l00686"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a2b8d9de5b924dc2dd2dd35a110f767b3">  686</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a2b8d9de5b924dc2dd2dd35a110f767b3">ptx_cp_async_barrier</a>();</div>
+<div class="line"><a name="l00687"></a><span class="lineno">  687</span>&#160; </div>
+<div class="line"><a name="l00694"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#acbd805fd2396ed0803800644f5ecdaa5">  694</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#acbd805fd2396ed0803800644f5ecdaa5">ptx_init_barrier_thread_count</a>();</div>
+<div class="line"><a name="l00695"></a><span class="lineno">  695</span>&#160; </div>
+<div class="line"><a name="l00702"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ac21d88612f6c2c21562411b99e4d24d1">  702</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ac21d88612f6c2c21562411b99e4d24d1">ptx_arrive_barrier</a>();</div>
+<div class="line"><a name="l00703"></a><span class="lineno">  703</span>&#160; </div>
+<div class="line"><a name="l00710"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#aaf28239ff1cf7b756610e4d3b32bdfcd">  710</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#aaf28239ff1cf7b756610e4d3b32bdfcd">ptx_arrive_barrier_expect_tx</a>();</div>
+<div class="line"><a name="l00711"></a><span class="lineno">  711</span>&#160; </div>
+<div class="line"><a name="l00718"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a">  718</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a">ptx_wait_barrier</a>();</div>
+<div class="line"><a name="l00719"></a><span class="lineno">  719</span>&#160; </div>
+<div class="line"><a name="l00726"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a2dd4fbe8995788ddcd3dfb1f788869ee">  726</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a2dd4fbe8995788ddcd3dfb1f788869ee">create_barriers</a>();</div>
+<div class="line"><a name="l00727"></a><span class="lineno">  727</span>&#160; </div>
+<div class="line"><a name="l00740"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a772fb68f083e71e635c50bb503903f22">  740</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a772fb68f083e71e635c50bb503903f22">mma_store</a>();</div>
+<div class="line"><a name="l00741"></a><span class="lineno">  741</span>&#160; </div>
+<div class="line"><a name="l00753"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a307667c449c54cef747d781771f79bab">  753</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a307667c449c54cef747d781771f79bab">mma_fill</a>();</div>
+<div class="line"><a name="l00754"></a><span class="lineno">  754</span>&#160; </div>
+<div class="line"><a name="l00755"></a><span class="lineno">  755</span>&#160;<span class="comment">// TODO(tvm-team) replace the usage of the vector operations by Shuffle.</span></div>
+<div class="line"><a name="l00759"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a45bf65ca7ca01d2016e0b609117d7e25">  759</a></span>&#160;<span class="comment"></span>TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a45bf65ca7ca01d2016e0b609117d7e25">vectorhigh</a>();</div>
+<div class="line"><a name="l00760"></a><span class="lineno">  760</span>&#160; </div>
+<div class="line"><a name="l00764"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a7ed64a9fb0a7f575fc63e1e0395e96a6">  764</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a7ed64a9fb0a7f575fc63e1e0395e96a6">vectorlow</a>();</div>
+<div class="line"><a name="l00765"></a><span class="lineno">  765</span>&#160; </div>
+<div class="line"><a name="l00769"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a30dff65bc2c142b57fae7f60e378ff43">  769</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a30dff65bc2c142b57fae7f60e378ff43">vectorcombine</a>();</div>
+<div class="line"><a name="l00770"></a><span class="lineno">  770</span>&#160; </div>
+<div class="line"><a name="l00774"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ab4a648f6e7451af295688f243a215cd7">  774</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ab4a648f6e7451af295688f243a215cd7">atomic_add</a>();</div>
+<div class="line"><a name="l00778"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a9603510b7eff067c253eb340310c2996">  778</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a9603510b7eff067c253eb340310c2996">nd_mem_alloc_with_scope</a>();</div>
+<div class="line"><a name="l00779"></a><span class="lineno">  779</span>&#160; </div>
+<div class="line"><a name="l00783"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a6ea87b06a1eb1050b7503ef6cc1bab34">  783</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a6ea87b06a1eb1050b7503ef6cc1bab34">texture2d_store</a>();</div>
+<div class="line"><a name="l00784"></a><span class="lineno">  784</span>&#160; </div>
+<div class="line"><a name="l00788"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ae52feb3e7828262a1b8a31062642ae36">  788</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ae52feb3e7828262a1b8a31062642ae36">texture2d_load</a>();</div>
+<div class="line"><a name="l00789"></a><span class="lineno">  789</span>&#160; </div>
+<div class="line"><a name="l00801"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#afd453e860a10562ac2692473edde6707">  801</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#afd453e860a10562ac2692473edde6707">dma_copy</a>();</div>
+<div class="line"><a name="l00802"></a><span class="lineno">  802</span>&#160; </div>
+<div class="line"><a name="l00809"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a13aefe6686345fee7d4a1633dd059806">  809</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a13aefe6686345fee7d4a1633dd059806">dma_wait</a>();</div>
+<div class="line"><a name="l00810"></a><span class="lineno">  810</span>&#160; </div>
+<div class="line"><a name="l00820"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ace1c09d0bcfa95c52dd509e0c40b1824">  820</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ace1c09d0bcfa95c52dd509e0c40b1824">dma_start_group</a>();</div>
+<div class="line"><a name="l00821"></a><span class="lineno">  821</span>&#160; </div>
+<div class="line"><a name="l00833"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a3397d82a9ffb11859615f298f529f538">  833</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a3397d82a9ffb11859615f298f529f538">dma_end_group</a>();</div>
+<div class="line"><a name="l00834"></a><span class="lineno">  834</span>&#160; </div>
+<div class="line"><a name="l00842"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a0b3d8f41abba4b7dd4a9af8ec2f9acba">  842</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a0b3d8f41abba4b7dd4a9af8ec2f9acba">assume</a>();</div>
+<div class="line"><a name="l00843"></a><span class="lineno">  843</span>&#160; </div>
+<div class="line"><a name="l00850"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a77a72a2e21fe0ea2118479924b4fb877">  850</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a77a72a2e21fe0ea2118479924b4fb877">undef</a>();</div>
+<div class="line"><a name="l00851"></a><span class="lineno">  851</span>&#160; </div>
+<div class="line"><a name="l00855"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#aa63c16281d1010a82a06eb771268b4e2">  855</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#aa63c16281d1010a82a06eb771268b4e2">start_profile_intrinsic</a>();</div>
+<div class="line"><a name="l00856"></a><span class="lineno">  856</span>&#160; </div>
+<div class="line"><a name="l00860"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a6c57e9701977267ff96d514bd3c2a5e6">  860</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a6c57e9701977267ff96d514bd3c2a5e6">end_profile_intrinsic</a>();</div>
+<div class="line"><a name="l00861"></a><span class="lineno">  861</span>&#160; </div>
+<div class="line"><a name="l00863"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1c">  863</a></span>&#160;<span class="keyword">enum</span> <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1c">TVMStructFieldKind</a> : <span class="keywordtype">int</span> {</div>
+<div class="line"><a name="l00864"></a><span class="lineno">  864</span>&#160;  <span class="comment">// array head address</span></div>
+<div class="line"><a name="l00865"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0b8af30aa268164148d5bfe1d8c2ba54">  865</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0b8af30aa268164148d5bfe1d8c2ba54">kArrAddr</a>,</div>
+<div class="line"><a name="l00866"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9076fb1a58386bac2e0f1fdae9cab844">  866</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9076fb1a58386bac2e0f1fdae9cab844">kArrData</a>,</div>
+<div class="line"><a name="l00867"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca57f69fd3d141caaa7e2e72fda7d6a1da">  867</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca57f69fd3d141caaa7e2e72fda7d6a1da">kArrShape</a>,</div>
+<div class="line"><a name="l00868"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca96e7b6492b5b174219cf60e19af0857c">  868</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca96e7b6492b5b174219cf60e19af0857c">kArrStrides</a>,</div>
+<div class="line"><a name="l00869"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cad28cfc7b69fd8745e12a4f0024d6942a">  869</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cad28cfc7b69fd8745e12a4f0024d6942a">kArrNDim</a>,</div>
+<div class="line"><a name="l00870"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca5ce842cabb26975681dd561c5132af1b">  870</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca5ce842cabb26975681dd561c5132af1b">kArrTypeCode</a>,</div>
+<div class="line"><a name="l00871"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca344dc1f419339b81024d4d3628083a1e">  871</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca344dc1f419339b81024d4d3628083a1e">kArrTypeBits</a>,</div>
+<div class="line"><a name="l00872"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0c960782c20a4f16cfe203c516760b00">  872</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0c960782c20a4f16cfe203c516760b00">kArrTypeLanes</a>,</div>
+<div class="line"><a name="l00873"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cafdb925cdf50f17a2b96c7ac4faefa1fb">  873</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cafdb925cdf50f17a2b96c7ac4faefa1fb">kArrByteOffset</a>,</div>
+<div class="line"><a name="l00874"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9539d6f9103aa0c177cd8cee72c39d74">  874</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9539d6f9103aa0c177cd8cee72c39d74">kArrDeviceId</a>,</div>
+<div class="line"><a name="l00875"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cabf798b873c868b7d77ced30c9907037d">  875</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cabf798b873c868b7d77ced30c9907037d">kArrDeviceType</a>,</div>
+<div class="line"><a name="l00876"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca4d4a5d54434514fd8b0ce57160059c92">  876</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca4d4a5d54434514fd8b0ce57160059c92">kArrKindBound_</a>,</div>
+<div class="line"><a name="l00877"></a><span class="lineno">  877</span>&#160;  <span class="comment">// TVMValue field</span></div>
+<div class="line"><a name="l00878"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca779c07403e11f671e936ec2813ce2304">  878</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca779c07403e11f671e936ec2813ce2304">kTVMValueContent</a>,</div>
+<div class="line"><a name="l00879"></a><span class="lineno">  879</span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1caa73457ed97931251f1762cb319adc858">kTVMValueKindBound_</a></div>
+<div class="line"><a name="l00880"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1caa73457ed97931251f1762cb319adc858">  880</a></span>&#160;};</div>
+<div class="line"><a name="l00881"></a><span class="lineno">  881</span>&#160;}  <span class="comment">// namespace builtin</span></div>
+<div class="line"><a name="l00882"></a><span class="lineno">  882</span>&#160;}  <span class="comment">// namespace tir</span></div>
+<div class="line"><a name="l00883"></a><span class="lineno">  883</span>&#160;}  <span class="comment">// namespace tvm</span></div>
+<div class="line"><a name="l00884"></a><span class="lineno">  884</span>&#160;<span class="preprocessor">#endif  </span><span class="comment">// TVM_TIR_BUILTIN_H_</span></div>
 <div class="ttc" id="aclasstvm_1_1Op_html"><div class="ttname"><a href="classtvm_1_1Op.html">tvm::Op</a></div><div class="ttdoc">Managed reference class to OpNode.</div><div class="ttdef"><b>Definition:</b> op.h:165</div></div>
 <div class="ttc" id="air_2op_8h_html"><div class="ttname"><a href="ir_2op_8h.html">op.h</a></div><div class="ttdoc">Primitive operators(builtin intrinsics) and registry for them.</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a0117a4a76af962576a6a3bbf32f97b36"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a0117a4a76af962576a6a3bbf32f97b36">tvm::tir::builtin::tvm_call_packed_lowered</a></div><div class="ttdeci">const Op &amp; tvm_call_packed_lowered()</div><div class="ttdoc">Lowered version of call packed, the space of value and type codes are explicitly allocated.</div></div>
@@ -312,6 +314,7 @@ $(function() {
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a28f99e6dd767482765b854ee9fc71f2c"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a28f99e6dd767482765b854ee9fc71f2c">tvm::tir::builtin::tvm_stack_make_array</a></div><div class="ttdeci">const Op &amp; tvm_stack_make_array()</div><div class="ttdoc">Allocate a NDArray(DLTensor) on stack, return the handle.</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a2b8d9de5b924dc2dd2dd35a110f767b3"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a2b8d9de5b924dc2dd2dd35a110f767b3">tvm::tir::builtin::ptx_cp_async_barrier</a></div><div class="ttdeci">const Op &amp; ptx_cp_async_barrier()</div><div class="ttdoc">tvm intrinsics for ptx async copy barrier using cp.async.mbarrier.arrive</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a2c13c6e4b2f92e17f357665f9f11736c"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a2c13c6e4b2f92e17f357665f9f11736c">tvm::tir::builtin::tvm_call_packed</a></div><div class="ttdeci">const Op &amp; tvm_call_packed()</div><div class="ttdoc">See pesudo code.</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a2dd4fbe8995788ddcd3dfb1f788869ee"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a2dd4fbe8995788ddcd3dfb1f788869ee">tvm::tir::builtin::create_barriers</a></div><div class="ttdeci">const Op &amp; create_barriers()</div><div class="ttdoc">tvm intrinsics to create N barriers</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a307667c449c54cef747d781771f79bab"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a307667c449c54cef747d781771f79bab">tvm::tir::builtin::mma_fill</a></div><div class="ttdeci">const Op &amp; mma_fill()</div><div class="ttdoc">tvm intrinsic for zero-initalizing an MMA accumulation registor. For example, if each thread in a war...</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a30dff65bc2c142b57fae7f60e378ff43"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a30dff65bc2c142b57fae7f60e378ff43">tvm::tir::builtin::vectorcombine</a></div><div class="ttdeci">const Op &amp; vectorcombine()</div><div class="ttdoc">Concat two vectors.</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a3131992ea9acd964fb7d3ca782d74805"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a3131992ea9acd964fb7d3ca782d74805">tvm::tir::builtin::tvm_call_cpacked_lowered</a></div><div class="ttdeci">const Op &amp; tvm_call_cpacked_lowered()</div><div class="ttdoc">Lowered version of call c-packed, the space of value and type codes are explicitly allocated.</div></div>
@@ -361,21 +364,21 @@ $(function() {
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_aca44a85c87273dfab1731421f4edd2bf"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#aca44a85c87273dfab1731421f4edd2bf">tvm::tir::builtin::tvm_warp_shuffle</a></div><div class="ttdeci">const Op &amp; tvm_warp_shuffle()</div><div class="ttdoc">See pseudo code.</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_acbd805fd2396ed0803800644f5ecdaa5"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#acbd805fd2396ed0803800644f5ecdaa5">tvm::tir::builtin::ptx_init_barrier_thread_count</a></div><div class="ttdeci">const Op &amp; ptx_init_barrier_thread_count()</div><div class="ttdoc">tvm intrinsics for ptx barrier initialization of thread count using mbarrier.init</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ace1c09d0bcfa95c52dd509e0c40b1824"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ace1c09d0bcfa95c52dd509e0c40b1824">tvm::tir::builtin::dma_start_group</a></div><div class="ttdeci">const Op &amp; dma_start_group()</div><div class="ttdoc">Start a group of DMA copies.</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1c"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1c">tvm::tir::builtin::TVMStructFieldKind</a></div><div class="ttdeci">TVMStructFieldKind</div><div class="ttdoc">The kind of structure field info used in intrinsic.</div><div class="ttdef"><b>Definition:</b> builtin.h:856</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca0b8af30aa268164148d5bfe1d8c2ba54"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0b8af30aa268164148d5bfe1d8c2ba54">tvm::tir::builtin::kArrAddr</a></div><div class="ttdeci">@ kArrAddr</div><div class="ttdef"><b>Definition:</b> builtin.h:858</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca0c960782c20a4f16cfe203c516760b00"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0c960782c20a4f16cfe203c516760b00">tvm::tir::builtin::kArrTypeLanes</a></div><div class="ttdeci">@ kArrTypeLanes</div><div class="ttdef"><b>Definition:</b> builtin.h:865</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca344dc1f419339b81024d4d3628083a1e"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca344dc1f419339b81024d4d3628083a1e">tvm::tir::builtin::kArrTypeBits</a></div><div class="ttdeci">@ kArrTypeBits</div><div class="ttdef"><b>Definition:</b> builtin.h:864</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca4d4a5d54434514fd8b0ce57160059c92"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca4d4a5d54434514fd8b0ce57160059c92">tvm::tir::builtin::kArrKindBound_</a></div><div class="ttdeci">@ kArrKindBound_</div><div class="ttdef"><b>Definition:</b> builtin.h:869</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca57f69fd3d141caaa7e2e72fda7d6a1da"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca57f69fd3d141caaa7e2e72fda7d6a1da">tvm::tir::builtin::kArrShape</a></div><div class="ttdeci">@ kArrShape</div><div class="ttdef"><b>Definition:</b> builtin.h:860</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca5ce842cabb26975681dd561c5132af1b"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca5ce842cabb26975681dd561c5132af1b">tvm::tir::builtin::kArrTypeCode</a></div><div class="ttdeci">@ kArrTypeCode</div><div class="ttdef"><b>Definition:</b> builtin.h:863</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca779c07403e11f671e936ec2813ce2304"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca779c07403e11f671e936ec2813ce2304">tvm::tir::builtin::kTVMValueContent</a></div><div class="ttdeci">@ kTVMValueContent</div><div class="ttdef"><b>Definition:</b> builtin.h:871</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca9076fb1a58386bac2e0f1fdae9cab844"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9076fb1a58386bac2e0f1fdae9cab844">tvm::tir::builtin::kArrData</a></div><div class="ttdeci">@ kArrData</div><div class="ttdef"><b>Definition:</b> builtin.h:859</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca9539d6f9103aa0c177cd8cee72c39d74"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9539d6f9103aa0c177cd8cee72c39d74">tvm::tir::builtin::kArrDeviceId</a></div><div class="ttdeci">@ kArrDeviceId</div><div class="ttdef"><b>Definition:</b> builtin.h:867</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca96e7b6492b5b174219cf60e19af0857c"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca96e7b6492b5b174219cf60e19af0857c">tvm::tir::builtin::kArrStrides</a></div><div class="ttdeci">@ kArrStrides</div><div class="ttdef"><b>Definition:</b> builtin.h:861</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1caa73457ed97931251f1762cb319adc858"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1caa73457ed97931251f1762cb319adc858">tvm::tir::builtin::kTVMValueKindBound_</a></div><div class="ttdeci">@ kTVMValueKindBound_</div><div class="ttdef"><b>Definition:</b> builtin.h:872</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1cabf798b873c868b7d77ced30c9907037d"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cabf798b873c868b7d77ced30c9907037d">tvm::tir::builtin::kArrDeviceType</a></div><div class="ttdeci">@ kArrDeviceType</div><div class="ttdef"><b>Definition:</b> builtin.h:868</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1cad28cfc7b69fd8745e12a4f0024d6942a"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cad28cfc7b69fd8745e12a4f0024d6942a">tvm::tir::builtin::kArrNDim</a></div><div class="ttdeci">@ kArrNDim</div><div class="ttdef"><b>Definition:</b> builtin.h:862</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1cafdb925cdf50f17a2b96c7ac4faefa1fb"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cafdb925cdf50f17a2b96c7ac4faefa1fb">tvm::tir::builtin::kArrByteOffset</a></div><div class="ttdeci">@ kArrByteOffset</div><div class="ttdef"><b>Definition:</b> builtin.h:866</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1c"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1c">tvm::tir::builtin::TVMStructFieldKind</a></div><div class="ttdeci">TVMStructFieldKind</div><div class="ttdoc">The kind of structure field info used in intrinsic.</div><div class="ttdef"><b>Definition:</b> builtin.h:863</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca0b8af30aa268164148d5bfe1d8c2ba54"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0b8af30aa268164148d5bfe1d8c2ba54">tvm::tir::builtin::kArrAddr</a></div><div class="ttdeci">@ kArrAddr</div><div class="ttdef"><b>Definition:</b> builtin.h:865</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca0c960782c20a4f16cfe203c516760b00"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0c960782c20a4f16cfe203c516760b00">tvm::tir::builtin::kArrTypeLanes</a></div><div class="ttdeci">@ kArrTypeLanes</div><div class="ttdef"><b>Definition:</b> builtin.h:872</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca344dc1f419339b81024d4d3628083a1e"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca344dc1f419339b81024d4d3628083a1e">tvm::tir::builtin::kArrTypeBits</a></div><div class="ttdeci">@ kArrTypeBits</div><div class="ttdef"><b>Definition:</b> builtin.h:871</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca4d4a5d54434514fd8b0ce57160059c92"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca4d4a5d54434514fd8b0ce57160059c92">tvm::tir::builtin::kArrKindBound_</a></div><div class="ttdeci">@ kArrKindBound_</div><div class="ttdef"><b>Definition:</b> builtin.h:876</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca57f69fd3d141caaa7e2e72fda7d6a1da"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca57f69fd3d141caaa7e2e72fda7d6a1da">tvm::tir::builtin::kArrShape</a></div><div class="ttdeci">@ kArrShape</div><div class="ttdef"><b>Definition:</b> builtin.h:867</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca5ce842cabb26975681dd561c5132af1b"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca5ce842cabb26975681dd561c5132af1b">tvm::tir::builtin::kArrTypeCode</a></div><div class="ttdeci">@ kArrTypeCode</div><div class="ttdef"><b>Definition:</b> builtin.h:870</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca779c07403e11f671e936ec2813ce2304"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca779c07403e11f671e936ec2813ce2304">tvm::tir::builtin::kTVMValueContent</a></div><div class="ttdeci">@ kTVMValueContent</div><div class="ttdef"><b>Definition:</b> builtin.h:878</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca9076fb1a58386bac2e0f1fdae9cab844"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9076fb1a58386bac2e0f1fdae9cab844">tvm::tir::builtin::kArrData</a></div><div class="ttdeci">@ kArrData</div><div class="ttdef"><b>Definition:</b> builtin.h:866</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca9539d6f9103aa0c177cd8cee72c39d74"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9539d6f9103aa0c177cd8cee72c39d74">tvm::tir::builtin::kArrDeviceId</a></div><div class="ttdeci">@ kArrDeviceId</div><div class="ttdef"><b>Definition:</b> builtin.h:874</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca96e7b6492b5b174219cf60e19af0857c"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca96e7b6492b5b174219cf60e19af0857c">tvm::tir::builtin::kArrStrides</a></div><div class="ttdeci">@ kArrStrides</div><div class="ttdef"><b>Definition:</b> builtin.h:868</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1caa73457ed97931251f1762cb319adc858"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1caa73457ed97931251f1762cb319adc858">tvm::tir::builtin::kTVMValueKindBound_</a></div><div class="ttdeci">@ kTVMValueKindBound_</div><div class="ttdef"><b>Definition:</b> builtin.h:879</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1cabf798b873c868b7d77ced30c9907037d"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cabf798b873c868b7d77ced30c9907037d">tvm::tir::builtin::kArrDeviceType</a></div><div class="ttdeci">@ kArrDeviceType</div><div class="ttdef"><b>Definition:</b> builtin.h:875</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1cad28cfc7b69fd8745e12a4f0024d6942a"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cad28cfc7b69fd8745e12a4f0024d6942a">tvm::tir::builtin::kArrNDim</a></div><div class="ttdeci">@ kArrNDim</div><div class="ttdef"><b>Definition:</b> builtin.h:869</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1cafdb925cdf50f17a2b96c7ac4faefa1fb"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cafdb925cdf50f17a2b96c7ac4faefa1fb">tvm::tir::builtin::kArrByteOffset</a></div><div class="ttdeci">@ kArrByteOffset</div><div class="ttdef"><b>Definition:</b> builtin.h:873</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad99599849e8572bcd46e22636f908fca"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad99599849e8572bcd46e22636f908fca">tvm::tir::builtin::ptx_mma</a></div><div class="ttdeci">const Op &amp; ptx_mma()</div><div class="ttdoc">tvm intrinsic for ptx tensor core mma instructions.</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ae0470bd69bb03047aae4cb52e1e6e337"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ae0470bd69bb03047aae4cb52e1e6e337">tvm::tir::builtin::tvm_warp_shuffle_up</a></div><div class="ttdeci">const Op &amp; tvm_warp_shuffle_up()</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ae2add6e324d391782d367360a68ccf51"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ae2add6e324d391782d367360a68ccf51">tvm::tir::builtin::call_pure_extern</a></div><div class="ttdeci">const Op &amp; call_pure_extern()</div><div class="ttdoc">Call an pure extern C function with given name and signature from the types of args in the runtime en...</div></div>
diff --git a/docs/reference/api/doxygen/namespacemembers_c.html b/docs/reference/api/doxygen/namespacemembers_c.html
index 08edad52e7..1d878a703e 100644
--- a/docs/reference/api/doxygen/namespacemembers_c.html
+++ b/docs/reference/api/doxygen/namespacemembers_c.html
@@ -178,7 +178,7 @@ $(function() {
 : <a class="el" href="namespacetvm_1_1arith.html#a1d555a0cc363f669a49fad7e5f7d69d0">tvm::arith</a>
 </li>
 <li>compute()
-: <a class="el" href="namespacetvm_1_1te.html#afe4f57aeb3dd5ae9c0b58135e14d67ca">tvm::te</a>
+: <a class="el" href="namespacetvm_1_1te.html#a1e3160ba59375548c1423fdd55a67353">tvm::te</a>
 </li>
 <li>compute_scope
 : <a class="el" href="namespacetvm_1_1tir_1_1attr.html#a00a6b89838348f152d844cead81b5016">tvm::tir::attr</a>
@@ -263,6 +263,9 @@ $(function() {
 <li>crc16_compute()
 : <a class="el" href="namespacetvm_1_1runtime_1_1micro__rpc.html#aee88d771b775a6c7caf709c47880ad3d">tvm::runtime::micro_rpc</a>
 </li>
+<li>create_barriers()
+: <a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a2dd4fbe8995788ddcd3dfb1f788869ee">tvm::tir::builtin</a>
+</li>
 <li>create_schedule()
 : <a class="el" href="namespacetvm_1_1te.html#a485034766309df280239e0994913b34b">tvm::te</a>
 </li>
diff --git a/docs/reference/api/doxygen/namespacemembers_func_c.html b/docs/reference/api/doxygen/namespacemembers_func_c.html
index 0cad075d0f..b68f1f8caf 100644
--- a/docs/reference/api/doxygen/namespacemembers_func_c.html
+++ b/docs/reference/api/doxygen/namespacemembers_func_c.html
@@ -224,6 +224,9 @@ $(function() {
 <li>crc16_compute()
 : <a class="el" href="namespacetvm_1_1runtime_1_1micro__rpc.html#aee88d771b775a6c7caf709c47880ad3d">tvm::runtime::micro_rpc</a>
 </li>
+<li>create_barriers()
+: <a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a2dd4fbe8995788ddcd3dfb1f788869ee">tvm::tir::builtin</a>
+</li>
 <li>create_schedule()
 : <a class="el" href="namespacetvm_1_1te.html#a485034766309df280239e0994913b34b">tvm::te</a>
 </li>
diff --git a/docs/reference/api/doxygen/namespacetvm_1_1tir_1_1builtin.html b/docs/reference/api/doxygen/namespacetvm_1_1tir_1_1builtin.html
index 44aae0ceb3..b4d5199823 100644
--- a/docs/reference/api/doxygen/namespacetvm_1_1tir_1_1builtin.html
+++ b/docs/reference/api/doxygen/namespacetvm_1_1tir_1_1builtin.html
@@ -300,6 +300,9 @@ Functions</h2></td></tr>
 <tr class="memitem:a89bf47c3237df742ada0b599e3e4787a"><td class="memItemLeft" align="right" valign="top">const <a class="el" href="classtvm_1_1Op.html">Op</a> &amp;&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a">ptx_wait_barrier</a> ()</td></tr>
 <tr class="memdesc:a89bf47c3237df742ada0b599e3e4787a"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsics for ptx barrier wait using mbarrier.try_wait  <a href="namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a">More...</a><br /></td></tr>
 <tr class="separator:a89bf47c3237df742ada0b599e3e4787a"><td class="memSeparator" colspan="2">&#160;</td></tr>
+<tr class="memitem:a2dd4fbe8995788ddcd3dfb1f788869ee"><td class="memItemLeft" align="right" valign="top">const <a class="el" href="classtvm_1_1Op.html">Op</a> &amp;&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a2dd4fbe8995788ddcd3dfb1f788869ee">create_barriers</a> ()</td></tr>
+<tr class="memdesc:a2dd4fbe8995788ddcd3dfb1f788869ee"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsics to create N barriers  <a href="namespacetvm_1_1tir_1_1builtin.html#a2dd4fbe8995788ddcd3dfb1f788869ee">More...</a><br /></td></tr>
+<tr class="separator:a2dd4fbe8995788ddcd3dfb1f788869ee"><td class="memSeparator" colspan="2">&#160;</td></tr>
 <tr class="memitem:a772fb68f083e71e635c50bb503903f22"><td class="memItemLeft" align="right" valign="top">const <a class="el" href="classtvm_1_1Op.html">Op</a> &amp;&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a772fb68f083e71e635c50bb503903f22">mma_store</a> ()</td></tr>
 <tr class="memdesc:a772fb68f083e71e635c50bb503903f22"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsic for storing the result of PTX MMA into a destination pointer. <a class="el" href="classtvm_1_1tir_1_1For.html" title="Managed reference to ForNode.">For</a> example, if each thread in a warp of size 32 has 4 elements from the result of m16xn8xk16 MMA in its registers, this intrinsic can be used to store the result in a 16x8 region in shared or global memory.  <a hre [...]
 <tr class="separator:a772fb68f083e71e635c50bb503903f22"><td class="memSeparator" colspan="2">&#160;</td></tr>
@@ -627,6 +630,26 @@ Functions</h2></td></tr>
 <p><a class="el" href="classtvm_1_1Type.html" title="Managed reference to TypeNode.">Type</a> call_spirv_pure_glsl450(intrin_id, args...) { return dlsym(name)(args...); }</p>
 <dl class="section note"><dt>Note</dt><dd>This op does not provide any type checking. </dd></dl>
 
+</div>
+</div>
+<a id="a2dd4fbe8995788ddcd3dfb1f788869ee"></a>
+<h2 class="memtitle"><span class="permalink"><a href="#a2dd4fbe8995788ddcd3dfb1f788869ee">&#9670;&nbsp;</a></span>create_barriers()</h2>
+
+<div class="memitem">
+<div class="memproto">
+      <table class="memname">
+        <tr>
+          <td class="memname">const <a class="el" href="classtvm_1_1Op.html">Op</a>&amp; tvm::tir::builtin::create_barriers </td>
+          <td>(</td>
+          <td class="paramname"></td><td>)</td>
+          <td></td>
+        </tr>
+      </table>
+</div><div class="memdoc">
+
+<p>tvm intrinsics to create N barriers </p>
+<p>ptx_wait_barrier(int barrier_count) </p>
+
 </div>
 </div>
 <a id="afd453e860a10562ac2692473edde6707"></a>
@@ -986,7 +1009,7 @@ Functions</h2></td></tr>
 </div><div class="memdoc">
 
 <p>tvm intrinsics for ptx barrier arrival using mbarrier.arrive </p>
-<p>ptx_arrive_barrier(Var barrier_ptr, Expr barrier_offset) </p>
+<p>ptx_arrive_barrier(int barrier_id) </p>
 
 </div>
 </div>
@@ -1006,7 +1029,7 @@ Functions</h2></td></tr>
 </div><div class="memdoc">
 
 <p>tvm intrinsic for ptx barrier arrival with expect tx using mbarrier.arrive.expect_tx </p>
-<p>ptx_arrive_barrier_expect_tx(Var barrier_ptr, Expr barrier_offset, int byte_count) </p>
+<p>ptx_arrive_barrier_expect_tx(int barrier_id, int byte_count) </p>
 
 </div>
 </div>
@@ -1066,7 +1089,7 @@ Functions</h2></td></tr>
 </div><div class="memdoc">
 
 <p>tvm intrinsics for ptx async copy barrier using cp.async.mbarrier.arrive </p>
-<p>ptx_cp_async_barrier(Var barrier_ptr, Expr barrier_offset) </p>
+<p>ptx_cp_async_barrier(int barrier_id) </p>
 
 </div>
 </div>
@@ -1086,7 +1109,7 @@ Functions</h2></td></tr>
 </div><div class="memdoc">
 
 <p>tvm intrinsics for ptx async copy from global to shared memory using cp.async.bulk </p>
-<p>void ptx_cp_async(<a class="el" href="classtvm_1_1tir_1_1Var.html" title="a named variable in TIR">Var</a> shared_ptr, Expr shared_offset, <a class="el" href="classtvm_1_1tir_1_1Var.html" title="a named variable in TIR">Var</a> global_ptr, Expr global_offset, size_t bytes, <a class="el" href="classtvm_1_1tir_1_1Var.html" title="a named variable in TIR">Var</a> barrier_ptr, Expr barrier_offset); </p>
+<p>void ptx_cp_async(<a class="el" href="classtvm_1_1tir_1_1Var.html" title="a named variable in TIR">Var</a> shared_ptr, Expr shared_offset, <a class="el" href="classtvm_1_1tir_1_1Var.html" title="a named variable in TIR">Var</a> global_ptr, Expr global_offset, size_t bytes, int barrier_id); </p>
 
 </div>
 </div>
@@ -1106,7 +1129,7 @@ Functions</h2></td></tr>
 </div><div class="memdoc">
 
 <p>tvm intrinsics for ptx barrier initialization of thread count using mbarrier.init </p>
-<p>ptx_init_barrier_thread_count(Var barrier_ptr, Expr barrier_offset, int thread_count) </p>
+<p>ptx_init_barrier_thread_count(int barrier_id, int thread_count) </p>
 
 </div>
 </div>
@@ -1205,7 +1228,7 @@ Functions</h2></td></tr>
 </div><div class="memdoc">
 
 <p>tvm intrinsics for ptx barrier wait using mbarrier.try_wait </p>
-<p>ptx_wait_barrier(Var barrier_ptr, Expr barrier_offset) </p>
+<p>ptx_wait_barrier(int barrier_id) </p>
 
 </div>
 </div>
diff --git a/docs/reference/api/doxygen/search/all_10.js b/docs/reference/api/doxygen/search/all_10.js
index e8b198e3fb..e535c99a87 100644
--- a/docs/reference/api/doxygen/search/all_10.js
+++ b/docs/reference/api/doxygen/search/all_10.js
@@ -1,178 +1,178 @@
 var searchData=
 [
-  ['obj2info_3123',['obj2info',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#a5ce74fda8a1c8dbcdf47537540c2219f',1,'tvm::script::printer::IRDocsifierNode']]],
-  ['obj_5fto_5fannotate_3124',['obj_to_annotate',['../classtvm_1_1PrinterConfigNode.html#abd7af285839fe88e48b6ff879d19bfed',1,'tvm::PrinterConfigNode']]],
-  ['obj_5fto_5funderline_3125',['obj_to_underline',['../classtvm_1_1PrinterConfigNode.html#a76b205891ecf851435a83513a514e1d3',1,'tvm::PrinterConfigNode']]],
-  ['objallocatorbase_3126',['ObjAllocatorBase',['../classtvm_1_1runtime_1_1Object.html#a8fae619f3bd1a2b2f7273d8d6525032a',1,'tvm::runtime::Object::ObjAllocatorBase()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a8fae619f3bd1a2b2f7273d8d6525032a',1,'tvm::runtime::ObjectPtr::ObjAllocatorBase()'],['../classtvm_1_1runtime_1_1ObjAllocatorBase.html',1,'tvm::runtime::ObjAllocatorBase&lt; Derived &gt;']]],
-  ['objallocatorbase_3c_20simpleobjallocator_20_3e_3127',['ObjAllocatorBase&lt; SimpleObjAllocator &gt;',['../classtvm_1_1runtime_1_1ObjAllocatorBase.html',1,'tvm::runtime']]],
-  ['object_3128',['Object',['../classtvm_1_1runtime_1_1ObjectPtr.html#a0720b5f434e636e22a3ed34f847eec57',1,'tvm::runtime::ObjectPtr::Object()'],['../classtvm_1_1runtime_1_1Object.html#a133436a9ec5c4a768b94102bf95a660b',1,'tvm::runtime::Object::Object()'],['../classtvm_1_1runtime_1_1Object.html#ab7968feb6ad38ecaffc320e13819d826',1,'tvm::runtime::Object::Object(const Object &amp;other)'],['../classtvm_1_1runtime_1_1Object.html#aa1612f69ea5b4225d4cda759cd517323',1,'tvm::runtime::Object::Obj [...]
-  ['object_3129',['object',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a0ac4dada6519d071a819cc897cb15cf1',1,'tvm::runtime::vm::Instruction']]],
-  ['object_3130',['Object',['../classtvm_1_1runtime_1_1Object.html',1,'tvm::runtime']]],
-  ['object_2eh_3131',['object.h',['../object_8h.html',1,'']]],
-  ['object_5fpath_2eh_3132',['object_path.h',['../object__path_8h.html',1,'']]],
-  ['objectequal_3133',['ObjectEqual',['../structtvm_1_1runtime_1_1ObjectEqual.html',1,'tvm::runtime']]],
-  ['objecthash_3134',['ObjectHash',['../structtvm_1_1runtime_1_1ObjectHash.html',1,'tvm::runtime']]],
-  ['objectinternal_3135',['ObjectInternal',['../classtvm_1_1runtime_1_1Object.html#a4a8e2a2ce9dc9267dc18ac8abfd8dd16',1,'tvm::runtime::Object::ObjectInternal()'],['../classtvm_1_1runtime_1_1ObjectRef.html#a4a8e2a2ce9dc9267dc18ac8abfd8dd16',1,'tvm::runtime::ObjectRef::ObjectInternal()']]],
-  ['objectpath_3136',['ObjectPath',['../classtvm_1_1ObjectPathNode.html#a8714f126861b816502889d8d7b8dcfae',1,'tvm::ObjectPathNode::ObjectPath()'],['../classtvm_1_1ObjectPath.html',1,'tvm::ObjectPath']]],
-  ['objectpathnode_3137',['ObjectPathNode',['../classtvm_1_1ObjectPathNode.html#a13e3167fe88d2c70b55fea32dcf954b3',1,'tvm::ObjectPathNode::ObjectPathNode()'],['../classtvm_1_1ObjectPathNode.html',1,'tvm::ObjectPathNode']]],
-  ['objectpathpair_3138',['ObjectPathPair',['../classtvm_1_1ObjectPathPair.html#a2afd9c8a96ec286c7c5e11cad016774b',1,'tvm::ObjectPathPair::ObjectPathPair()'],['../classtvm_1_1ObjectPathPair.html',1,'tvm::ObjectPathPair']]],
-  ['objectpathpairnode_3139',['ObjectPathPairNode',['../classtvm_1_1ObjectPathPairNode.html#a34ef2048a490a692f47bb2ae58ad2a5c',1,'tvm::ObjectPathPairNode::ObjectPathPairNode()'],['../classtvm_1_1ObjectPathPairNode.html',1,'tvm::ObjectPathPairNode']]],
-  ['objectptr_3140',['ObjectPtr',['../classtvm_1_1runtime_1_1Object.html#a4193bb155125953e77ded93c0fb46965',1,'tvm::runtime::Object::ObjectPtr()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a62e18d1b81152c6caf93961eca6d04bc',1,'tvm::runtime::ObjectPtr::ObjectPtr(ObjectPtr&lt; Y &gt; &amp;&amp;other)'],['../classtvm_1_1runtime_1_1ObjectPtr.html#ab9a13c742256492a2bb19070e41697f2',1,'tvm::runtime::ObjectPtr::ObjectPtr(ObjectPtr&lt; T &gt; &amp;&amp;other)'],['../classtvm_1_1runtime_1_1Objec [...]
-  ['objectptr_3c_20tvm_3a_3aattrfieldinfonode_20_3e_3141',['ObjectPtr&lt; tvm::AttrFieldInfoNode &gt;',['../classtvm_1_1runtime_1_1ObjectPtr.html',1,'tvm::runtime']]],
-  ['objectptr_3c_20tvm_3a_3aruntime_3a_3aobject_20_3e_3142',['ObjectPtr&lt; tvm::runtime::Object &gt;',['../classtvm_1_1runtime_1_1ObjectPtr.html',1,'tvm::runtime']]],
-  ['objectptr_3c_20tvm_3a_3aruntime_3a_3avm_3a_3aexecutable_20_3e_3143',['ObjectPtr&lt; tvm::runtime::vm::Executable &gt;',['../classtvm_1_1runtime_1_1ObjectPtr.html',1,'tvm::runtime']]],
-  ['objectptrequal_3144',['ObjectPtrEqual',['../structtvm_1_1runtime_1_1ObjectPtrEqual.html',1,'tvm::runtime']]],
-  ['objectptrhash_3145',['ObjectPtrHash',['../classtvm_1_1runtime_1_1ObjectPtr.html#ab1db3e3b77985946e4379d31829030a5',1,'tvm::runtime::ObjectPtr::ObjectPtrHash()'],['../classtvm_1_1runtime_1_1ObjectRef.html#ab1db3e3b77985946e4379d31829030a5',1,'tvm::runtime::ObjectRef::ObjectPtrHash()'],['../structtvm_1_1runtime_1_1ObjectPtrHash.html',1,'tvm::runtime::ObjectPtrHash']]],
-  ['objectref_3146',['ObjectRef',['../classtvm_1_1runtime_1_1ObjectPtr.html#a5d7d1b555fd908e511caa42bd7ccfc71',1,'tvm::runtime::ObjectPtr::ObjectRef()'],['../classtvm_1_1runtime_1_1ObjectRef.html#aa07c1f6d66a438ea950637d13ed09471',1,'tvm::runtime::ObjectRef::ObjectRef()=default'],['../classtvm_1_1runtime_1_1ObjectRef.html#a6a7dd7404edf1c26f8dbd9bd92d03a02',1,'tvm::runtime::ObjectRef::ObjectRef(ObjectPtr&lt; Object &gt; data)'],['../classtvm_1_1runtime_1_1ObjectRef.html',1,'tvm::runtime:: [...]
-  ['objecttypechecker_3147',['ObjectTypeChecker',['../structtvm_1_1runtime_1_1ObjectTypeChecker.html',1,'tvm::runtime']]],
-  ['objecttypechecker_3c_20array_3c_20t_20_3e_20_3e_3148',['ObjectTypeChecker&lt; Array&lt; T &gt; &gt;',['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Array_3_01T_01_4_01_4.html',1,'tvm::runtime']]],
-  ['objecttypechecker_3c_20map_3c_20k_2c_20v_20_3e_20_3e_3149',['ObjectTypeChecker&lt; Map&lt; K, V &gt; &gt;',['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Map_3_01K_00_01V_01_4_01_4.html',1,'tvm::runtime']]],
-  ['offset_3150',['offset',['../classtvm_1_1auto__scheduler_1_1StorageAlignStepNode.html#a364fe1c876d030fb049ec3bee88d0ab6',1,'tvm::auto_scheduler::StorageAlignStepNode::offset()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a0a335ae91c7fd20f47bb6581dc3c620c',1,'tvm::runtime::vm::Instruction::offset()']]],
-  ['offset_5ffactor_3151',['offset_factor',['../classtvm_1_1tir_1_1BufferNode.html#aa89852936d3a026cea1470c4c7f27488',1,'tvm::tir::BufferNode']]],
-  ['offsetof_3152',['OffsetOf',['../classtvm_1_1tir_1_1Buffer.html#a249445cb7bdb3f75dabf59778ba4f0b0',1,'tvm::tir::Buffer']]],
-  ['offsets_3153',['offsets',['../structtvm_1_1relay_1_1MultiBoxPriorAttrs.html#a6c7f77acdcf71211d14507c9cb1a3453',1,'tvm::relay::MultiBoxPriorAttrs']]],
-  ['on_5fdevice_2eh_3154',['on_device.h',['../on__device_8h.html',1,'']]],
-  ['ondeviceattrs_3155',['OnDeviceAttrs',['../structtvm_1_1relay_1_1OnDeviceAttrs.html',1,'tvm::relay']]],
-  ['one_5fhot_3156',['one_hot',['../namespacetvm_1_1topi.html#a3cf4e56cbd8144b9029672b7c5ebd161',1,'tvm::topi']]],
-  ['onehotattrs_3157',['OneHotAttrs',['../structtvm_1_1relay_1_1OneHotAttrs.html',1,'tvm::relay']]],
-  ['onesided_3158',['onesided',['../structtvm_1_1relay_1_1StftAttrs.html#a23bb87eed8fca94613a4e2d8d7f22858',1,'tvm::relay::StftAttrs']]],
-  ['oobchecker_3159',['OOBChecker',['../namespacetvm_1_1tir_1_1transform.html#aea27d24b6e7852652d258268d8537b66',1,'tvm::tir::transform']]],
-  ['op_3160',['op',['../classtvm_1_1tir_1_1CallNode.html#a31dbfd632e2f83a30716f22d84eb5e2b',1,'tvm::tir::CallNode::op()'],['../classtvm_1_1OpRegEntry.html#acaeedc636f8a0a85edd1e217a51b83d9',1,'tvm::OpRegEntry::op()'],['../classtvm_1_1te_1_1TensorIntrinNode.html#a5a589bd81a76f0001f05ac0013e74f85',1,'tvm::te::TensorIntrinNode::op()'],['../classtvm_1_1te_1_1TensorNode.html#a64faab73896ac7e9dd8dc43110920c7c',1,'tvm::te::TensorNode::op()'],['../classtvm_1_1te_1_1StageNode.html#a1e98ce6b9c48fd [...]
-  ['op_3161',['Op',['../classtvm_1_1OpAttrMap.html#a2c31e8a3c11caeb061d69db14ebb0e95',1,'tvm::OpAttrMap::Op()'],['../namespacetvm_1_1relay.html#a6c46d15e80f1a9916b8e9c264a185c98',1,'tvm::relay::Op()'],['../classtvm_1_1Op.html',1,'tvm::Op']]],
-  ['op_2eh_3162',['op.h',['../tir_2op_8h.html',1,'(Global Namespace)'],['../ir_2op_8h.html',1,'(Global Namespace)'],['../relay_2op_8h.html',1,'(Global Namespace)']]],
-  ['op2stage_5fcache_5f_3163',['op2stage_cache_',['../classtvm_1_1te_1_1ScheduleNode.html#adbc8bfb6812add2173dcc7a6adb85d5c',1,'tvm::te::ScheduleNode']]],
-  ['op_5fattr_5ftypes_2eh_3164',['op_attr_types.h',['../relay_2op__attr__types_8h.html',1,'(Global Namespace)'],['../tir_2op__attr__types_8h.html',1,'(Global Namespace)']]],
-  ['op_5fattrs_3165',['op_attrs',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a046296d33c6bf556b47e85407b5f6c0a',1,'tvm::runtime::vm::Executable']]],
-  ['op_5fstrategy_2eh_3166',['op_strategy.h',['../op__strategy_8h.html',1,'']]],
-  ['op_5ftype_3167',['op_type',['../classtvm_1_1OpNode.html#adff89c1e3f0aa6798a97fc4461293f7c',1,'tvm::OpNode::op_type()'],['../classtvm_1_1auto__scheduler_1_1StageNode.html#aa755940d0219a373832011f43bc79c2f',1,'tvm::auto_scheduler::StageNode::op_type()']]],
-  ['opaque_3168',['Opaque',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html#a4b4302a36eb7e031f20b0501ad4d47d5',1,'tvm::script::ir_builder::tir::axis']]],
-  ['opattrmap_3169',['OpAttrMap',['../classtvm_1_1OpAttrMap.html',1,'tvm']]],
-  ['opcode_3170',['Opcode',['../namespacetvm_1_1runtime_1_1vm.html#a8d8d95ce8d629c7213f2f595917870ec',1,'tvm::runtime::vm']]],
-  ['operands_3171',['operands',['../classtvm_1_1script_1_1printer_1_1OperationDocNode.html#a5cf20bfaa5aee424f69882287ba646b0',1,'tvm::script::printer::OperationDocNode']]],
-  ['operation_3172',['Operation',['../classtvm_1_1te_1_1Operation.html#a261c64004b4c8712e97f90cb04e135d1',1,'tvm::te::Operation::Operation(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1te_1_1Operation.html#a7bc69f793cb5cbc99bf20fed8617d487',1,'tvm::te::Operation::Operation()'],['../classtvm_1_1te_1_1Operation.html',1,'tvm::te::Operation']]],
-  ['operation_2eh_3173',['operation.h',['../operation_8h.html',1,'']]],
-  ['operationdoc_3174',['OperationDoc',['../classtvm_1_1script_1_1printer_1_1OperationDoc.html#a9a1eed0552ade7664a5a6f2aee73436a',1,'tvm::script::printer::OperationDoc::OperationDoc()'],['../classtvm_1_1script_1_1printer_1_1OperationDoc.html',1,'tvm::script::printer::OperationDoc']]],
-  ['operationdocnode_3175',['OperationDocNode',['../classtvm_1_1script_1_1printer_1_1OperationDocNode.html',1,'tvm::script::printer']]],
-  ['operationmap_3176',['OperationMap',['../classtvm_1_1auto__scheduler_1_1AccessAnalyzerNode.html#ad1678244c48ee2916fdbf0c3d7132384',1,'tvm::auto_scheduler::AccessAnalyzerNode']]],
-  ['operationnode_3177',['OperationNode',['../classtvm_1_1te_1_1OperationNode.html',1,'tvm::te']]],
-  ['operator_20array_3c_20integer_20_3e_3178',['operator Array&lt; Integer &gt;',['../classtvm_1_1relay_1_1FeatureSet.html#afc19754f86ffa1ffc63f81cc2fce1959',1,'tvm::relay::FeatureSet']]],
-  ['operator_20bool_3179',['operator bool',['../classtvm_1_1Bool.html#a01580c15a0d6db0ae60dfaa39f2aa044',1,'tvm::Bool::operator bool()'],['../classtvm_1_1runtime_1_1Optional.html#a3b2e44b31ccfcb1e8f13fac3aefca792',1,'tvm::runtime::Optional::operator bool()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a3985a6331cef665602fc3e14b59e7a0c',1,'tvm::runtime::ObjectPtr::operator bool()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#a10b749a19ee7b11803b94377aee0e8b5',1,'tvm::runtime::TVMPODValu [...]
-  ['operator_20datatype_3180',['operator DataType',['../classtvm_1_1runtime_1_1TVMArgValue.html#a0b14124450fc73eb7f6cee8cedf1e32f',1,'tvm::runtime::TVMArgValue::operator DataType()'],['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html#ab68a86a8394d26c9669118312504605c',1,'tvm::runtime::TVMMovableArgValue_::operator DataType()'],['../classtvm_1_1runtime_1_1TVMRetValue.html#a8f597329f3b49b7b89b2d327d1067130',1,'tvm::runtime::TVMRetValue::operator DataType()']]],
-  ['operator_20device_3181',['operator Device',['../classtvm_1_1runtime_1_1TVMPODValue__.html#a1971b5b71a1da7c0fc443bdb8050b09f',1,'tvm::runtime::TVMPODValue_']]],
-  ['operator_20diagnostic_3182',['operator Diagnostic',['../classtvm_1_1DiagnosticBuilder.html#a0998ae1d0fd97aec790294f10984ca31',1,'tvm::DiagnosticBuilder']]],
-  ['operator_20dldatatype_3183',['operator DLDataType',['../classtvm_1_1runtime_1_1TVMArgValue.html#a39d373f74bfe6b351920054355f00ea6',1,'tvm::runtime::TVMArgValue::operator DLDataType()'],['../classtvm_1_1runtime_1_1DataType.html#a0fc533410505b4d0ecc7ceaa731a5ad2',1,'tvm::runtime::DataType::operator DLDataType()'],['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html#aa220899a90ed764ab4023e4b10d70a32',1,'tvm::runtime::TVMMovableArgValue_::operator DLDataType()'],['../classtvm_1_1runtime [...]
-  ['operator_20dltensor_20_2a_3184',['operator DLTensor *',['../classtvm_1_1runtime_1_1TVMPODValue__.html#a457f0ad064c071b6c529eeee6f33512a',1,'tvm::runtime::TVMPODValue_']]],
-  ['operator_20double_3185',['operator double',['../classtvm_1_1runtime_1_1TVMPODValue__.html#a0276f88ed76fb4cbeeaf2f793c3eb7b3',1,'tvm::runtime::TVMPODValue_']]],
-  ['operator_20int_3186',['operator int',['../classtvm_1_1runtime_1_1TVMPODValue__.html#aeeed550000aa09fe5a4b464e332a675b',1,'tvm::runtime::TVMPODValue_']]],
-  ['operator_20int64_5ft_3187',['operator int64_t',['../classtvm_1_1runtime_1_1TVMPODValue__.html#a072af1d06a1a857cd78d7ea29de4d390',1,'tvm::runtime::TVMPODValue_']]],
-  ['operator_20iterator_5fbase_3c_20const_5fw_20_3e_3188',['operator iterator_base&lt; const_W &gt;',['../classtvm_1_1support_1_1Span_1_1iterator__base.html#a7982df7e9df2a70029c52b12649002b5',1,'tvm::support::Span::iterator_base']]],
-  ['operator_20module_3189',['operator Module',['../classtvm_1_1runtime_1_1TVMPODValue__.html#af927044f748a6a6c366f0157aa1d003c',1,'tvm::runtime::TVMPODValue_']]],
-  ['operator_20ndarray_3190',['operator NDArray',['../classtvm_1_1runtime_1_1TVMPODValue__.html#a2ed2d9aae541d442a4b508fac17ddd8b',1,'tvm::runtime::TVMPODValue_']]],
-  ['operator_20packedfunc_3191',['operator PackedFunc',['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#ae698ee872e435f8661f3026a2c7ab09b',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;::operator PackedFunc()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#afbfc784f94b3abcfca395f7fe5635ad9',1,'tvm::runtime::TVMPODValue_::operator PackedFunc()']]],
-  ['operator_20primexpr_3192',['operator PrimExpr',['../classtvm_1_1te_1_1Tensor_1_1Slice.html#ab4d687e16bdccebe425e327fc3f2f4ba',1,'tvm::te::Tensor::Slice::operator PrimExpr()'],['../classtvm_1_1tir_1_1IterVar.html#ad41efe2b7216667d9b16fa5bf36ba01f',1,'tvm::tir::IterVar::operator PrimExpr()']]],
-  ['operator_20t_3193',['operator T',['../classtvm_1_1runtime_1_1TVMRetValue.html#ab3c88c8eabe85df13b4a2f7889ab2535',1,'tvm::runtime::TVMRetValue::operator T()'],['../classtvm_1_1runtime_1_1TVMArgValue.html#a455caa5ddf543b7a88ab80310f54cd95',1,'tvm::runtime::TVMArgValue::operator T()'],['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html#ab3792f78170e5fa709284f85aedc9966',1,'tvm::runtime::TVMMovableArgValue_::operator T()'],['../classtvm_1_1runtime_1_1TVMMovableArgValueWithContext__.htm [...]
-  ['operator_20tvmargvalue_3194',['operator TVMArgValue',['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html#a4dda2cb23eef3247c8ef7a4dd817452f',1,'tvm::runtime::TVMMovableArgValue_']]],
-  ['operator_20typedpackedfunc_3c_20ftype_20_3e_3195',['operator TypedPackedFunc&lt; FType &gt;',['../classtvm_1_1runtime_1_1TVMRetValue.html#ad8b189915c5f3cb57fe174d87072dbf7',1,'tvm::runtime::TVMRetValue::operator TypedPackedFunc&lt; FType &gt;()'],['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html#a6d798256cda612b1d5ac89b4343fbf34',1,'tvm::runtime::TVMMovableArgValue_::operator TypedPackedFunc&lt; FType &gt;()'],['../classtvm_1_1runtime_1_1TVMArgValue.html#af345627966162cdc4f9bd366 [...]
-  ['operator_20uint64_5ft_3196',['operator uint64_t',['../classtvm_1_1runtime_1_1TVMPODValue__.html#a6eb8d1f7dcce5b2a76322956fdd3fb8c',1,'tvm::runtime::TVMPODValue_']]],
-  ['operator_20void_20_2a_3197',['operator void *',['../classtvm_1_1runtime_1_1TVMPODValue__.html#ada67522073183c9a1a9d4afb847afc4c',1,'tvm::runtime::TVMPODValue_']]],
-  ['operator_21_3198',['operator!',['../namespacetvm_1_1te.html#a27eae569b04a2d43a513b654b6d2b98c',1,'tvm::te::operator!()'],['../namespacetvm.html#ab354bf1270121abea71fade83f13b0b0',1,'tvm::operator!()'],['../classtvm_1_1Bool.html#a00a5153c31270c6ec308a516cd46f7fb',1,'tvm::Bool::operator!()']]],
-  ['operator_21_3d_3199',['operator!=',['../classtvm_1_1runtime_1_1Optional.html#a19b27f0fea9c594cb61d22e4d64fd66f',1,'tvm::runtime::Optional::operator!=()'],['../classtvm_1_1runtime_1_1PackedFunc.html#a327afe71a47ff06867d6276db08ad5b6',1,'tvm::runtime::PackedFunc::operator!=()'],['../classtvm_1_1runtime_1_1ObjectRef.html#aa1bd13a7185cb4b2b6bdde49416e8aa4',1,'tvm::runtime::ObjectRef::operator!=()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#af25b42c1e00ac67fdd425d7b76dae68a',1,'tvm::runt [...]
-  ['operator_25_3200',['operator%',['../namespacetvm.html#ab25738e50b37cd07b2d171ca74ba9321',1,'tvm']]],
-  ['operator_26_3201',['operator&amp;',['../namespacetvm.html#a92e2cae35b1e48f130b76f42c6ee3106',1,'tvm::operator&amp;()'],['../namespacetvm_1_1topi.html#a357ad2011384bdbb2067364e8efda390',1,'tvm::topi::operator&amp;(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B)'],['../namespacetvm_1_1topi.html#aa0c7128ff0b9e1112f1554454c0c665f',1,'tvm::topi::operator&amp;(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B)'],['../namespacetvm_1_1topi.html#a95e8cd0a01caad36d6a29954780ec [...]
-  ['operator_26_26_3202',['operator&amp;&amp;',['../namespacetvm.html#ab66052c86dc52cd20933ee60ca12d3d4',1,'tvm::operator&amp;&amp;()'],['../namespacetvm_1_1topi.html#a0862059c23e7cf7ebea5741e9d3f656f',1,'tvm::topi::operator&amp;&amp;(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B)'],['../namespacetvm_1_1topi.html#aea791b711152d6293b130c774777d647',1,'tvm::topi::operator&amp;&amp;(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B)'],['../namespacetvm_1_1topi.html#a0ff54b [...]
-  ['operator_28_29_3203',['operator()',['../structtvm_1_1runtime_1_1ObjectEqual.html#a3f1385e14a5a9f96035b0b567e5994a0',1,'tvm::runtime::ObjectEqual::operator()()'],['../structtvm_1_1runtime_1_1ObjectHash.html#ac97f4e15c15ac91185c7ead75d04dd3f',1,'tvm::runtime::ObjectHash::operator()()'],['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#a99f71278b865a343c21aa4590108b9dd',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;: [...]
-  ['operator_2a_3204',['operator*',['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#ac09b2cd5327e5102ab373b482530f1e2',1,'tvm::runtime::MapNode::iterator::operator*()'],['../classtvm_1_1runtime_1_1Map_1_1iterator.html#a788c24447dd50bef05bf8cdc7c7f2f66',1,'tvm::runtime::Map::iterator::operator*()'],['../classtvm_1_1runtime_1_1metadata_1_1ArrayIterator.html#a7346cc25ad5f2135600c96ac58a1d1aa',1,'tvm::runtime::metadata::ArrayIterator::operator*()'],['../classtvm_1_1runtime_1_1ObjectPtr.h [...]
-  ['operator_2a_3d_3205',['operator*=',['../namespacetvm.html#a9233d7b436ae52ac070442ba19caed18',1,'tvm']]],
-  ['operator_2b_3206',['operator+',['../namespacetvm.html#af246f441d4ac21b110185b77240b2dcc',1,'tvm::operator+()'],['../namespacetvm_1_1runtime.html#a3dbaf8d9ec6bd68590a23da05d8a2831',1,'tvm::runtime::operator+(const String &amp;lhs, const String &amp;rhs)'],['../namespacetvm_1_1runtime.html#a5c069145ecfa5909a77841f60332af4d',1,'tvm::runtime::operator+(const String &amp;lhs, const std::string &amp;rhs)'],['../namespacetvm_1_1runtime.html#acc9820cf44823ad5098183e9dbd7b867',1,'tvm::runtime [...]
-  ['operator_2b_2b_3207',['operator++',['../classtvm_1_1runtime_1_1IterAdapter.html#a40f67dd792619d7d41ac45fc0f52a0c4',1,'tvm::runtime::IterAdapter::operator++()'],['../classtvm_1_1runtime_1_1IterAdapter.html#a76971f210bcd72c05992ae57b2ac8b2e',1,'tvm::runtime::IterAdapter::operator++(int)'],['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#a362bd28f025d28551159e46c415309bd',1,'tvm::runtime::ReverseIterAdapter::operator++()'],['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#aacad35ed [...]
-  ['operator_2b_3d_3208',['operator+=',['../namespacetvm.html#a96061840d12f84eeecc8fae11e245242',1,'tvm::operator+=()'],['../classtvm_1_1relay_1_1FeatureSet.html#a73e4e0de2e58ef67a88d27a48b3c3fc0',1,'tvm::relay::FeatureSet::operator+=()']]],
-  ['operator_2d_3209',['operator-',['../namespacetvm.html#a028ba217f99b6cb1592a6a56b2bc9ee5',1,'tvm::operator-()'],['../classtvm_1_1relay_1_1DFPattern.html#ad638d57cae9db9a1d4cd8831ab60a18b',1,'tvm::relay::DFPattern::operator-()'],['../classtvm_1_1relay_1_1FeatureSet.html#ad0412921b6fe93a2f51b3c72c03c4095',1,'tvm::relay::FeatureSet::operator-()'],['../classtvm_1_1runtime_1_1IterAdapter.html#ab5671b2b9d56c2abcfb8d3f03cf6fd9e',1,'tvm::runtime::IterAdapter::operator-(difference_type offset) [...]
-  ['operator_2d_2d_3210',['operator--',['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#a3a04e74706f1a844a7347d9073494f62',1,'tvm::runtime::ReverseIterAdapter::operator--()'],['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#abd9253b9f7f2bcc9535a6047b3d1b529',1,'tvm::runtime::MapNode::iterator::operator--()'],['../classtvm_1_1runtime_1_1IterAdapter.html#acb643cc554062422a4fd00bbfb3b0a6d',1,'tvm::runtime::IterAdapter::operator--()'],['../classtvm_1_1runtime_1_1IterAdapter.html#ad6a3 [...]
-  ['operator_2d_3d_3211',['operator-=',['../namespacetvm.html#a164d454c519fecaa5611c86b65469acc',1,'tvm::operator-=()'],['../classtvm_1_1relay_1_1FeatureSet.html#ad90ce4d929774c0feb92c3eb56bea338',1,'tvm::relay::FeatureSet::operator-=()']]],
-  ['operator_2d_3e_3212',['operator-&gt;',['../classtvm_1_1tir_1_1Layout.html#a24d1414e53ab3bd153942bf5de5402e2',1,'tvm::tir::Layout::operator-&gt;()'],['../classtvm_1_1transform_1_1PassContext.html#a2d1a6fffe70703812245b8d834da9a44',1,'tvm::transform::PassContext::operator-&gt;()'],['../classtvm_1_1runtime_1_1Module.html#a87bcc010c62887a453d49d46854fa354',1,'tvm::runtime::Module::operator-&gt;()'],['../classtvm_1_1runtime_1_1Map_1_1iterator.html#a3779f858a014a0feea9d9e0c5d027d73',1,'tvm [...]
-  ['operator_2f_3213',['operator/',['../namespacetvm.html#a18256ba1213ce5ff3cf8037a314354b7',1,'tvm::operator/(PrimExpr a, PrimExpr b)'],['../namespacetvm.html#a136427374941fbf8e50f53b1cab39e38',1,'tvm::operator/(const PrimExpr &amp;a, const TB &amp;b)'],['../classtvm_1_1relay_1_1DFPattern.html#ad0839b39558941ac374c213f78ca2c81',1,'tvm::relay::DFPattern::operator/()']]],
-  ['operator_2f_3d_3214',['operator/=',['../namespacetvm.html#a51dc569142bf8ce8ea55f73029d3807d',1,'tvm']]],
-  ['operator_3c_3215',['operator&lt;',['../namespacetvm.html#a0854363590c38f5479b1da5e70c4f002',1,'tvm::operator&lt;(const PrimExpr &amp;a, double b)'],['../namespacetvm.html#a1f98476c3a413f6cdfc7b7e490f3221b',1,'tvm::operator&lt;(PrimExpr a, PrimExpr b)'],['../namespacetvm_1_1runtime.html#a2865dffa2fddf5eff9d7ed397563ebd6',1,'tvm::runtime::operator&lt;(const String &amp;lhs, const std::string &amp;rhs)'],['../namespacetvm_1_1runtime.html#ad5305faaeefd679da62186dab423bdab',1,'tvm::runtim [...]
-  ['operator_3c_3c_3216',['operator&lt;&lt;',['../namespacetvm.html#a9d8412e5f401f59f5ca85ed556d70810',1,'tvm::operator&lt;&lt;()'],['../namespacetvm_1_1te.html#a6bb44656b78b7d6a02ede706ed0a85ec',1,'tvm::te::operator&lt;&lt;(const Tensor::Slice &amp;a, const Tensor::Slice &amp;b)'],['../namespacetvm_1_1te.html#afba65b87ed8a5587c48b1f63ff9d8437',1,'tvm::te::operator&lt;&lt;(const T &amp;a, const Tensor::Slice &amp;b)'],['../namespacetvm_1_1te.html#a8d52a6dd288ed59dd3f75fac6e3833f4',1,'tvm [...]
-  ['operator_3c_3d_3217',['operator&lt;=',['../namespacetvm_1_1te.html#a9049756f490d96b37d24fb4a4d019d6e',1,'tvm::te::operator&lt;=()'],['../namespacetvm.html#a6eea8276bcc178425bc14f3d878970ff',1,'tvm::operator&lt;=(const PrimExpr &amp;a, double b)'],['../namespacetvm.html#af94a56db543e741a23bbf2f51c49091a',1,'tvm::operator&lt;=(const PrimExpr &amp;a, int b)'],['../namespacetvm.html#ad5dbec0c48b8644c5c6e9d773ddc106b',1,'tvm::operator&lt;=(int a, const PrimExpr &amp;b)'],['../namespacetvm [...]
-  ['operator_3d_3218',['operator=',['../classtvm_1_1runtime_1_1Optional.html#ab0f16ec4c71f65eec4a2ea9a83711a73',1,'tvm::runtime::Optional::operator=()'],['../classtvm_1_1runtime_1_1String.html#a3b3c8614af05adc454f47132e04552ed',1,'tvm::runtime::String::operator=()'],['../classtvm_1_1runtime_1_1TVMRetValue.html#a5d251ed32af617354c8622689a6d6e48',1,'tvm::runtime::TVMRetValue::operator=(int value)'],['../classtvm_1_1runtime_1_1TVMRetValue.html#ad362b734db5406b02e72476b7f8da779',1,'tvm::runt [...]
-  ['operator_3d_3d_3219',['operator==',['../classtvm_1_1runtime_1_1Optional.html#a2e5b8e5065518ef40c70d5575edf8806',1,'tvm::runtime::Optional::operator==(const U &amp;other) const'],['../classtvm_1_1runtime_1_1Optional.html#abb41934cee66ce6f25eba0f6de68ff1f',1,'tvm::runtime::Optional::operator==(const T &amp;other) const'],['../classtvm_1_1runtime_1_1Optional.html#aba83dc01d0f82381c3505c20a6bb36b7',1,'tvm::runtime::Optional::operator==(const Optional&lt; T &gt; &amp;other) const'],['../c [...]
-  ['operator_3e_3220',['operator&gt;',['../namespacetvm.html#a7e2181bca182f90533ec35537714d09d',1,'tvm::operator&gt;(const PrimExpr &amp;a, double b)'],['../namespacetvm.html#acc92dcd3d81981e983ddf05347bc9371',1,'tvm::operator&gt;(const PrimExpr &amp;a, int b)'],['../namespacetvm.html#a6d0ad14c882c11311836138a2c164cf3',1,'tvm::operator&gt;(float a, const PrimExpr &amp;b)'],['../namespacetvm.html#a9cea8f3789d8f3dc78acae43e9a6aad6',1,'tvm::operator&gt;(const PrimExpr &amp;a, float b)'],['. [...]
-  ['operator_3e_3d_3221',['operator&gt;=',['../namespacetvm.html#a7a94a354cd62137652e09fa887a96100',1,'tvm::operator&gt;=(float a, const PrimExpr &amp;b)'],['../namespacetvm.html#aae1dcfef78728c5490d3c107b4abac5a',1,'tvm::operator&gt;=(const PrimExpr &amp;a, double b)'],['../namespacetvm.html#ac194836fc11a8ba34e44738da17fd116',1,'tvm::operator&gt;=(const PrimExpr &amp;a, int b)'],['../namespacetvm.html#af7dee311b945dfc5a821a119c1db9ad1',1,'tvm::operator&gt;=(int a, const PrimExpr &amp;b) [...]
-  ['operator_3e_3e_3222',['operator&gt;&gt;',['../namespacetvm_1_1topi.html#ae6ecac0439f6b6ad6e6faed527cab86c',1,'tvm::topi::operator&gt;&gt;()'],['../namespacetvm.html#a1ce1eb32fc9d76ebe5a6b8d185024d41',1,'tvm::operator&gt;&gt;()'],['../namespacetvm_1_1te.html#a4a8524467a57ae005654a3f0cb816e3f',1,'tvm::te::operator&gt;&gt;(const Tensor::Slice &amp;a, const T &amp;b)'],['../namespacetvm_1_1te.html#a8705a88b943011532ff4c94c4b06c213',1,'tvm::te::operator&gt;&gt;(const T &amp;a, const Tenso [...]
-  ['operator_5b_5d_3223',['operator[]',['../classtvm_1_1tir_1_1Layout.html#ab80c1b1c7c763b5609cddb0fb613dc5c',1,'tvm::tir::Layout::operator[]()'],['../classtvm_1_1AttrRegistryMapContainerMap.html#a713c3d1884423e3e67e3fdaef2566925',1,'tvm::AttrRegistryMapContainerMap::operator[]()'],['../classtvm_1_1AttrRegistryMap.html#ae4152d6da01b645eeff90fbaeac7c14f',1,'tvm::AttrRegistryMap::operator[]()'],['../classtvm_1_1runtime_1_1ADT.html#a88cf389012aad0e129c84c056ae546fc',1,'tvm::runtime::ADT::op [...]
-  ['operator_5e_3224',['operator^',['../namespacetvm.html#a6f638564e5e4d1023096523800f2579e',1,'tvm::operator^()'],['../namespacetvm_1_1topi.html#a32379f4c2a17152ea26ea90967889847',1,'tvm::topi::operator^(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B)'],['../namespacetvm_1_1topi.html#abbf86a9b8077930e0869f8243497e427',1,'tvm::topi::operator^(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B)'],['../namespacetvm_1_1topi.html#abef6b4a192138a38c651c2c347e60263',1,'tvm::top [...]
-  ['operator_7c_3225',['operator|',['../namespacetvm_1_1arith.html#a660ff1fdbb08fea19a922157cadad7a7',1,'tvm::arith::operator|()'],['../namespacetvm.html#a236d9aae385e6697874f75e4c8a69f8d',1,'tvm::operator|(PrimExpr a, PrimExpr b)'],['../namespacetvm.html#a7c7fc3c45e6f6b52b2a1064deabd0797',1,'tvm::operator|(const PrimExpr &amp;a, int b)'],['../namespacetvm.html#ad5ba71021b167b0a6ca2138b2c8bbace',1,'tvm::operator|(int a, const PrimExpr &amp;b)'],['../namespacetvm_1_1topi.html#a5fed408670c [...]
-  ['operator_7c_7c_3226',['operator||',['../namespacetvm.html#ae4ef6ceffc5778d734c2ddfc72020d60',1,'tvm::operator||()'],['../classtvm_1_1relay_1_1DFPattern.html#ab8caf2bf80291b17922190cab174e11d',1,'tvm::relay::DFPattern::operator||()'],['../namespacetvm.html#a002710a4652156a57495e10a09b5d002',1,'tvm::operator||()'],['../namespacetvm_1_1topi.html#ae5a2628177fa9009024a6f540c41b799',1,'tvm::topi::operator||(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B)'],['../namespacetvm_1_1to [...]
-  ['operator_7e_3227',['operator~',['../namespacetvm.html#a354b9954ff25dd819a51d856fdd38827',1,'tvm']]],
-  ['opimplementation_3228',['OpImplementation',['../classtvm_1_1relay_1_1OpImplementation.html',1,'tvm::relay']]],
-  ['opimplementationnode_3229',['OpImplementationNode',['../classtvm_1_1relay_1_1OpImplementationNode.html',1,'tvm::relay']]],
-  ['opnode_3230',['OpNode',['../namespacetvm_1_1relay.html#ac9d4f2cf78a48659817a88d890e1d142',1,'tvm::relay::OpNode()'],['../classtvm_1_1OpNode.html',1,'tvm::OpNode']]],
-  ['oppatternkind_3231',['OpPatternKind',['../namespacetvm_1_1relay.html#ab5f4d382bf1bee69c3e484ea6c837578',1,'tvm::relay']]],
-  ['opregentry_3232',['OpRegEntry',['../classtvm_1_1OpNode.html#a3b47320541c25e1edc0e89e77e978d5a',1,'tvm::OpNode::OpRegEntry()'],['../classtvm_1_1AttrRegistryMapContainerMap.html#a3b47320541c25e1edc0e89e77e978d5a',1,'tvm::AttrRegistryMapContainerMap::OpRegEntry()'],['../classtvm_1_1OpRegEntry.html',1,'tvm::OpRegEntry']]],
-  ['ops_3233',['ops',['../classtvm_1_1auto__scheduler_1_1ComputeDAGNode.html#a2a3b40c0e1c5f387bb528223b26934bd',1,'tvm::auto_scheduler::ComputeDAGNode']]],
-  ['ops_5ftopo_5forder_3234',['ops_topo_order',['../classtvm_1_1auto__scheduler_1_1AccessAnalyzerNode.html#a7707d940b81b5932c7487fae025be3c8',1,'tvm::auto_scheduler::AccessAnalyzerNode']]],
-  ['opspecialization_3235',['OpSpecialization',['../classtvm_1_1relay_1_1OpSpecialization.html',1,'tvm::relay']]],
-  ['opspecializationnode_3236',['OpSpecializationNode',['../classtvm_1_1relay_1_1OpSpecializationNode.html',1,'tvm::relay']]],
-  ['opstarthook_3237',['OpStartHook',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a4e3d0f62ef25486968335336d1445bfa',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['opstophook_3238',['OpStopHook',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a4f9142d370e9629f86b792c4328c24a4',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['opstrategy_3239',['OpStrategy',['../classtvm_1_1relay_1_1OpStrategy.html',1,'tvm::relay']]],
-  ['opstrategynode_3240',['OpStrategyNode',['../classtvm_1_1relay_1_1OpStrategyNode.html',1,'tvm::relay']]],
-  ['opt_5flevel_3241',['opt_level',['../classtvm_1_1transform_1_1PassInfoNode.html#a8e22e5767cd899bb9aef1ee1c529a2a7',1,'tvm::transform::PassInfoNode::opt_level()'],['../classtvm_1_1transform_1_1PassContextNode.html#af166034a8746d65044eea0a2673de991',1,'tvm::transform::PassContextNode::opt_level()']]],
-  ['optional_3242',['Optional',['../classtvm_1_1runtime_1_1Optional.html#af684522b40606c8b5e0907fbd103291e',1,'tvm::runtime::Optional::Optional()=default'],['../classtvm_1_1runtime_1_1Optional.html#a8e48cc0c7291822bb3fad71dd1455ba6',1,'tvm::runtime::Optional::Optional(const Optional&lt; T &gt; &amp;)=default'],['../classtvm_1_1runtime_1_1Optional.html#a237bbbf19c47a7dd52df94021c7858bf',1,'tvm::runtime::Optional::Optional(Optional&lt; T &gt; &amp;&amp;)=default'],['../classtvm_1_1runtime_ [...]
-  ['optional_2eh_3243',['optional.h',['../optional_8h.html',1,'']]],
-  ['optional_3c_20tvm_3a_3abool_20_3e_3244',['Optional&lt; tvm::Bool &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3adiagnosticcontext_20_3e_3245',['Optional&lt; tvm::DiagnosticContext &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3afloatimm_20_3e_3246',['Optional&lt; tvm::FloatImm &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3ainteger_20_3e_3247',['Optional&lt; tvm::Integer &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3airmodule_20_3e_3248',['Optional&lt; tvm::IRModule &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3ameta_5fschedule_3a_3acostmodel_20_3e_3249',['Optional&lt; tvm::meta_schedule::CostModel &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3ameta_5fschedule_3a_3adatabase_20_3e_3250',['Optional&lt; tvm::meta_schedule::Database &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3ameta_5fschedule_3a_3asearchstrategy_20_3e_3251',['Optional&lt; tvm::meta_schedule::SearchStrategy &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3ameta_5fschedule_3a_3aspacegenerator_20_3e_3252',['Optional&lt; tvm::meta_schedule::SpaceGenerator &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3aprimexpr_20_3e_3253',['Optional&lt; tvm::PrimExpr &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3arelay_3a_3adfpattern_20_3e_3254',['Optional&lt; tvm::relay::DFPattern &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3aauto_5fscheduler_3a_3ameasurecallback_20_3e_20_3e_3255',['Optional&lt; tvm::runtime::Array&lt; tvm::auto_scheduler::MeasureCallback &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3afloatimm_20_3e_20_3e_3256',['Optional&lt; tvm::runtime::Array&lt; tvm::FloatImm &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3ainteger_20_3e_20_3e_3257',['Optional&lt; tvm::runtime::Array&lt; tvm::Integer &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3ameta_5fschedule_3a_3aarginfo_20_3e_20_3e_3258',['Optional&lt; tvm::runtime::Array&lt; tvm::meta_schedule::ArgInfo &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3ameta_5fschedule_3a_3abuilderresult_20_3e_20_3e_3259',['Optional&lt; tvm::runtime::Array&lt; tvm::meta_schedule::BuilderResult &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3ameta_5fschedule_3a_3ameasurecandidate_20_3e_20_3e_3260',['Optional&lt; tvm::runtime::Array&lt; tvm::meta_schedule::MeasureCandidate &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3ameta_5fschedule_3a_3apostproc_20_3e_20_3e_3261',['Optional&lt; tvm::runtime::Array&lt; tvm::meta_schedule::Postproc &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3ameta_5fschedule_3a_3arunnerfuture_20_3e_20_3e_3262',['Optional&lt; tvm::runtime::Array&lt; tvm::meta_schedule::RunnerFuture &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3ameta_5fschedule_3a_3aschedulerule_20_3e_20_3e_3263',['Optional&lt; tvm::runtime::Array&lt; tvm::meta_schedule::ScheduleRule &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3atir_3a_3abufferregion_20_3e_20_3e_3264',['Optional&lt; tvm::runtime::Array&lt; tvm::tir::BufferRegion &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3atir_3a_3astmt_20_3e_20_3e_3265',['Optional&lt; tvm::runtime::Array&lt; tvm::tir::Stmt &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3aruntime_3a_3amap_3c_20tvm_3a_3ameta_5fschedule_3a_3amutator_2c_20tvm_3a_3afloatimm_20_3e_20_3e_3266',['Optional&lt; tvm::runtime::Map&lt; tvm::meta_schedule::Mutator, tvm::FloatImm &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3aruntime_3a_3amap_3c_20tvm_3a_3aruntime_3a_3astring_2c_20tvm_3a_3aruntime_3a_3andarray_20_3e_20_3e_3267',['Optional&lt; tvm::runtime::Map&lt; tvm::runtime::String, tvm::runtime::NDArray &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3aruntime_3a_3amap_3c_20tvm_3a_3aruntime_3a_3astring_2c_20tvm_3a_3aruntime_3a_3aobjectref_20_3e_20_3e_3268',['Optional&lt; tvm::runtime::Map&lt; tvm::runtime::String, tvm::runtime::ObjectRef &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3aruntime_3a_3andarray_20_3e_3269',['Optional&lt; tvm::runtime::NDArray &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3aruntime_3a_3aobjectref_20_3e_3270',['Optional&lt; tvm::runtime::ObjectRef &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3aruntime_3a_3astring_20_3e_3271',['Optional&lt; tvm::runtime::String &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3ascript_3a_3aprinter_3a_3aexprdoc_20_3e_3272',['Optional&lt; tvm::script::printer::ExprDoc &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3atarget_20_3e_3273',['Optional&lt; tvm::Target &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3atir_3a_3aitervar_20_3e_3274',['Optional&lt; tvm::tir::IterVar &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3atir_3a_3astmt_20_3e_3275',['Optional&lt; tvm::tir::Stmt &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_3c_20tvm_3a_3atype_20_3e_3276',['Optional&lt; tvm::Type &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
-  ['optional_5fhomogeneous_5ftarget_3277',['optional_homogeneous_target',['../classtvm_1_1CompilationConfigNode.html#ac29775462c7f70a3711221871fbd3453',1,'tvm::CompilationConfigNode']]],
-  ['or_3278',['Or',['../classtvm_1_1tir_1_1Or.html#ab0b68884ed84d03d3af7c95da2fcf81e',1,'tvm::tir::Or::Or()'],['../classtvm_1_1tir_1_1Or.html',1,'tvm::tir::Or']]],
-  ['ordereduniondatabase_3279',['OrderedUnionDatabase',['../classtvm_1_1meta__schedule_1_1Database.html#ae4f6e0e951be446d2ab836eb8a9bcc83',1,'tvm::meta_schedule::Database']]],
-  ['orig_5fiters_3280',['orig_iters',['../classtvm_1_1auto__scheduler_1_1IteratorNode.html#aff59a8e6a213079e43469c9d751fc607',1,'tvm::auto_scheduler::IteratorNode']]],
-  ['origin_5fop_3281',['origin_op',['../classtvm_1_1te_1_1StageNode.html#a3e7c2fb80404a12a9e843fcb38accd78',1,'tvm::te::StageNode']]],
-  ['original_5fvariables_3282',['original_variables',['../classtvm_1_1te_1_1TransformNode.html#abc94c207521c4841843edd028aefcaeb',1,'tvm::te::TransformNode']]],
-  ['ornode_3283',['OrNode',['../classtvm_1_1tir_1_1OrNode.html',1,'tvm::tir']]],
-  ['out_5fdtype_3284',['out_dtype',['../structtvm_1_1relay_1_1Resize2DAttrs.html#a2bf0c2451445a4961ec1098da14720b9',1,'tvm::relay::Resize2DAttrs::out_dtype()'],['../structtvm_1_1relay_1_1Resize3DAttrs.html#a741e6ee2e26e95d2a7ee802c0c51ee2b',1,'tvm::relay::Resize3DAttrs::out_dtype()'],['../structtvm_1_1relay_1_1Resize1DAttrs.html#a9c4fbee136d2238404a8223fd915f824',1,'tvm::relay::Resize1DAttrs::out_dtype()'],['../structtvm_1_1relay_1_1BinaryDenseAttrs.html#a8a391a620450d8c0e4449774a60272c6 [...]
-  ['out_5flayout_3285',['out_layout',['../structtvm_1_1relay_1_1AdaptivePool1DAttrs.html#a2e67ab4392f06b7a46384072184b03b1',1,'tvm::relay::AdaptivePool1DAttrs::out_layout()'],['../structtvm_1_1relay_1_1AvgPool3DAttrs.html#a12b6edb0c46153185f4a3f015309e2c0',1,'tvm::relay::AvgPool3DAttrs::out_layout()'],['../structtvm_1_1relay_1_1AdaptivePool2DAttrs.html#aee6a9fef741b53124d35b38b81fae0ae',1,'tvm::relay::AdaptivePool2DAttrs::out_layout()'],['../structtvm_1_1relay_1_1GlobalPool2DAttrs.html#a [...]
-  ['out_5fshape_3286',['out_shape',['../structtvm_1_1relay_1_1ThreefryGenerateAttrs.html#ab02111fe352ae6d124dfcb551d2626d1',1,'tvm::relay::ThreefryGenerateAttrs::out_shape()'],['../structtvm_1_1relay_1_1NormalAttrs.html#a2e0e042f7b8afbe51e9ba4f571718cb7',1,'tvm::relay::NormalAttrs::out_shape()'],['../structtvm_1_1relay_1_1UniformAttrs.html#a1fc1dab6f15a36301b551ba1697d6c88',1,'tvm::relay::UniformAttrs::out_shape()']]],
-  ['outer_3287',['outer',['../classtvm_1_1te_1_1SplitNode.html#a8d0f3974bbd80f360c717278ec932fbd',1,'tvm::te::SplitNode::outer()'],['../classtvm_1_1te_1_1FuseNode.html#a90efca7f5397eb34989f5d085ae9bab5',1,'tvm::te::FuseNode::outer()']]],
-  ['output_3288',['output',['../structtvm_1_1topi_1_1EinsumEquation.html#ac634a0581a718313628b3a71373bd7d6',1,'tvm::topi::EinsumEquation::output()'],['../classtvm_1_1te_1_1Operation.html#a00b67945c799a2022d3164ab63dd3b82',1,'tvm::te::Operation::output()']]],
-  ['output_5fdtype_3289',['output_dtype',['../classtvm_1_1te_1_1ExternOpNode.html#aeb736e912b0379e8e1f888ed9fcbad4f',1,'tvm::te::ExternOpNode::output_dtype()'],['../classtvm_1_1te_1_1HybridOpNode.html#abb20d82f9d23c18d29b216e2ab836646',1,'tvm::te::HybridOpNode::output_dtype()'],['../classtvm_1_1te_1_1ScanOpNode.html#a397b086940fe3115acdb83c3ce61a0cc',1,'tvm::te::ScanOpNode::output_dtype()'],['../classtvm_1_1te_1_1TensorComputeOpNode.html#a36de23d9964e78fb0adc8c6e4c7efa7f',1,'tvm::te::Ten [...]
-  ['output_5fformat_3290',['output_format',['../structtvm_1_1relay_1_1AllClassNonMaximumSuppressionAttrs.html#ae91fadfed9949f446c3711bcc48ef844',1,'tvm::relay::AllClassNonMaximumSuppressionAttrs']]],
-  ['output_5fpadding_3291',['output_padding',['../structtvm_1_1relay_1_1Conv3DTransposeAttrs.html#a488ff4efab5748d0de40669007374e6f',1,'tvm::relay::Conv3DTransposeAttrs::output_padding()'],['../structtvm_1_1relay_1_1Conv2DTransposeAttrs.html#ac7bd13f11eeec17e9e9c97f6ff09924d',1,'tvm::relay::Conv2DTransposeAttrs::output_padding()'],['../structtvm_1_1relay_1_1Conv1DTransposeAttrs.html#adda59958ed563345a7b55634a2d81131',1,'tvm::relay::Conv1DTransposeAttrs::output_padding()']]],
-  ['output_5fplaceholders_3292',['output_placeholders',['../classtvm_1_1te_1_1ExternOpNode.html#a004d2ec4a439339a2067e4fe7b93dac8',1,'tvm::te::ExternOpNode']]],
-  ['output_5fshape_3293',['output_shape',['../structtvm_1_1relay_1_1SparseToDenseAttrs.html#a96725ff7c8a481e81a2fd0ad163b4ecf',1,'tvm::relay::SparseToDenseAttrs::output_shape()'],['../classtvm_1_1te_1_1HybridOpNode.html#ad4a0684999336bce0e8762d0aa15196e',1,'tvm::te::HybridOpNode::output_shape()'],['../classtvm_1_1te_1_1ExternOpNode.html#a9d2a9f96da44f3a777913a76703e3dbc',1,'tvm::te::ExternOpNode::output_shape()'],['../classtvm_1_1te_1_1ScanOpNode.html#af7070bd5acff34504792421f2abab32c',1 [...]
-  ['output_5fsize_3294',['output_size',['../structtvm_1_1relay_1_1AdaptivePool1DAttrs.html#a18b0e1c79c534fec9eabb0656a395c9e',1,'tvm::relay::AdaptivePool1DAttrs::output_size()'],['../structtvm_1_1relay_1_1AdaptivePool2DAttrs.html#a545f40ec0dbdf52f628bebcc23a63950',1,'tvm::relay::AdaptivePool2DAttrs::output_size()'],['../structtvm_1_1relay_1_1AdaptivePool3DAttrs.html#a0c56cb9665840dcc8e949c41d39c710d',1,'tvm::relay::AdaptivePool3DAttrs::output_size()'],['../structtvm_1_1runtime_1_1vm_1_1I [...]
-  ['output_5ftensor_5freg_5findices_5f_3295',['output_tensor_reg_indices_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#aa98e90db9a3ef70c732b5322171023c2',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['outputs_3296',['outputs',['../structTVMMetadata.html#ae98ddb5d3a598ab923d8cadc66d38632',1,'TVMMetadata::outputs()'],['../classtvm_1_1te_1_1HybridOpNode.html#a6ff8e6faa8d01063e32a2c124721df29',1,'tvm::te::HybridOpNode::outputs()'],['../classtvm_1_1te_1_1ScheduleNode.html#a27b0f687f7b20fcc6416a49e041712d8',1,'tvm::te::ScheduleNode::outputs()'],['../classtvm_1_1tir_1_1InstructionNode.html#a745f994b096890961ed67b17f2040bba',1,'tvm::tir::InstructionNode::outputs()'],['../classtvm_1_1runti [...]
-  ['outputs_5f_3297',['outputs_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a43d9a2e6056305a8c14941eb08f2cf29',1,'tvm::runtime::vm::VirtualMachine']]]
+  ['obj2info_3124',['obj2info',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#a5ce74fda8a1c8dbcdf47537540c2219f',1,'tvm::script::printer::IRDocsifierNode']]],
+  ['obj_5fto_5fannotate_3125',['obj_to_annotate',['../classtvm_1_1PrinterConfigNode.html#abd7af285839fe88e48b6ff879d19bfed',1,'tvm::PrinterConfigNode']]],
+  ['obj_5fto_5funderline_3126',['obj_to_underline',['../classtvm_1_1PrinterConfigNode.html#a76b205891ecf851435a83513a514e1d3',1,'tvm::PrinterConfigNode']]],
+  ['objallocatorbase_3127',['ObjAllocatorBase',['../classtvm_1_1runtime_1_1Object.html#a8fae619f3bd1a2b2f7273d8d6525032a',1,'tvm::runtime::Object::ObjAllocatorBase()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a8fae619f3bd1a2b2f7273d8d6525032a',1,'tvm::runtime::ObjectPtr::ObjAllocatorBase()'],['../classtvm_1_1runtime_1_1ObjAllocatorBase.html',1,'tvm::runtime::ObjAllocatorBase&lt; Derived &gt;']]],
+  ['objallocatorbase_3c_20simpleobjallocator_20_3e_3128',['ObjAllocatorBase&lt; SimpleObjAllocator &gt;',['../classtvm_1_1runtime_1_1ObjAllocatorBase.html',1,'tvm::runtime']]],
+  ['object_3129',['Object',['../classtvm_1_1runtime_1_1ObjectPtr.html#a0720b5f434e636e22a3ed34f847eec57',1,'tvm::runtime::ObjectPtr::Object()'],['../classtvm_1_1runtime_1_1Object.html#a133436a9ec5c4a768b94102bf95a660b',1,'tvm::runtime::Object::Object()'],['../classtvm_1_1runtime_1_1Object.html#ab7968feb6ad38ecaffc320e13819d826',1,'tvm::runtime::Object::Object(const Object &amp;other)'],['../classtvm_1_1runtime_1_1Object.html#aa1612f69ea5b4225d4cda759cd517323',1,'tvm::runtime::Object::Obj [...]
+  ['object_3130',['object',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a0ac4dada6519d071a819cc897cb15cf1',1,'tvm::runtime::vm::Instruction']]],
+  ['object_3131',['Object',['../classtvm_1_1runtime_1_1Object.html',1,'tvm::runtime']]],
+  ['object_2eh_3132',['object.h',['../object_8h.html',1,'']]],
+  ['object_5fpath_2eh_3133',['object_path.h',['../object__path_8h.html',1,'']]],
+  ['objectequal_3134',['ObjectEqual',['../structtvm_1_1runtime_1_1ObjectEqual.html',1,'tvm::runtime']]],
+  ['objecthash_3135',['ObjectHash',['../structtvm_1_1runtime_1_1ObjectHash.html',1,'tvm::runtime']]],
+  ['objectinternal_3136',['ObjectInternal',['../classtvm_1_1runtime_1_1Object.html#a4a8e2a2ce9dc9267dc18ac8abfd8dd16',1,'tvm::runtime::Object::ObjectInternal()'],['../classtvm_1_1runtime_1_1ObjectRef.html#a4a8e2a2ce9dc9267dc18ac8abfd8dd16',1,'tvm::runtime::ObjectRef::ObjectInternal()']]],
+  ['objectpath_3137',['ObjectPath',['../classtvm_1_1ObjectPathNode.html#a8714f126861b816502889d8d7b8dcfae',1,'tvm::ObjectPathNode::ObjectPath()'],['../classtvm_1_1ObjectPath.html',1,'tvm::ObjectPath']]],
+  ['objectpathnode_3138',['ObjectPathNode',['../classtvm_1_1ObjectPathNode.html#a13e3167fe88d2c70b55fea32dcf954b3',1,'tvm::ObjectPathNode::ObjectPathNode()'],['../classtvm_1_1ObjectPathNode.html',1,'tvm::ObjectPathNode']]],
+  ['objectpathpair_3139',['ObjectPathPair',['../classtvm_1_1ObjectPathPair.html#a2afd9c8a96ec286c7c5e11cad016774b',1,'tvm::ObjectPathPair::ObjectPathPair()'],['../classtvm_1_1ObjectPathPair.html',1,'tvm::ObjectPathPair']]],
+  ['objectpathpairnode_3140',['ObjectPathPairNode',['../classtvm_1_1ObjectPathPairNode.html#a34ef2048a490a692f47bb2ae58ad2a5c',1,'tvm::ObjectPathPairNode::ObjectPathPairNode()'],['../classtvm_1_1ObjectPathPairNode.html',1,'tvm::ObjectPathPairNode']]],
+  ['objectptr_3141',['ObjectPtr',['../classtvm_1_1runtime_1_1Object.html#a4193bb155125953e77ded93c0fb46965',1,'tvm::runtime::Object::ObjectPtr()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a62e18d1b81152c6caf93961eca6d04bc',1,'tvm::runtime::ObjectPtr::ObjectPtr(ObjectPtr&lt; Y &gt; &amp;&amp;other)'],['../classtvm_1_1runtime_1_1ObjectPtr.html#ab9a13c742256492a2bb19070e41697f2',1,'tvm::runtime::ObjectPtr::ObjectPtr(ObjectPtr&lt; T &gt; &amp;&amp;other)'],['../classtvm_1_1runtime_1_1Objec [...]
+  ['objectptr_3c_20tvm_3a_3aattrfieldinfonode_20_3e_3142',['ObjectPtr&lt; tvm::AttrFieldInfoNode &gt;',['../classtvm_1_1runtime_1_1ObjectPtr.html',1,'tvm::runtime']]],
+  ['objectptr_3c_20tvm_3a_3aruntime_3a_3aobject_20_3e_3143',['ObjectPtr&lt; tvm::runtime::Object &gt;',['../classtvm_1_1runtime_1_1ObjectPtr.html',1,'tvm::runtime']]],
+  ['objectptr_3c_20tvm_3a_3aruntime_3a_3avm_3a_3aexecutable_20_3e_3144',['ObjectPtr&lt; tvm::runtime::vm::Executable &gt;',['../classtvm_1_1runtime_1_1ObjectPtr.html',1,'tvm::runtime']]],
+  ['objectptrequal_3145',['ObjectPtrEqual',['../structtvm_1_1runtime_1_1ObjectPtrEqual.html',1,'tvm::runtime']]],
+  ['objectptrhash_3146',['ObjectPtrHash',['../classtvm_1_1runtime_1_1ObjectPtr.html#ab1db3e3b77985946e4379d31829030a5',1,'tvm::runtime::ObjectPtr::ObjectPtrHash()'],['../classtvm_1_1runtime_1_1ObjectRef.html#ab1db3e3b77985946e4379d31829030a5',1,'tvm::runtime::ObjectRef::ObjectPtrHash()'],['../structtvm_1_1runtime_1_1ObjectPtrHash.html',1,'tvm::runtime::ObjectPtrHash']]],
+  ['objectref_3147',['ObjectRef',['../classtvm_1_1runtime_1_1ObjectPtr.html#a5d7d1b555fd908e511caa42bd7ccfc71',1,'tvm::runtime::ObjectPtr::ObjectRef()'],['../classtvm_1_1runtime_1_1ObjectRef.html#aa07c1f6d66a438ea950637d13ed09471',1,'tvm::runtime::ObjectRef::ObjectRef()=default'],['../classtvm_1_1runtime_1_1ObjectRef.html#a6a7dd7404edf1c26f8dbd9bd92d03a02',1,'tvm::runtime::ObjectRef::ObjectRef(ObjectPtr&lt; Object &gt; data)'],['../classtvm_1_1runtime_1_1ObjectRef.html',1,'tvm::runtime:: [...]
+  ['objecttypechecker_3148',['ObjectTypeChecker',['../structtvm_1_1runtime_1_1ObjectTypeChecker.html',1,'tvm::runtime']]],
+  ['objecttypechecker_3c_20array_3c_20t_20_3e_20_3e_3149',['ObjectTypeChecker&lt; Array&lt; T &gt; &gt;',['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Array_3_01T_01_4_01_4.html',1,'tvm::runtime']]],
+  ['objecttypechecker_3c_20map_3c_20k_2c_20v_20_3e_20_3e_3150',['ObjectTypeChecker&lt; Map&lt; K, V &gt; &gt;',['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Map_3_01K_00_01V_01_4_01_4.html',1,'tvm::runtime']]],
+  ['offset_3151',['offset',['../classtvm_1_1auto__scheduler_1_1StorageAlignStepNode.html#a364fe1c876d030fb049ec3bee88d0ab6',1,'tvm::auto_scheduler::StorageAlignStepNode::offset()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a0a335ae91c7fd20f47bb6581dc3c620c',1,'tvm::runtime::vm::Instruction::offset()']]],
+  ['offset_5ffactor_3152',['offset_factor',['../classtvm_1_1tir_1_1BufferNode.html#aa89852936d3a026cea1470c4c7f27488',1,'tvm::tir::BufferNode']]],
+  ['offsetof_3153',['OffsetOf',['../classtvm_1_1tir_1_1Buffer.html#a249445cb7bdb3f75dabf59778ba4f0b0',1,'tvm::tir::Buffer']]],
+  ['offsets_3154',['offsets',['../structtvm_1_1relay_1_1MultiBoxPriorAttrs.html#a6c7f77acdcf71211d14507c9cb1a3453',1,'tvm::relay::MultiBoxPriorAttrs']]],
+  ['on_5fdevice_2eh_3155',['on_device.h',['../on__device_8h.html',1,'']]],
+  ['ondeviceattrs_3156',['OnDeviceAttrs',['../structtvm_1_1relay_1_1OnDeviceAttrs.html',1,'tvm::relay']]],
+  ['one_5fhot_3157',['one_hot',['../namespacetvm_1_1topi.html#a3cf4e56cbd8144b9029672b7c5ebd161',1,'tvm::topi']]],
+  ['onehotattrs_3158',['OneHotAttrs',['../structtvm_1_1relay_1_1OneHotAttrs.html',1,'tvm::relay']]],
+  ['onesided_3159',['onesided',['../structtvm_1_1relay_1_1StftAttrs.html#a23bb87eed8fca94613a4e2d8d7f22858',1,'tvm::relay::StftAttrs']]],
+  ['oobchecker_3160',['OOBChecker',['../namespacetvm_1_1tir_1_1transform.html#aea27d24b6e7852652d258268d8537b66',1,'tvm::tir::transform']]],
+  ['op_3161',['op',['../classtvm_1_1tir_1_1CallNode.html#a31dbfd632e2f83a30716f22d84eb5e2b',1,'tvm::tir::CallNode::op()'],['../classtvm_1_1OpRegEntry.html#acaeedc636f8a0a85edd1e217a51b83d9',1,'tvm::OpRegEntry::op()'],['../classtvm_1_1te_1_1TensorIntrinNode.html#a5a589bd81a76f0001f05ac0013e74f85',1,'tvm::te::TensorIntrinNode::op()'],['../classtvm_1_1te_1_1TensorNode.html#a64faab73896ac7e9dd8dc43110920c7c',1,'tvm::te::TensorNode::op()'],['../classtvm_1_1te_1_1StageNode.html#a1e98ce6b9c48fd [...]
+  ['op_3162',['Op',['../classtvm_1_1OpAttrMap.html#a2c31e8a3c11caeb061d69db14ebb0e95',1,'tvm::OpAttrMap::Op()'],['../namespacetvm_1_1relay.html#a6c46d15e80f1a9916b8e9c264a185c98',1,'tvm::relay::Op()'],['../classtvm_1_1Op.html',1,'tvm::Op']]],
+  ['op_2eh_3163',['op.h',['../tir_2op_8h.html',1,'(Global Namespace)'],['../ir_2op_8h.html',1,'(Global Namespace)'],['../relay_2op_8h.html',1,'(Global Namespace)']]],
+  ['op2stage_5fcache_5f_3164',['op2stage_cache_',['../classtvm_1_1te_1_1ScheduleNode.html#adbc8bfb6812add2173dcc7a6adb85d5c',1,'tvm::te::ScheduleNode']]],
+  ['op_5fattr_5ftypes_2eh_3165',['op_attr_types.h',['../relay_2op__attr__types_8h.html',1,'(Global Namespace)'],['../tir_2op__attr__types_8h.html',1,'(Global Namespace)']]],
+  ['op_5fattrs_3166',['op_attrs',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a046296d33c6bf556b47e85407b5f6c0a',1,'tvm::runtime::vm::Executable']]],
+  ['op_5fstrategy_2eh_3167',['op_strategy.h',['../op__strategy_8h.html',1,'']]],
+  ['op_5ftype_3168',['op_type',['../classtvm_1_1OpNode.html#adff89c1e3f0aa6798a97fc4461293f7c',1,'tvm::OpNode::op_type()'],['../classtvm_1_1auto__scheduler_1_1StageNode.html#aa755940d0219a373832011f43bc79c2f',1,'tvm::auto_scheduler::StageNode::op_type()']]],
+  ['opaque_3169',['Opaque',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html#a4b4302a36eb7e031f20b0501ad4d47d5',1,'tvm::script::ir_builder::tir::axis']]],
+  ['opattrmap_3170',['OpAttrMap',['../classtvm_1_1OpAttrMap.html',1,'tvm']]],
+  ['opcode_3171',['Opcode',['../namespacetvm_1_1runtime_1_1vm.html#a8d8d95ce8d629c7213f2f595917870ec',1,'tvm::runtime::vm']]],
+  ['operands_3172',['operands',['../classtvm_1_1script_1_1printer_1_1OperationDocNode.html#a5cf20bfaa5aee424f69882287ba646b0',1,'tvm::script::printer::OperationDocNode']]],
+  ['operation_3173',['Operation',['../classtvm_1_1te_1_1Operation.html#a261c64004b4c8712e97f90cb04e135d1',1,'tvm::te::Operation::Operation(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1te_1_1Operation.html#a7bc69f793cb5cbc99bf20fed8617d487',1,'tvm::te::Operation::Operation()'],['../classtvm_1_1te_1_1Operation.html',1,'tvm::te::Operation']]],
+  ['operation_2eh_3174',['operation.h',['../operation_8h.html',1,'']]],
+  ['operationdoc_3175',['OperationDoc',['../classtvm_1_1script_1_1printer_1_1OperationDoc.html#a9a1eed0552ade7664a5a6f2aee73436a',1,'tvm::script::printer::OperationDoc::OperationDoc()'],['../classtvm_1_1script_1_1printer_1_1OperationDoc.html',1,'tvm::script::printer::OperationDoc']]],
+  ['operationdocnode_3176',['OperationDocNode',['../classtvm_1_1script_1_1printer_1_1OperationDocNode.html',1,'tvm::script::printer']]],
+  ['operationmap_3177',['OperationMap',['../classtvm_1_1auto__scheduler_1_1AccessAnalyzerNode.html#ad1678244c48ee2916fdbf0c3d7132384',1,'tvm::auto_scheduler::AccessAnalyzerNode']]],
+  ['operationnode_3178',['OperationNode',['../classtvm_1_1te_1_1OperationNode.html',1,'tvm::te']]],
+  ['operator_20array_3c_20integer_20_3e_3179',['operator Array&lt; Integer &gt;',['../classtvm_1_1relay_1_1FeatureSet.html#afc19754f86ffa1ffc63f81cc2fce1959',1,'tvm::relay::FeatureSet']]],
+  ['operator_20bool_3180',['operator bool',['../classtvm_1_1Bool.html#a01580c15a0d6db0ae60dfaa39f2aa044',1,'tvm::Bool::operator bool()'],['../classtvm_1_1runtime_1_1Optional.html#a3b2e44b31ccfcb1e8f13fac3aefca792',1,'tvm::runtime::Optional::operator bool()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a3985a6331cef665602fc3e14b59e7a0c',1,'tvm::runtime::ObjectPtr::operator bool()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#a10b749a19ee7b11803b94377aee0e8b5',1,'tvm::runtime::TVMPODValu [...]
+  ['operator_20datatype_3181',['operator DataType',['../classtvm_1_1runtime_1_1TVMArgValue.html#a0b14124450fc73eb7f6cee8cedf1e32f',1,'tvm::runtime::TVMArgValue::operator DataType()'],['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html#ab68a86a8394d26c9669118312504605c',1,'tvm::runtime::TVMMovableArgValue_::operator DataType()'],['../classtvm_1_1runtime_1_1TVMRetValue.html#a8f597329f3b49b7b89b2d327d1067130',1,'tvm::runtime::TVMRetValue::operator DataType()']]],
+  ['operator_20device_3182',['operator Device',['../classtvm_1_1runtime_1_1TVMPODValue__.html#a1971b5b71a1da7c0fc443bdb8050b09f',1,'tvm::runtime::TVMPODValue_']]],
+  ['operator_20diagnostic_3183',['operator Diagnostic',['../classtvm_1_1DiagnosticBuilder.html#a0998ae1d0fd97aec790294f10984ca31',1,'tvm::DiagnosticBuilder']]],
+  ['operator_20dldatatype_3184',['operator DLDataType',['../classtvm_1_1runtime_1_1TVMArgValue.html#a39d373f74bfe6b351920054355f00ea6',1,'tvm::runtime::TVMArgValue::operator DLDataType()'],['../classtvm_1_1runtime_1_1DataType.html#a0fc533410505b4d0ecc7ceaa731a5ad2',1,'tvm::runtime::DataType::operator DLDataType()'],['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html#aa220899a90ed764ab4023e4b10d70a32',1,'tvm::runtime::TVMMovableArgValue_::operator DLDataType()'],['../classtvm_1_1runtime [...]
+  ['operator_20dltensor_20_2a_3185',['operator DLTensor *',['../classtvm_1_1runtime_1_1TVMPODValue__.html#a457f0ad064c071b6c529eeee6f33512a',1,'tvm::runtime::TVMPODValue_']]],
+  ['operator_20double_3186',['operator double',['../classtvm_1_1runtime_1_1TVMPODValue__.html#a0276f88ed76fb4cbeeaf2f793c3eb7b3',1,'tvm::runtime::TVMPODValue_']]],
+  ['operator_20int_3187',['operator int',['../classtvm_1_1runtime_1_1TVMPODValue__.html#aeeed550000aa09fe5a4b464e332a675b',1,'tvm::runtime::TVMPODValue_']]],
+  ['operator_20int64_5ft_3188',['operator int64_t',['../classtvm_1_1runtime_1_1TVMPODValue__.html#a072af1d06a1a857cd78d7ea29de4d390',1,'tvm::runtime::TVMPODValue_']]],
+  ['operator_20iterator_5fbase_3c_20const_5fw_20_3e_3189',['operator iterator_base&lt; const_W &gt;',['../classtvm_1_1support_1_1Span_1_1iterator__base.html#a7982df7e9df2a70029c52b12649002b5',1,'tvm::support::Span::iterator_base']]],
+  ['operator_20module_3190',['operator Module',['../classtvm_1_1runtime_1_1TVMPODValue__.html#af927044f748a6a6c366f0157aa1d003c',1,'tvm::runtime::TVMPODValue_']]],
+  ['operator_20ndarray_3191',['operator NDArray',['../classtvm_1_1runtime_1_1TVMPODValue__.html#a2ed2d9aae541d442a4b508fac17ddd8b',1,'tvm::runtime::TVMPODValue_']]],
+  ['operator_20packedfunc_3192',['operator PackedFunc',['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#ae698ee872e435f8661f3026a2c7ab09b',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;::operator PackedFunc()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#afbfc784f94b3abcfca395f7fe5635ad9',1,'tvm::runtime::TVMPODValue_::operator PackedFunc()']]],
+  ['operator_20primexpr_3193',['operator PrimExpr',['../classtvm_1_1te_1_1Tensor_1_1Slice.html#ab4d687e16bdccebe425e327fc3f2f4ba',1,'tvm::te::Tensor::Slice::operator PrimExpr()'],['../classtvm_1_1tir_1_1IterVar.html#ad41efe2b7216667d9b16fa5bf36ba01f',1,'tvm::tir::IterVar::operator PrimExpr()']]],
+  ['operator_20t_3194',['operator T',['../classtvm_1_1runtime_1_1TVMRetValue.html#ab3c88c8eabe85df13b4a2f7889ab2535',1,'tvm::runtime::TVMRetValue::operator T()'],['../classtvm_1_1runtime_1_1TVMArgValue.html#a455caa5ddf543b7a88ab80310f54cd95',1,'tvm::runtime::TVMArgValue::operator T()'],['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html#ab3792f78170e5fa709284f85aedc9966',1,'tvm::runtime::TVMMovableArgValue_::operator T()'],['../classtvm_1_1runtime_1_1TVMMovableArgValueWithContext__.htm [...]
+  ['operator_20tvmargvalue_3195',['operator TVMArgValue',['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html#a4dda2cb23eef3247c8ef7a4dd817452f',1,'tvm::runtime::TVMMovableArgValue_']]],
+  ['operator_20typedpackedfunc_3c_20ftype_20_3e_3196',['operator TypedPackedFunc&lt; FType &gt;',['../classtvm_1_1runtime_1_1TVMRetValue.html#ad8b189915c5f3cb57fe174d87072dbf7',1,'tvm::runtime::TVMRetValue::operator TypedPackedFunc&lt; FType &gt;()'],['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html#a6d798256cda612b1d5ac89b4343fbf34',1,'tvm::runtime::TVMMovableArgValue_::operator TypedPackedFunc&lt; FType &gt;()'],['../classtvm_1_1runtime_1_1TVMArgValue.html#af345627966162cdc4f9bd366 [...]
+  ['operator_20uint64_5ft_3197',['operator uint64_t',['../classtvm_1_1runtime_1_1TVMPODValue__.html#a6eb8d1f7dcce5b2a76322956fdd3fb8c',1,'tvm::runtime::TVMPODValue_']]],
+  ['operator_20void_20_2a_3198',['operator void *',['../classtvm_1_1runtime_1_1TVMPODValue__.html#ada67522073183c9a1a9d4afb847afc4c',1,'tvm::runtime::TVMPODValue_']]],
+  ['operator_21_3199',['operator!',['../namespacetvm_1_1te.html#a27eae569b04a2d43a513b654b6d2b98c',1,'tvm::te::operator!()'],['../namespacetvm.html#ab354bf1270121abea71fade83f13b0b0',1,'tvm::operator!()'],['../classtvm_1_1Bool.html#a00a5153c31270c6ec308a516cd46f7fb',1,'tvm::Bool::operator!()']]],
+  ['operator_21_3d_3200',['operator!=',['../classtvm_1_1runtime_1_1Optional.html#a19b27f0fea9c594cb61d22e4d64fd66f',1,'tvm::runtime::Optional::operator!=()'],['../classtvm_1_1runtime_1_1PackedFunc.html#a327afe71a47ff06867d6276db08ad5b6',1,'tvm::runtime::PackedFunc::operator!=()'],['../classtvm_1_1runtime_1_1ObjectRef.html#aa1bd13a7185cb4b2b6bdde49416e8aa4',1,'tvm::runtime::ObjectRef::operator!=()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#af25b42c1e00ac67fdd425d7b76dae68a',1,'tvm::runt [...]
+  ['operator_25_3201',['operator%',['../namespacetvm.html#ab25738e50b37cd07b2d171ca74ba9321',1,'tvm']]],
+  ['operator_26_3202',['operator&amp;',['../namespacetvm.html#a92e2cae35b1e48f130b76f42c6ee3106',1,'tvm::operator&amp;()'],['../namespacetvm_1_1topi.html#a357ad2011384bdbb2067364e8efda390',1,'tvm::topi::operator&amp;(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B)'],['../namespacetvm_1_1topi.html#aa0c7128ff0b9e1112f1554454c0c665f',1,'tvm::topi::operator&amp;(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B)'],['../namespacetvm_1_1topi.html#a95e8cd0a01caad36d6a29954780ec [...]
+  ['operator_26_26_3203',['operator&amp;&amp;',['../namespacetvm.html#ab66052c86dc52cd20933ee60ca12d3d4',1,'tvm::operator&amp;&amp;()'],['../namespacetvm_1_1topi.html#a0862059c23e7cf7ebea5741e9d3f656f',1,'tvm::topi::operator&amp;&amp;(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B)'],['../namespacetvm_1_1topi.html#aea791b711152d6293b130c774777d647',1,'tvm::topi::operator&amp;&amp;(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B)'],['../namespacetvm_1_1topi.html#a0ff54b [...]
+  ['operator_28_29_3204',['operator()',['../structtvm_1_1runtime_1_1ObjectEqual.html#a3f1385e14a5a9f96035b0b567e5994a0',1,'tvm::runtime::ObjectEqual::operator()()'],['../structtvm_1_1runtime_1_1ObjectHash.html#ac97f4e15c15ac91185c7ead75d04dd3f',1,'tvm::runtime::ObjectHash::operator()()'],['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#a99f71278b865a343c21aa4590108b9dd',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;: [...]
+  ['operator_2a_3205',['operator*',['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#ac09b2cd5327e5102ab373b482530f1e2',1,'tvm::runtime::MapNode::iterator::operator*()'],['../classtvm_1_1runtime_1_1Map_1_1iterator.html#a788c24447dd50bef05bf8cdc7c7f2f66',1,'tvm::runtime::Map::iterator::operator*()'],['../classtvm_1_1runtime_1_1metadata_1_1ArrayIterator.html#a7346cc25ad5f2135600c96ac58a1d1aa',1,'tvm::runtime::metadata::ArrayIterator::operator*()'],['../classtvm_1_1runtime_1_1ObjectPtr.h [...]
+  ['operator_2a_3d_3206',['operator*=',['../namespacetvm.html#a9233d7b436ae52ac070442ba19caed18',1,'tvm']]],
+  ['operator_2b_3207',['operator+',['../namespacetvm.html#af246f441d4ac21b110185b77240b2dcc',1,'tvm::operator+()'],['../namespacetvm_1_1runtime.html#a3dbaf8d9ec6bd68590a23da05d8a2831',1,'tvm::runtime::operator+(const String &amp;lhs, const String &amp;rhs)'],['../namespacetvm_1_1runtime.html#a5c069145ecfa5909a77841f60332af4d',1,'tvm::runtime::operator+(const String &amp;lhs, const std::string &amp;rhs)'],['../namespacetvm_1_1runtime.html#acc9820cf44823ad5098183e9dbd7b867',1,'tvm::runtime [...]
+  ['operator_2b_2b_3208',['operator++',['../classtvm_1_1runtime_1_1IterAdapter.html#a40f67dd792619d7d41ac45fc0f52a0c4',1,'tvm::runtime::IterAdapter::operator++()'],['../classtvm_1_1runtime_1_1IterAdapter.html#a76971f210bcd72c05992ae57b2ac8b2e',1,'tvm::runtime::IterAdapter::operator++(int)'],['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#a362bd28f025d28551159e46c415309bd',1,'tvm::runtime::ReverseIterAdapter::operator++()'],['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#aacad35ed [...]
+  ['operator_2b_3d_3209',['operator+=',['../namespacetvm.html#a96061840d12f84eeecc8fae11e245242',1,'tvm::operator+=()'],['../classtvm_1_1relay_1_1FeatureSet.html#a73e4e0de2e58ef67a88d27a48b3c3fc0',1,'tvm::relay::FeatureSet::operator+=()']]],
+  ['operator_2d_3210',['operator-',['../namespacetvm.html#a028ba217f99b6cb1592a6a56b2bc9ee5',1,'tvm::operator-()'],['../classtvm_1_1relay_1_1DFPattern.html#ad638d57cae9db9a1d4cd8831ab60a18b',1,'tvm::relay::DFPattern::operator-()'],['../classtvm_1_1relay_1_1FeatureSet.html#ad0412921b6fe93a2f51b3c72c03c4095',1,'tvm::relay::FeatureSet::operator-()'],['../classtvm_1_1runtime_1_1IterAdapter.html#ab5671b2b9d56c2abcfb8d3f03cf6fd9e',1,'tvm::runtime::IterAdapter::operator-(difference_type offset) [...]
+  ['operator_2d_2d_3211',['operator--',['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#a3a04e74706f1a844a7347d9073494f62',1,'tvm::runtime::ReverseIterAdapter::operator--()'],['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#abd9253b9f7f2bcc9535a6047b3d1b529',1,'tvm::runtime::MapNode::iterator::operator--()'],['../classtvm_1_1runtime_1_1IterAdapter.html#acb643cc554062422a4fd00bbfb3b0a6d',1,'tvm::runtime::IterAdapter::operator--()'],['../classtvm_1_1runtime_1_1IterAdapter.html#ad6a3 [...]
+  ['operator_2d_3d_3212',['operator-=',['../namespacetvm.html#a164d454c519fecaa5611c86b65469acc',1,'tvm::operator-=()'],['../classtvm_1_1relay_1_1FeatureSet.html#ad90ce4d929774c0feb92c3eb56bea338',1,'tvm::relay::FeatureSet::operator-=()']]],
+  ['operator_2d_3e_3213',['operator-&gt;',['../classtvm_1_1tir_1_1Layout.html#a24d1414e53ab3bd153942bf5de5402e2',1,'tvm::tir::Layout::operator-&gt;()'],['../classtvm_1_1transform_1_1PassContext.html#a2d1a6fffe70703812245b8d834da9a44',1,'tvm::transform::PassContext::operator-&gt;()'],['../classtvm_1_1runtime_1_1Module.html#a87bcc010c62887a453d49d46854fa354',1,'tvm::runtime::Module::operator-&gt;()'],['../classtvm_1_1runtime_1_1Map_1_1iterator.html#a3779f858a014a0feea9d9e0c5d027d73',1,'tvm [...]
+  ['operator_2f_3214',['operator/',['../namespacetvm.html#a18256ba1213ce5ff3cf8037a314354b7',1,'tvm::operator/(PrimExpr a, PrimExpr b)'],['../namespacetvm.html#a136427374941fbf8e50f53b1cab39e38',1,'tvm::operator/(const PrimExpr &amp;a, const TB &amp;b)'],['../classtvm_1_1relay_1_1DFPattern.html#ad0839b39558941ac374c213f78ca2c81',1,'tvm::relay::DFPattern::operator/()']]],
+  ['operator_2f_3d_3215',['operator/=',['../namespacetvm.html#a51dc569142bf8ce8ea55f73029d3807d',1,'tvm']]],
+  ['operator_3c_3216',['operator&lt;',['../namespacetvm.html#a0854363590c38f5479b1da5e70c4f002',1,'tvm::operator&lt;(const PrimExpr &amp;a, double b)'],['../namespacetvm.html#a1f98476c3a413f6cdfc7b7e490f3221b',1,'tvm::operator&lt;(PrimExpr a, PrimExpr b)'],['../namespacetvm_1_1runtime.html#a2865dffa2fddf5eff9d7ed397563ebd6',1,'tvm::runtime::operator&lt;(const String &amp;lhs, const std::string &amp;rhs)'],['../namespacetvm_1_1runtime.html#ad5305faaeefd679da62186dab423bdab',1,'tvm::runtim [...]
+  ['operator_3c_3c_3217',['operator&lt;&lt;',['../namespacetvm.html#a9d8412e5f401f59f5ca85ed556d70810',1,'tvm::operator&lt;&lt;()'],['../namespacetvm_1_1te.html#a6bb44656b78b7d6a02ede706ed0a85ec',1,'tvm::te::operator&lt;&lt;(const Tensor::Slice &amp;a, const Tensor::Slice &amp;b)'],['../namespacetvm_1_1te.html#afba65b87ed8a5587c48b1f63ff9d8437',1,'tvm::te::operator&lt;&lt;(const T &amp;a, const Tensor::Slice &amp;b)'],['../namespacetvm_1_1te.html#a8d52a6dd288ed59dd3f75fac6e3833f4',1,'tvm [...]
+  ['operator_3c_3d_3218',['operator&lt;=',['../namespacetvm_1_1te.html#a9049756f490d96b37d24fb4a4d019d6e',1,'tvm::te::operator&lt;=()'],['../namespacetvm.html#a6eea8276bcc178425bc14f3d878970ff',1,'tvm::operator&lt;=(const PrimExpr &amp;a, double b)'],['../namespacetvm.html#af94a56db543e741a23bbf2f51c49091a',1,'tvm::operator&lt;=(const PrimExpr &amp;a, int b)'],['../namespacetvm.html#ad5dbec0c48b8644c5c6e9d773ddc106b',1,'tvm::operator&lt;=(int a, const PrimExpr &amp;b)'],['../namespacetvm [...]
+  ['operator_3d_3219',['operator=',['../classtvm_1_1runtime_1_1Optional.html#ab0f16ec4c71f65eec4a2ea9a83711a73',1,'tvm::runtime::Optional::operator=()'],['../classtvm_1_1runtime_1_1String.html#a3b3c8614af05adc454f47132e04552ed',1,'tvm::runtime::String::operator=()'],['../classtvm_1_1runtime_1_1TVMRetValue.html#a5d251ed32af617354c8622689a6d6e48',1,'tvm::runtime::TVMRetValue::operator=(int value)'],['../classtvm_1_1runtime_1_1TVMRetValue.html#ad362b734db5406b02e72476b7f8da779',1,'tvm::runt [...]
+  ['operator_3d_3d_3220',['operator==',['../classtvm_1_1runtime_1_1Optional.html#a2e5b8e5065518ef40c70d5575edf8806',1,'tvm::runtime::Optional::operator==(const U &amp;other) const'],['../classtvm_1_1runtime_1_1Optional.html#abb41934cee66ce6f25eba0f6de68ff1f',1,'tvm::runtime::Optional::operator==(const T &amp;other) const'],['../classtvm_1_1runtime_1_1Optional.html#aba83dc01d0f82381c3505c20a6bb36b7',1,'tvm::runtime::Optional::operator==(const Optional&lt; T &gt; &amp;other) const'],['../c [...]
+  ['operator_3e_3221',['operator&gt;',['../namespacetvm.html#a7e2181bca182f90533ec35537714d09d',1,'tvm::operator&gt;(const PrimExpr &amp;a, double b)'],['../namespacetvm.html#acc92dcd3d81981e983ddf05347bc9371',1,'tvm::operator&gt;(const PrimExpr &amp;a, int b)'],['../namespacetvm.html#a6d0ad14c882c11311836138a2c164cf3',1,'tvm::operator&gt;(float a, const PrimExpr &amp;b)'],['../namespacetvm.html#a9cea8f3789d8f3dc78acae43e9a6aad6',1,'tvm::operator&gt;(const PrimExpr &amp;a, float b)'],['. [...]
+  ['operator_3e_3d_3222',['operator&gt;=',['../namespacetvm.html#a7a94a354cd62137652e09fa887a96100',1,'tvm::operator&gt;=(float a, const PrimExpr &amp;b)'],['../namespacetvm.html#aae1dcfef78728c5490d3c107b4abac5a',1,'tvm::operator&gt;=(const PrimExpr &amp;a, double b)'],['../namespacetvm.html#ac194836fc11a8ba34e44738da17fd116',1,'tvm::operator&gt;=(const PrimExpr &amp;a, int b)'],['../namespacetvm.html#af7dee311b945dfc5a821a119c1db9ad1',1,'tvm::operator&gt;=(int a, const PrimExpr &amp;b) [...]
+  ['operator_3e_3e_3223',['operator&gt;&gt;',['../namespacetvm_1_1topi.html#ae6ecac0439f6b6ad6e6faed527cab86c',1,'tvm::topi::operator&gt;&gt;()'],['../namespacetvm.html#a1ce1eb32fc9d76ebe5a6b8d185024d41',1,'tvm::operator&gt;&gt;()'],['../namespacetvm_1_1te.html#a4a8524467a57ae005654a3f0cb816e3f',1,'tvm::te::operator&gt;&gt;(const Tensor::Slice &amp;a, const T &amp;b)'],['../namespacetvm_1_1te.html#a8705a88b943011532ff4c94c4b06c213',1,'tvm::te::operator&gt;&gt;(const T &amp;a, const Tenso [...]
+  ['operator_5b_5d_3224',['operator[]',['../classtvm_1_1tir_1_1Layout.html#ab80c1b1c7c763b5609cddb0fb613dc5c',1,'tvm::tir::Layout::operator[]()'],['../classtvm_1_1AttrRegistryMapContainerMap.html#a713c3d1884423e3e67e3fdaef2566925',1,'tvm::AttrRegistryMapContainerMap::operator[]()'],['../classtvm_1_1AttrRegistryMap.html#ae4152d6da01b645eeff90fbaeac7c14f',1,'tvm::AttrRegistryMap::operator[]()'],['../classtvm_1_1runtime_1_1ADT.html#a88cf389012aad0e129c84c056ae546fc',1,'tvm::runtime::ADT::op [...]
+  ['operator_5e_3225',['operator^',['../namespacetvm.html#a6f638564e5e4d1023096523800f2579e',1,'tvm::operator^()'],['../namespacetvm_1_1topi.html#a32379f4c2a17152ea26ea90967889847',1,'tvm::topi::operator^(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B)'],['../namespacetvm_1_1topi.html#abbf86a9b8077930e0869f8243497e427',1,'tvm::topi::operator^(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B)'],['../namespacetvm_1_1topi.html#abef6b4a192138a38c651c2c347e60263',1,'tvm::top [...]
+  ['operator_7c_3226',['operator|',['../namespacetvm_1_1arith.html#a660ff1fdbb08fea19a922157cadad7a7',1,'tvm::arith::operator|()'],['../namespacetvm.html#a236d9aae385e6697874f75e4c8a69f8d',1,'tvm::operator|(PrimExpr a, PrimExpr b)'],['../namespacetvm.html#a7c7fc3c45e6f6b52b2a1064deabd0797',1,'tvm::operator|(const PrimExpr &amp;a, int b)'],['../namespacetvm.html#ad5ba71021b167b0a6ca2138b2c8bbace',1,'tvm::operator|(int a, const PrimExpr &amp;b)'],['../namespacetvm_1_1topi.html#a5fed408670c [...]
+  ['operator_7c_7c_3227',['operator||',['../namespacetvm.html#ae4ef6ceffc5778d734c2ddfc72020d60',1,'tvm::operator||()'],['../classtvm_1_1relay_1_1DFPattern.html#ab8caf2bf80291b17922190cab174e11d',1,'tvm::relay::DFPattern::operator||()'],['../namespacetvm.html#a002710a4652156a57495e10a09b5d002',1,'tvm::operator||()'],['../namespacetvm_1_1topi.html#ae5a2628177fa9009024a6f540c41b799',1,'tvm::topi::operator||(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B)'],['../namespacetvm_1_1to [...]
+  ['operator_7e_3228',['operator~',['../namespacetvm.html#a354b9954ff25dd819a51d856fdd38827',1,'tvm']]],
+  ['opimplementation_3229',['OpImplementation',['../classtvm_1_1relay_1_1OpImplementation.html',1,'tvm::relay']]],
+  ['opimplementationnode_3230',['OpImplementationNode',['../classtvm_1_1relay_1_1OpImplementationNode.html',1,'tvm::relay']]],
+  ['opnode_3231',['OpNode',['../namespacetvm_1_1relay.html#ac9d4f2cf78a48659817a88d890e1d142',1,'tvm::relay::OpNode()'],['../classtvm_1_1OpNode.html',1,'tvm::OpNode']]],
+  ['oppatternkind_3232',['OpPatternKind',['../namespacetvm_1_1relay.html#ab5f4d382bf1bee69c3e484ea6c837578',1,'tvm::relay']]],
+  ['opregentry_3233',['OpRegEntry',['../classtvm_1_1OpNode.html#a3b47320541c25e1edc0e89e77e978d5a',1,'tvm::OpNode::OpRegEntry()'],['../classtvm_1_1AttrRegistryMapContainerMap.html#a3b47320541c25e1edc0e89e77e978d5a',1,'tvm::AttrRegistryMapContainerMap::OpRegEntry()'],['../classtvm_1_1OpRegEntry.html',1,'tvm::OpRegEntry']]],
+  ['ops_3234',['ops',['../classtvm_1_1auto__scheduler_1_1ComputeDAGNode.html#a2a3b40c0e1c5f387bb528223b26934bd',1,'tvm::auto_scheduler::ComputeDAGNode']]],
+  ['ops_5ftopo_5forder_3235',['ops_topo_order',['../classtvm_1_1auto__scheduler_1_1AccessAnalyzerNode.html#a7707d940b81b5932c7487fae025be3c8',1,'tvm::auto_scheduler::AccessAnalyzerNode']]],
+  ['opspecialization_3236',['OpSpecialization',['../classtvm_1_1relay_1_1OpSpecialization.html',1,'tvm::relay']]],
+  ['opspecializationnode_3237',['OpSpecializationNode',['../classtvm_1_1relay_1_1OpSpecializationNode.html',1,'tvm::relay']]],
+  ['opstarthook_3238',['OpStartHook',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a4e3d0f62ef25486968335336d1445bfa',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['opstophook_3239',['OpStopHook',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a4f9142d370e9629f86b792c4328c24a4',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['opstrategy_3240',['OpStrategy',['../classtvm_1_1relay_1_1OpStrategy.html',1,'tvm::relay']]],
+  ['opstrategynode_3241',['OpStrategyNode',['../classtvm_1_1relay_1_1OpStrategyNode.html',1,'tvm::relay']]],
+  ['opt_5flevel_3242',['opt_level',['../classtvm_1_1transform_1_1PassInfoNode.html#a8e22e5767cd899bb9aef1ee1c529a2a7',1,'tvm::transform::PassInfoNode::opt_level()'],['../classtvm_1_1transform_1_1PassContextNode.html#af166034a8746d65044eea0a2673de991',1,'tvm::transform::PassContextNode::opt_level()']]],
+  ['optional_3243',['Optional',['../classtvm_1_1runtime_1_1Optional.html#af684522b40606c8b5e0907fbd103291e',1,'tvm::runtime::Optional::Optional()=default'],['../classtvm_1_1runtime_1_1Optional.html#a8e48cc0c7291822bb3fad71dd1455ba6',1,'tvm::runtime::Optional::Optional(const Optional&lt; T &gt; &amp;)=default'],['../classtvm_1_1runtime_1_1Optional.html#a237bbbf19c47a7dd52df94021c7858bf',1,'tvm::runtime::Optional::Optional(Optional&lt; T &gt; &amp;&amp;)=default'],['../classtvm_1_1runtime_ [...]
+  ['optional_2eh_3244',['optional.h',['../optional_8h.html',1,'']]],
+  ['optional_3c_20tvm_3a_3abool_20_3e_3245',['Optional&lt; tvm::Bool &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3adiagnosticcontext_20_3e_3246',['Optional&lt; tvm::DiagnosticContext &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3afloatimm_20_3e_3247',['Optional&lt; tvm::FloatImm &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3ainteger_20_3e_3248',['Optional&lt; tvm::Integer &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3airmodule_20_3e_3249',['Optional&lt; tvm::IRModule &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3ameta_5fschedule_3a_3acostmodel_20_3e_3250',['Optional&lt; tvm::meta_schedule::CostModel &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3ameta_5fschedule_3a_3adatabase_20_3e_3251',['Optional&lt; tvm::meta_schedule::Database &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3ameta_5fschedule_3a_3asearchstrategy_20_3e_3252',['Optional&lt; tvm::meta_schedule::SearchStrategy &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3ameta_5fschedule_3a_3aspacegenerator_20_3e_3253',['Optional&lt; tvm::meta_schedule::SpaceGenerator &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3aprimexpr_20_3e_3254',['Optional&lt; tvm::PrimExpr &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3arelay_3a_3adfpattern_20_3e_3255',['Optional&lt; tvm::relay::DFPattern &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3aauto_5fscheduler_3a_3ameasurecallback_20_3e_20_3e_3256',['Optional&lt; tvm::runtime::Array&lt; tvm::auto_scheduler::MeasureCallback &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3afloatimm_20_3e_20_3e_3257',['Optional&lt; tvm::runtime::Array&lt; tvm::FloatImm &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3ainteger_20_3e_20_3e_3258',['Optional&lt; tvm::runtime::Array&lt; tvm::Integer &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3ameta_5fschedule_3a_3aarginfo_20_3e_20_3e_3259',['Optional&lt; tvm::runtime::Array&lt; tvm::meta_schedule::ArgInfo &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3ameta_5fschedule_3a_3abuilderresult_20_3e_20_3e_3260',['Optional&lt; tvm::runtime::Array&lt; tvm::meta_schedule::BuilderResult &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3ameta_5fschedule_3a_3ameasurecandidate_20_3e_20_3e_3261',['Optional&lt; tvm::runtime::Array&lt; tvm::meta_schedule::MeasureCandidate &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3ameta_5fschedule_3a_3apostproc_20_3e_20_3e_3262',['Optional&lt; tvm::runtime::Array&lt; tvm::meta_schedule::Postproc &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3ameta_5fschedule_3a_3arunnerfuture_20_3e_20_3e_3263',['Optional&lt; tvm::runtime::Array&lt; tvm::meta_schedule::RunnerFuture &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3ameta_5fschedule_3a_3aschedulerule_20_3e_20_3e_3264',['Optional&lt; tvm::runtime::Array&lt; tvm::meta_schedule::ScheduleRule &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3atir_3a_3abufferregion_20_3e_20_3e_3265',['Optional&lt; tvm::runtime::Array&lt; tvm::tir::BufferRegion &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3atir_3a_3astmt_20_3e_20_3e_3266',['Optional&lt; tvm::runtime::Array&lt; tvm::tir::Stmt &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3aruntime_3a_3amap_3c_20tvm_3a_3ameta_5fschedule_3a_3amutator_2c_20tvm_3a_3afloatimm_20_3e_20_3e_3267',['Optional&lt; tvm::runtime::Map&lt; tvm::meta_schedule::Mutator, tvm::FloatImm &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3aruntime_3a_3amap_3c_20tvm_3a_3aruntime_3a_3astring_2c_20tvm_3a_3aruntime_3a_3andarray_20_3e_20_3e_3268',['Optional&lt; tvm::runtime::Map&lt; tvm::runtime::String, tvm::runtime::NDArray &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3aruntime_3a_3amap_3c_20tvm_3a_3aruntime_3a_3astring_2c_20tvm_3a_3aruntime_3a_3aobjectref_20_3e_20_3e_3269',['Optional&lt; tvm::runtime::Map&lt; tvm::runtime::String, tvm::runtime::ObjectRef &gt; &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3aruntime_3a_3andarray_20_3e_3270',['Optional&lt; tvm::runtime::NDArray &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3aruntime_3a_3aobjectref_20_3e_3271',['Optional&lt; tvm::runtime::ObjectRef &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3aruntime_3a_3astring_20_3e_3272',['Optional&lt; tvm::runtime::String &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3ascript_3a_3aprinter_3a_3aexprdoc_20_3e_3273',['Optional&lt; tvm::script::printer::ExprDoc &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3atarget_20_3e_3274',['Optional&lt; tvm::Target &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3atir_3a_3aitervar_20_3e_3275',['Optional&lt; tvm::tir::IterVar &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3atir_3a_3astmt_20_3e_3276',['Optional&lt; tvm::tir::Stmt &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_3c_20tvm_3a_3atype_20_3e_3277',['Optional&lt; tvm::Type &gt;',['../classtvm_1_1runtime_1_1Optional.html',1,'tvm::runtime']]],
+  ['optional_5fhomogeneous_5ftarget_3278',['optional_homogeneous_target',['../classtvm_1_1CompilationConfigNode.html#ac29775462c7f70a3711221871fbd3453',1,'tvm::CompilationConfigNode']]],
+  ['or_3279',['Or',['../classtvm_1_1tir_1_1Or.html#ab0b68884ed84d03d3af7c95da2fcf81e',1,'tvm::tir::Or::Or()'],['../classtvm_1_1tir_1_1Or.html',1,'tvm::tir::Or']]],
+  ['ordereduniondatabase_3280',['OrderedUnionDatabase',['../classtvm_1_1meta__schedule_1_1Database.html#ae4f6e0e951be446d2ab836eb8a9bcc83',1,'tvm::meta_schedule::Database']]],
+  ['orig_5fiters_3281',['orig_iters',['../classtvm_1_1auto__scheduler_1_1IteratorNode.html#aff59a8e6a213079e43469c9d751fc607',1,'tvm::auto_scheduler::IteratorNode']]],
+  ['origin_5fop_3282',['origin_op',['../classtvm_1_1te_1_1StageNode.html#a3e7c2fb80404a12a9e843fcb38accd78',1,'tvm::te::StageNode']]],
+  ['original_5fvariables_3283',['original_variables',['../classtvm_1_1te_1_1TransformNode.html#abc94c207521c4841843edd028aefcaeb',1,'tvm::te::TransformNode']]],
+  ['ornode_3284',['OrNode',['../classtvm_1_1tir_1_1OrNode.html',1,'tvm::tir']]],
+  ['out_5fdtype_3285',['out_dtype',['../structtvm_1_1relay_1_1Resize2DAttrs.html#a2bf0c2451445a4961ec1098da14720b9',1,'tvm::relay::Resize2DAttrs::out_dtype()'],['../structtvm_1_1relay_1_1Resize3DAttrs.html#a741e6ee2e26e95d2a7ee802c0c51ee2b',1,'tvm::relay::Resize3DAttrs::out_dtype()'],['../structtvm_1_1relay_1_1Resize1DAttrs.html#a9c4fbee136d2238404a8223fd915f824',1,'tvm::relay::Resize1DAttrs::out_dtype()'],['../structtvm_1_1relay_1_1BinaryDenseAttrs.html#a8a391a620450d8c0e4449774a60272c6 [...]
+  ['out_5flayout_3286',['out_layout',['../structtvm_1_1relay_1_1AdaptivePool1DAttrs.html#a2e67ab4392f06b7a46384072184b03b1',1,'tvm::relay::AdaptivePool1DAttrs::out_layout()'],['../structtvm_1_1relay_1_1AvgPool3DAttrs.html#a12b6edb0c46153185f4a3f015309e2c0',1,'tvm::relay::AvgPool3DAttrs::out_layout()'],['../structtvm_1_1relay_1_1AdaptivePool2DAttrs.html#aee6a9fef741b53124d35b38b81fae0ae',1,'tvm::relay::AdaptivePool2DAttrs::out_layout()'],['../structtvm_1_1relay_1_1GlobalPool2DAttrs.html#a [...]
+  ['out_5fshape_3287',['out_shape',['../structtvm_1_1relay_1_1ThreefryGenerateAttrs.html#ab02111fe352ae6d124dfcb551d2626d1',1,'tvm::relay::ThreefryGenerateAttrs::out_shape()'],['../structtvm_1_1relay_1_1NormalAttrs.html#a2e0e042f7b8afbe51e9ba4f571718cb7',1,'tvm::relay::NormalAttrs::out_shape()'],['../structtvm_1_1relay_1_1UniformAttrs.html#a1fc1dab6f15a36301b551ba1697d6c88',1,'tvm::relay::UniformAttrs::out_shape()']]],
+  ['outer_3288',['outer',['../classtvm_1_1te_1_1SplitNode.html#a8d0f3974bbd80f360c717278ec932fbd',1,'tvm::te::SplitNode::outer()'],['../classtvm_1_1te_1_1FuseNode.html#a90efca7f5397eb34989f5d085ae9bab5',1,'tvm::te::FuseNode::outer()']]],
+  ['output_3289',['output',['../structtvm_1_1topi_1_1EinsumEquation.html#ac634a0581a718313628b3a71373bd7d6',1,'tvm::topi::EinsumEquation::output()'],['../classtvm_1_1te_1_1Operation.html#a00b67945c799a2022d3164ab63dd3b82',1,'tvm::te::Operation::output()']]],
+  ['output_5fdtype_3290',['output_dtype',['../classtvm_1_1te_1_1ExternOpNode.html#aeb736e912b0379e8e1f888ed9fcbad4f',1,'tvm::te::ExternOpNode::output_dtype()'],['../classtvm_1_1te_1_1HybridOpNode.html#abb20d82f9d23c18d29b216e2ab836646',1,'tvm::te::HybridOpNode::output_dtype()'],['../classtvm_1_1te_1_1ScanOpNode.html#a397b086940fe3115acdb83c3ce61a0cc',1,'tvm::te::ScanOpNode::output_dtype()'],['../classtvm_1_1te_1_1TensorComputeOpNode.html#a36de23d9964e78fb0adc8c6e4c7efa7f',1,'tvm::te::Ten [...]
+  ['output_5fformat_3291',['output_format',['../structtvm_1_1relay_1_1AllClassNonMaximumSuppressionAttrs.html#ae91fadfed9949f446c3711bcc48ef844',1,'tvm::relay::AllClassNonMaximumSuppressionAttrs']]],
+  ['output_5fpadding_3292',['output_padding',['../structtvm_1_1relay_1_1Conv3DTransposeAttrs.html#a488ff4efab5748d0de40669007374e6f',1,'tvm::relay::Conv3DTransposeAttrs::output_padding()'],['../structtvm_1_1relay_1_1Conv2DTransposeAttrs.html#ac7bd13f11eeec17e9e9c97f6ff09924d',1,'tvm::relay::Conv2DTransposeAttrs::output_padding()'],['../structtvm_1_1relay_1_1Conv1DTransposeAttrs.html#adda59958ed563345a7b55634a2d81131',1,'tvm::relay::Conv1DTransposeAttrs::output_padding()']]],
+  ['output_5fplaceholders_3293',['output_placeholders',['../classtvm_1_1te_1_1ExternOpNode.html#a004d2ec4a439339a2067e4fe7b93dac8',1,'tvm::te::ExternOpNode']]],
+  ['output_5fshape_3294',['output_shape',['../structtvm_1_1relay_1_1SparseToDenseAttrs.html#a96725ff7c8a481e81a2fd0ad163b4ecf',1,'tvm::relay::SparseToDenseAttrs::output_shape()'],['../classtvm_1_1te_1_1HybridOpNode.html#ad4a0684999336bce0e8762d0aa15196e',1,'tvm::te::HybridOpNode::output_shape()'],['../classtvm_1_1te_1_1ExternOpNode.html#a9d2a9f96da44f3a777913a76703e3dbc',1,'tvm::te::ExternOpNode::output_shape()'],['../classtvm_1_1te_1_1ScanOpNode.html#af7070bd5acff34504792421f2abab32c',1 [...]
+  ['output_5fsize_3295',['output_size',['../structtvm_1_1relay_1_1AdaptivePool1DAttrs.html#a18b0e1c79c534fec9eabb0656a395c9e',1,'tvm::relay::AdaptivePool1DAttrs::output_size()'],['../structtvm_1_1relay_1_1AdaptivePool2DAttrs.html#a545f40ec0dbdf52f628bebcc23a63950',1,'tvm::relay::AdaptivePool2DAttrs::output_size()'],['../structtvm_1_1relay_1_1AdaptivePool3DAttrs.html#a0c56cb9665840dcc8e949c41d39c710d',1,'tvm::relay::AdaptivePool3DAttrs::output_size()'],['../structtvm_1_1runtime_1_1vm_1_1I [...]
+  ['output_5ftensor_5freg_5findices_5f_3296',['output_tensor_reg_indices_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#aa98e90db9a3ef70c732b5322171023c2',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['outputs_3297',['outputs',['../structTVMMetadata.html#ae98ddb5d3a598ab923d8cadc66d38632',1,'TVMMetadata::outputs()'],['../classtvm_1_1te_1_1HybridOpNode.html#a6ff8e6faa8d01063e32a2c124721df29',1,'tvm::te::HybridOpNode::outputs()'],['../classtvm_1_1te_1_1ScheduleNode.html#a27b0f687f7b20fcc6416a49e041712d8',1,'tvm::te::ScheduleNode::outputs()'],['../classtvm_1_1tir_1_1InstructionNode.html#a745f994b096890961ed67b17f2040bba',1,'tvm::tir::InstructionNode::outputs()'],['../classtvm_1_1runti [...]
+  ['outputs_5f_3298',['outputs_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a43d9a2e6056305a8c14941eb08f2cf29',1,'tvm::runtime::vm::VirtualMachine']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_11.js b/docs/reference/api/doxygen/search/all_11.js
index 3f39ce7ceb..45ca9178e0 100644
--- a/docs/reference/api/doxygen/search/all_11.js
+++ b/docs/reference/api/doxygen/search/all_11.js
@@ -1,282 +1,283 @@
 var searchData=
 [
-  ['pack_5faxis_3298',['pack_axis',['../structtvm_1_1relay_1_1BitPackAttrs.html#ab17201dbe53aea089b99857a53c9c4e8',1,'tvm::relay::BitPackAttrs']]],
-  ['pack_5fdtype_3299',['pack_dtype',['../structtvm_1_1relay_1_1BinaryConv2DAttrs.html#a52047b0e68189ec30e8535941021be47',1,'tvm::relay::BinaryConv2DAttrs::pack_dtype()'],['../structtvm_1_1relay_1_1BinaryDenseAttrs.html#a6aac82cbb3d54c5770fa035c0c9f81a2',1,'tvm::relay::BinaryDenseAttrs::pack_dtype()']]],
-  ['pack_5ftype_3300',['pack_type',['../structtvm_1_1relay_1_1BitPackAttrs.html#ac913b31a7bad2a800c686238c58373c6',1,'tvm::relay::BitPackAttrs']]],
-  ['packargs_3301',['PackArgs',['../namespacetvm_1_1runtime.html#a80885b3cfaabbee3d448c4d0fabec29f',1,'tvm::runtime']]],
-  ['packed_3302',['packed',['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#a0bccd3ff1f23a8aa094e1a679539096d',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;']]],
-  ['packed_5fargs_3303',['packed_args',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a5a39350aa263659863295deab57996ed',1,'tvm::runtime::vm::Instruction']]],
-  ['packed_5ffunc_2eh_3304',['packed_func.h',['../packed__func_8h.html',1,'(Global Namespace)'],['../crt_2packed__func_8h.html',1,'(Global Namespace)']]],
-  ['packed_5ffuncs_5f_3305',['packed_funcs_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#ae2e5377a5dbf7335ed8989512457a2f5',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['packed_5findex_3306',['packed_index',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#ac60e60c96d7bb22d8ac06169d5969232',1,'tvm::runtime::vm::Instruction']]],
-  ['packedfunc_3307',['PackedFunc',['../classtvm_1_1runtime_1_1PackedFunc.html#afde7eaa98f6cb12c7e80da16e859c210',1,'tvm::runtime::PackedFunc::PackedFunc(std::nullptr_t null)'],['../classtvm_1_1runtime_1_1PackedFunc.html#acaea2b71b9664e93677de66ac19adede',1,'tvm::runtime::PackedFunc::PackedFunc(TCallable data)'],['../classtvm_1_1runtime_1_1PackedFunc.html',1,'tvm::runtime::PackedFunc']]],
-  ['packedfuncobj_3308',['PackedFuncObj',['../classtvm_1_1runtime_1_1PackedFuncObj.html#a063452b7982696b09f35b20993ac3138',1,'tvm::runtime::PackedFuncObj::PackedFuncObj(FCallPacked *f_call_pack)'],['../classtvm_1_1runtime_1_1PackedFuncObj.html#a8c162670ce34f10ca3dac0b1bbb59292',1,'tvm::runtime::PackedFuncObj::PackedFuncObj()=delete'],['../classtvm_1_1runtime_1_1PackedFuncObj.html',1,'tvm::runtime::PackedFuncObj']]],
-  ['packedfuncsubobj_3309',['PackedFuncSubObj',['../classtvm_1_1runtime_1_1PackedFuncSubObj.html#a2c5d6759714a3674b36bfd64a9f38992',1,'tvm::runtime::PackedFuncSubObj::PackedFuncSubObj()'],['../classtvm_1_1runtime_1_1PackedFuncSubObj.html',1,'tvm::runtime::PackedFuncSubObj&lt; TCallable &gt;']]],
-  ['packedfuncvalueconverter_3310',['PackedFuncValueConverter',['../structtvm_1_1runtime_1_1PackedFuncValueConverter.html',1,'tvm::runtime']]],
-  ['packedfuncvalueconverter_3c_20optional_3c_20t_20_3e_20_3e_3311',['PackedFuncValueConverter&lt; Optional&lt; T &gt; &gt;',['../structtvm_1_1runtime_1_1PackedFuncValueConverter_3_01Optional_3_01T_01_4_01_4.html',1,'tvm::runtime']]],
-  ['packedfuncvalueconverter_3c_20primexpr_20_3e_3312',['PackedFuncValueConverter&lt; PrimExpr &gt;',['../structtvm_1_1runtime_1_1PackedFuncValueConverter_3_01PrimExpr_01_4.html',1,'tvm::runtime']]],
-  ['packedfuncvalueconverter_3c_20tvm_3a_3abool_20_3e_3313',['PackedFuncValueConverter&lt; tvm::Bool &gt;',['../structtvm_1_1runtime_1_1PackedFuncValueConverter_3_01tvm_1_1Bool_01_4.html',1,'tvm::runtime']]],
-  ['packedfuncvalueconverter_3c_20tvm_3a_3ainteger_20_3e_3314',['PackedFuncValueConverter&lt; tvm::Integer &gt;',['../structtvm_1_1runtime_1_1PackedFuncValueConverter_3_01tvm_1_1Integer_01_4.html',1,'tvm::runtime']]],
-  ['packedfuncvalueconverter_3c_3a_3atvm_3a_3aruntime_3a_3astring_20_3e_3315',['PackedFuncValueConverter&lt;::tvm::runtime::String &gt;',['../structtvm_1_1runtime_1_1PackedFuncValueConverter_3_1_1tvm_1_1runtime_1_1String_01_4.html',1,'tvm::runtime']]],
-  ['packetdone_3316',['PacketDone',['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteStream.html#a1745b7d9d5a0e094e129eb7a4c363ac9',1,'tvm::runtime::micro_rpc::WriteStream']]],
-  ['packetfieldsizebytes_3317',['PacketFieldSizeBytes',['../classtvm_1_1runtime_1_1micro__rpc_1_1PacketFieldSizeBytes.html',1,'tvm::runtime::micro_rpc']]],
-  ['packimportstoc_3318',['PackImportsToC',['../namespacetvm_1_1codegen.html#a8b151920f583ba2612f4020879afb844',1,'tvm::codegen']]],
-  ['packimportstollvm_3319',['PackImportsToLLVM',['../namespacetvm_1_1codegen.html#a08cb4d3bf9e767ce04908b843132aec8',1,'tvm::codegen']]],
-  ['pad_3320',['pad',['../namespacetvm_1_1topi.html#a3305d377f96cd20c23032eeada2756d5',1,'tvm::topi']]],
-  ['pad_5fmode_3321',['pad_mode',['../structtvm_1_1relay_1_1PadAttrs.html#a5b524c3add781cd2da894e81553079f8',1,'tvm::relay::PadAttrs']]],
-  ['pad_5futils_2eh_3322',['pad_utils.h',['../pad__utils_8h.html',1,'']]],
-  ['pad_5fvalue_3323',['pad_value',['../structtvm_1_1relay_1_1SpaceToBatchNDAttrs.html#a7c0fbd47621c925a45e1074f85a6b70f',1,'tvm::relay::SpaceToBatchNDAttrs']]],
-  ['pad_5fwidth_3324',['pad_width',['../structtvm_1_1relay_1_1MirrorPadAttrs.html#aca1ad3c67652c162ea7008f1b9e1dad4',1,'tvm::relay::MirrorPadAttrs::pad_width()'],['../structtvm_1_1relay_1_1PadAttrs.html#acd8abf65407486fc1c330db50e0485cb',1,'tvm::relay::PadAttrs::pad_width()']]],
-  ['padattrs_3325',['PadAttrs',['../structtvm_1_1relay_1_1PadAttrs.html',1,'tvm::relay']]],
-  ['padding_3326',['padding',['../structtvm_1_1relay_1_1Conv2DAttrs.html#a58652b91dc4455bfc1369a2242687b00',1,'tvm::relay::Conv2DAttrs::padding()'],['../structtvm_1_1relay_1_1MaxPool3DAttrs.html#af80ff276969ce1fa8ee324204a93edaf',1,'tvm::relay::MaxPool3DAttrs::padding()'],['../structtvm_1_1relay_1_1Conv2DWinogradAttrs.html#af2caa695b5aabb9f92d48aa76f6c8314',1,'tvm::relay::Conv2DWinogradAttrs::padding()'],['../structtvm_1_1relay_1_1Conv3DAttrs.html#ac4df94aff84232fa20163f8524cedba6',1,'tv [...]
-  ['padding_5fmode_3327',['padding_mode',['../structtvm_1_1relay_1_1GridSampleAttrs.html#aab46e9c8c1a6caa0e05605e930570682',1,'tvm::relay::GridSampleAttrs']]],
-  ['padding_5fpredicate_3328',['padding_predicate',['../classtvm_1_1arith_1_1IterMapResultNode.html#af982bb1cf020e53e2f7521ee1cf97c2a',1,'tvm::arith::IterMapResultNode']]],
-  ['paddings_3329',['paddings',['../structtvm_1_1relay_1_1SpaceToBatchNDAttrs.html#aabc579d65229d49279a1c3a903a99095',1,'tvm::relay::SpaceToBatchNDAttrs']]],
-  ['padeinsum_3330',['PadEinsum',['../classtvm_1_1tir_1_1ScheduleNode.html#a1ac39c82aee1f8de30d5871d5923fc24',1,'tvm::tir::ScheduleNode']]],
-  ['page_5fallocator_2eh_3331',['page_allocator.h',['../page__allocator_8h.html',1,'']]],
-  ['pagememorymanagercreate_3332',['PageMemoryManagerCreate',['../page__allocator_8h.html#a720dbc7474ac13b93fafb974cfc20bc7',1,'page_allocator.h']]],
-  ['papi_2eh_3333',['papi.h',['../papi_8h.html',1,'']]],
-  ['parallel_3334',['Parallel',['../classtvm_1_1tir_1_1ScheduleNode.html#a553dc17c0b49b175cd16881c81b6c789',1,'tvm::tir::ScheduleNode::Parallel()'],['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#af443e6c0816e4e4ff97881df7a11a6db',1,'tvm::script::ir_builder::tir::Parallel()']]],
-  ['parallel_3335',['parallel',['../classtvm_1_1te_1_1Stage.html#a60a6be10a1a96cb594c1399efabafef3',1,'tvm::te::Stage::parallel()'],['../classtvm_1_1auto__scheduler_1_1State.html#a2376f0180bc5b5dd4b456f2a75d4a366',1,'tvm::auto_scheduler::State::parallel()']]],
-  ['parallel_5ffor_3336',['parallel_for',['../namespacetvm_1_1support.html#a8bf1225e8bb1db575578ca2d645fb23c',1,'tvm::support']]],
-  ['parallel_5ffor_2eh_3337',['parallel_for.h',['../parallel__for_8h.html',1,'']]],
-  ['parallel_5ffor_5fdynamic_3338',['parallel_for_dynamic',['../namespacetvm_1_1support.html#afe4271363c794f1644ce7af5c2266530',1,'tvm::support']]],
-  ['parallelizevectorizeunroll_3339',['ParallelizeVectorizeUnroll',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a0ef9b604081db7a8bf960f3fbfd3a804',1,'tvm::meta_schedule::ScheduleRule']]],
-  ['param_5fdevice_5findexes_3340',['param_device_indexes',['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html#afff8cae6bf6100376c4275b301a11828',1,'tvm::runtime::vm::VMFunction']]],
-  ['params_3341',['params',['../classtvm_1_1meta__schedule_1_1BuilderInputNode.html#a6530833b23371eaeee737cc891b160b9',1,'tvm::meta_schedule::BuilderInputNode::params()'],['../classtvm_1_1tir_1_1PrimFuncNode.html#a4ea77411935e78d975414f31e153fc78',1,'tvm::tir::PrimFuncNode::params()'],['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html#a6d480483112097888c71501504665693',1,'tvm::runtime::vm::VMFunction::params()'],['../classtvm_1_1relay_1_1FunctionNode.html#a689c5078fb501798aa1d57d1825e3b5 [...]
-  ['parent_3342',['parent',['../classtvm_1_1te_1_1RebaseNode.html#a4fea0a62970e2831dd92c58aee14a1f4',1,'tvm::te::RebaseNode::parent()'],['../classtvm_1_1te_1_1SplitNode.html#aff313d36c09c8d93ba34aa4a251d6a9f',1,'tvm::te::SplitNode::parent()'],['../classtvm_1_1tir_1_1StmtSRefNode.html#aa69a77c8bee12ecc0c17fe75656e392d',1,'tvm::tir::StmtSRefNode::parent()'],['../classtvm_1_1relay_1_1DominatorPatternNode.html#ab4720ce40ecd4f89c7eb6ff469c40375',1,'tvm::relay::DominatorPatternNode::parent()']]],
-  ['parent_3343',['Parent',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#a0e7deada1e51a604e4f7bc6c8a115955',1,'tvm::tir::IndexDataTypeRewriter::Parent()'],['../classtvm_1_1tir_1_1IndexDataTypeNormalizer.html#a8d04a64a80c9fbdd28c7cb9e00c02120',1,'tvm::tir::IndexDataTypeNormalizer::Parent()']]],
-  ['parentnode_3344',['ParentNode',['../classtvm_1_1ObjectPathNode.html#a4a06a6588b427640e2f775a6307ccab5',1,'tvm::ObjectPathNode']]],
-  ['parse_5fauto_5fscheduler_5flayout_3345',['parse_auto_scheduler_layout',['../namespacetvm_1_1topi.html#a6fec3a88d419cd018cf1041cf3d99204',1,'tvm::topi']]],
-  ['parsecustomdatatype_3346',['ParseCustomDatatype',['../namespacetvm_1_1runtime.html#ac6d167947285dff3c780bd5d01ace9b9',1,'tvm::runtime']]],
-  ['parsemodule_3347',['ParseModule',['../namespacetvm_1_1relay.html#ac0b61f2cb4c1b03b204b216615647b6d',1,'tvm::relay']]],
-  ['parser_2eh_3348',['parser.h',['../parser_8h.html',1,'']]],
-  ['partialeval_3349',['PartialEval',['../namespacetvm_1_1relay_1_1transform.html#aa270d98da5518969e005c7e30d6eb6fe',1,'tvm::relay::transform']]],
-  ['partialsolvedinequalities_3350',['PartialSolvedInequalities',['../namespacetvm_1_1arith.html#adf7450490c4a53f62bab4463cdb5e9c8',1,'tvm::arith']]],
-  ['partitionerfunctype_3351',['PartitionerFuncType',['../namespacetvm_1_1support.html#a34824d8de8374a4144dc4754ccafdd74',1,'tvm::support']]],
-  ['partitiongraph_3352',['PartitionGraph',['../namespacetvm_1_1relay_1_1transform.html#aac0bfdaf6d09b360602243c81abe05a1',1,'tvm::relay::transform']]],
-  ['partitionpattern_3353',['PartitionPattern',['../namespacetvm_1_1relay.html#a48108863604dc310eb3184b13b42a92a',1,'tvm::relay']]],
-  ['pass_3354',['Pass',['../namespacetvm_1_1relay_1_1transform.html#afa666ade112e9955059095d695238a9a',1,'tvm::relay::transform::Pass()'],['../namespacetvm_1_1tir_1_1usmp_1_1transform.html#a901e9d4d9288aacc08b1bc7cde535f56',1,'tvm::tir::usmp::transform::Pass()'],['../classtvm_1_1transform_1_1Pass.html',1,'tvm::transform::Pass']]],
-  ['pass_5finfo_3355',['pass_info',['../classtvm_1_1transform_1_1SequentialNode.html#ae78f131a5e8a1d3143ff4671c45af2ac',1,'tvm::transform::SequentialNode']]],
-  ['passcontext_3356',['PassContext',['../namespacetvm_1_1relay_1_1transform.html#a744a05f8bba3c2ac238ba4569d926184',1,'tvm::relay::transform::PassContext()'],['../classtvm_1_1transform_1_1PassContext.html#a8f5f511c2e29991eceff7b1f4883313e',1,'tvm::transform::PassContext::PassContext(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1transform_1_1PassContext.html#a906dadb55586afece28d71d3436fdf1d',1,'tvm::transform::PassContext::PassContext()'],['../classtvm_1_1transform_1_1PassContext.html [...]
-  ['passcontextnode_3357',['PassContextNode',['../namespacetvm_1_1relay_1_1transform.html#a72900733e0d3510ae61a1c287c2ec125',1,'tvm::relay::transform::PassContextNode()'],['../classtvm_1_1transform_1_1PassContextNode.html#aa91df8069b6573e72305ab57e9bc620f',1,'tvm::transform::PassContextNode::PassContextNode()'],['../classtvm_1_1transform_1_1PassContextNode.html',1,'tvm::transform::PassContextNode']]],
-  ['passenabled_3358',['PassEnabled',['../classtvm_1_1transform_1_1PassContext.html#a536d273b03ac126e2d7c6b1a953e9285',1,'tvm::transform::PassContext']]],
-  ['passes_3359',['passes',['../classtvm_1_1transform_1_1SequentialNode.html#a24f06af16eae6731edd76ee6e8d0e1ff',1,'tvm::transform::SequentialNode']]],
-  ['passinfo_3360',['PassInfo',['../namespacetvm_1_1relay_1_1transform.html#aa405b5f11938fb8c4fa2ca21926edc9d',1,'tvm::relay::transform::PassInfo()'],['../classtvm_1_1transform_1_1PassInfo.html#afb926e21882c52d28c23dfb18c76a06a',1,'tvm::transform::PassInfo::PassInfo()'],['../classtvm_1_1transform_1_1PassInfo.html',1,'tvm::transform::PassInfo']]],
-  ['passinfonode_3361',['PassInfoNode',['../classtvm_1_1transform_1_1PassInfoNode.html#a2b9533e2f517d5c1a5e6bb1eb703d8aa',1,'tvm::transform::PassInfoNode::PassInfoNode()'],['../namespacetvm_1_1relay_1_1transform.html#aae88cd0ad69cf64c7e9caf0a0c8ebb45',1,'tvm::relay::transform::PassInfoNode()'],['../classtvm_1_1transform_1_1PassInfoNode.html',1,'tvm::transform::PassInfoNode']]],
-  ['passinstrument_3362',['PassInstrument',['../classtvm_1_1instrument_1_1PassInstrument.html',1,'tvm::instrument']]],
-  ['passinstrumentnode_3363',['PassInstrumentNode',['../classtvm_1_1instrument_1_1PassInstrumentNode.html',1,'tvm::instrument']]],
-  ['passnode_3364',['PassNode',['../namespacetvm_1_1relay_1_1transform.html#aa695a8dfc3d5b087018ddd4ef1eb2487',1,'tvm::relay::transform::PassNode()'],['../classtvm_1_1transform_1_1PassNode.html',1,'tvm::transform::PassNode']]],
-  ['path_3365',['path',['../classtvm_1_1relay_1_1DominatorPatternNode.html#a752951f9926f6011dc4d925fcca44c9a',1,'tvm::relay::DominatorPatternNode']]],
-  ['path_5fto_5fannotate_3366',['path_to_annotate',['../classtvm_1_1PrinterConfigNode.html#aefd5b3373f4765540f0e11e78baf693d',1,'tvm::PrinterConfigNode']]],
-  ['path_5fto_5funderline_3367',['path_to_underline',['../classtvm_1_1PrinterConfigNode.html#af9c9ea98dd1057e3841228292643ec5e',1,'tvm::PrinterConfigNode']]],
-  ['pathsequal_3368',['PathsEqual',['../classtvm_1_1ObjectPathNode.html#af3648f5578cd516a649237539467e387',1,'tvm::ObjectPathNode']]],
-  ['pathtracingdata_3369',['PathTracingData',['../classtvm_1_1SEqualReducer_1_1Handler.html#a3971c2653bf9bae74dd940cd80085aef',1,'tvm::SEqualReducer::Handler']]],
-  ['pattern_3370',['pattern',['../classtvm_1_1relay_1_1ShapePatternNode.html#a77130ba4e4b1b051415a08a6c0148d30',1,'tvm::relay::ShapePatternNode::pattern()'],['../classtvm_1_1relay_1_1AttrPatternNode.html#a4ccb04267d93985da28518886b56ed2f',1,'tvm::relay::AttrPatternNode::pattern()'],['../classtvm_1_1relay_1_1DataTypePatternNode.html#aa9bd180d1d23dde701d121d23db8c51a',1,'tvm::relay::DataTypePatternNode::pattern()'],['../classtvm_1_1relay_1_1TypePatternNode.html#a7273a1fa7c10a1c4a5f3a4d46bc [...]
-  ['pattern_3371',['Pattern',['../classtvm_1_1relay_1_1Pattern.html#ad2eae0030bb557fbee1b85517cd9c31e',1,'tvm::relay::Pattern::Pattern(ObjectPtr&lt; tvm::Object &gt; p)'],['../classtvm_1_1relay_1_1Pattern.html#aba2fe5ab04ab0b56ff855cfc572b16ff',1,'tvm::relay::Pattern::Pattern()'],['../classtvm_1_1relay_1_1Pattern.html',1,'tvm::relay::Pattern']]],
-  ['pattern_2eh_3372',['pattern.h',['../pattern_8h.html',1,'']]],
-  ['pattern_5ffunctor_2eh_3373',['pattern_functor.h',['../pattern__functor_8h.html',1,'']]],
-  ['pattern_5ffunctor_5fdefault_3374',['PATTERN_FUNCTOR_DEFAULT',['../pattern__functor_8h.html#ac72a5016a4b58a73ed7e7652c7a876d7',1,'pattern_functor.h']]],
-  ['patternconstructor_3375',['PatternConstructor',['../classtvm_1_1relay_1_1PatternConstructor.html#a96adb22c131d7bac1e54a7b646116143',1,'tvm::relay::PatternConstructor::PatternConstructor()'],['../classtvm_1_1relay_1_1PatternConstructor.html',1,'tvm::relay::PatternConstructor']]],
-  ['patternconstructornode_3376',['PatternConstructorNode',['../classtvm_1_1relay_1_1PatternConstructorNode.html',1,'tvm::relay']]],
-  ['patternfunctor_3377',['PatternFunctor',['../classtvm_1_1relay_1_1PatternFunctor.html',1,'tvm::relay']]],
-  ['patternfunctor_3c_20pattern_28const_20pattern_20_26_29_3e_3378',['PatternFunctor&lt; Pattern(const Pattern &amp;)&gt;',['../classtvm_1_1relay_1_1PatternFunctor.html',1,'tvm::relay']]],
-  ['patternfunctor_3c_20r_28const_20pattern_20_26n_2c_20args_2e_2e_2e_29_3e_3379',['PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm::relay']]],
-  ['patternfunctor_3c_20void_28const_20pattern_20_26n_29_3e_3380',['PatternFunctor&lt; void(const Pattern &amp;n)&gt;',['../classtvm_1_1relay_1_1PatternFunctor.html',1,'tvm::relay']]],
-  ['patternmutator_3381',['PatternMutator',['../classtvm_1_1relay_1_1PatternMutator.html',1,'tvm::relay']]],
-  ['patternnode_3382',['PatternNode',['../classtvm_1_1relay_1_1PatternNode.html',1,'tvm::relay']]],
-  ['patterns_3383',['patterns',['../classtvm_1_1relay_1_1PatternConstructorNode.html#a0a09dff6a8e84eee02f6af3b2f523894',1,'tvm::relay::PatternConstructorNode::patterns()'],['../classtvm_1_1relay_1_1PatternTupleNode.html#aaf8d4cf8916e845d4528b8286c8d4095',1,'tvm::relay::PatternTupleNode::patterns()']]],
-  ['patterntuple_3384',['PatternTuple',['../classtvm_1_1relay_1_1PatternTuple.html#aae0b04503612604b171ff364a4707de7',1,'tvm::relay::PatternTuple::PatternTuple()'],['../classtvm_1_1relay_1_1PatternTuple.html',1,'tvm::relay::PatternTuple']]],
-  ['patterntuplenode_3385',['PatternTupleNode',['../classtvm_1_1relay_1_1PatternTupleNode.html',1,'tvm::relay']]],
-  ['patternvar_3386',['PatternVar',['../classtvm_1_1relay_1_1PatternVar.html#a54c56ad693111a8375e55961bd9b49fa',1,'tvm::relay::PatternVar::PatternVar()'],['../classtvm_1_1relay_1_1PatternVar.html',1,'tvm::relay::PatternVar']]],
-  ['patternvarnode_3387',['PatternVarNode',['../classtvm_1_1relay_1_1PatternVarNode.html',1,'tvm::relay']]],
-  ['patternvisitor_3388',['PatternVisitor',['../classtvm_1_1relay_1_1PatternVisitor.html',1,'tvm::relay']]],
-  ['patternwildcard_3389',['PatternWildcard',['../classtvm_1_1relay_1_1PatternWildcard.html#aab7d1690088beab9987f97cdebd64c0c',1,'tvm::relay::PatternWildcard::PatternWildcard()'],['../classtvm_1_1relay_1_1PatternWildcard.html#a53a536533ee2c7ae4f0fcb649fc967c3',1,'tvm::relay::PatternWildcard::PatternWildcard(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1relay_1_1PatternWildcard.html#aea56c9cc7113d61aee41cd6569aef9d5',1,'tvm::relay::PatternWildcard::PatternWildcard(const PatternWildcard  [...]
-  ['patternwildcardnode_3390',['PatternWildcardNode',['../classtvm_1_1relay_1_1PatternWildcardNode.html',1,'tvm::relay']]],
-  ['pc_3391',['pc',['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html#af798dec5a7e9049d6673093d2f3e7dea',1,'tvm::runtime::vm::VMFrame']]],
-  ['pc_5f_3392',['pc_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a583f4afb3292882df571a4fdf3cbf947',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['pc_5foffset_3393',['pc_offset',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a721907173e94d564bff879792b29f789',1,'tvm::runtime::vm::Instruction']]],
-  ['peek_3394',['Peek',['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#aefdbe684e811791635e77b026b2ca11c',1,'tvm::runtime::micro_rpc::FrameBuffer']]],
-  ['percent_3395',['percent',['../classtvm_1_1runtime_1_1profiling_1_1PercentNode.html#a6852f14d052d8b23ad4058d149ec2a46',1,'tvm::runtime::profiling::PercentNode']]],
-  ['percentnode_3396',['PercentNode',['../classtvm_1_1runtime_1_1profiling_1_1PercentNode.html#a45d51732fbde990710ac13c294225e39',1,'tvm::runtime::profiling::PercentNode::PercentNode()'],['../classtvm_1_1runtime_1_1profiling_1_1PercentNode.html',1,'tvm::runtime::profiling::PercentNode']]],
-  ['perstorefeature_3397',['PerStoreFeature',['../classtvm_1_1meta__schedule_1_1FeatureExtractor.html#abbfc563425a975e026f2daf3bbfa86ee',1,'tvm::meta_schedule::FeatureExtractor']]],
-  ['pipeline_5fexec_5fscope_3398',['pipeline_exec_scope',['../namespacetvm_1_1tir_1_1attr.html#aee14d4d24b86179fd19938a02bc15512',1,'tvm::tir::attr']]],
-  ['pipeline_5fstage_5fscope_3399',['pipeline_stage_scope',['../namespacetvm_1_1tir_1_1attr.html#a19ecbf068afc115a2282e533c0fe518d',1,'tvm::tir::attr']]],
-  ['placeholder_3400',['placeholder',['../namespacetvm_1_1te.html#a15a1cc6f7146730ec1f03210c81a8a3c',1,'tvm::te']]],
-  ['placeholderop_3401',['PlaceholderOp',['../classtvm_1_1te_1_1PlaceholderOp.html#ae6cedf336ddb311242a1c0b0bb91741a',1,'tvm::te::PlaceholderOp::PlaceholderOp()'],['../classtvm_1_1te_1_1PlaceholderOp.html',1,'tvm::te::PlaceholderOp']]],
-  ['placeholderopnode_3402',['PlaceholderOpNode',['../classtvm_1_1te_1_1PlaceholderOpNode.html',1,'tvm::te']]],
-  ['planandupdatebufferallocationlocation_3403',['PlanAndUpdateBufferAllocationLocation',['../namespacetvm_1_1tir_1_1transform.html#a5ffa51908f8a4c9f7eb4321d8b92c234',1,'tvm::tir::transform']]],
-  ['plandevices_3404',['PlanDevices',['../namespacetvm_1_1relay_1_1transform.html#a176ece3eac49470d18b174cfa196e0f0',1,'tvm::relay::transform']]],
-  ['planmemory_3405',['PlanMemory',['../classtvm_1_1tir_1_1usmp_1_1algo_1_1GreedyBase.html#a0cc8e1f1bed944c4a25322ce2503330e',1,'tvm::tir::usmp::algo::GreedyBase']]],
-  ['platform_2eh_3406',['platform.h',['../platform_8h.html',1,'']]],
-  ['plevel_3407',['plevel',['../classtvm_1_1relay_1_1OpImplementationNode.html#af7afc9d22e58ead1fc342808ed0c4304',1,'tvm::relay::OpImplementationNode']]],
-  ['pointer_3408',['pointer',['../classtvm_1_1runtime_1_1Map_1_1iterator.html#a569d224e69ea0f65717e6dcb0dd53e5b',1,'tvm::runtime::Map::iterator::pointer()'],['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#a0c38eac8fa87129d754972cd305a6a89',1,'tvm::runtime::MapNode::iterator::pointer()'],['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#ade7d41e23d7ac8a0d64e237dfcf958c6',1,'tvm::runtime::ReverseIterAdapter::pointer()'],['../classtvm_1_1runtime_1_1IterAdapter.html#adc1b48d98f5d1780e [...]
-  ['pointertype_3409',['PointerType',['../classtvm_1_1PointerType.html#ac87ac0c3a2331d01d0f929212d8c94f2',1,'tvm::PointerType::PointerType()'],['../classtvm_1_1PointerType.html',1,'tvm::PointerType']]],
-  ['pointertypenode_3410',['PointerTypeNode',['../classtvm_1_1PointerTypeNode.html',1,'tvm']]],
-  ['pointervaluetyperewrite_3411',['PointerValueTypeRewrite',['../namespacetvm_1_1tir_1_1transform.html#a36268b8988766d94b09daaa433a2e1aa',1,'tvm::tir::transform']]],
-  ['pointvalue_3412',['PointValue',['../classtvm_1_1arith_1_1IntSet.html#ae76ec395be3ac3fb52d47fda539bb985',1,'tvm::arith::IntSet']]],
-  ['pool1d_3413',['pool1d',['../namespacetvm_1_1topi_1_1nn.html#aca7c280684bfa7f8eb16a4a2ae0891f4',1,'tvm::topi::nn']]],
-  ['pool2d_3414',['pool2d',['../namespacetvm_1_1topi_1_1nn.html#a9db26746e71db4065a913ff8046fd2fa',1,'tvm::topi::nn']]],
-  ['pool3d_3415',['pool3d',['../namespacetvm_1_1topi_1_1nn.html#ae5bacf7e4d7aa499e4c8420d39d7ad7e',1,'tvm::topi::nn']]],
-  ['pool_5fcandidates_3416',['pool_candidates',['../structtvm_1_1tir_1_1usmp_1_1BufferInfoNode.html#a6cd3d345ae413278011f54d481f2b346',1,'tvm::tir::usmp::BufferInfoNode']]],
-  ['pool_5fgrad_3417',['pool_grad',['../namespacetvm_1_1topi_1_1nn.html#a7bb635a3241be1593258b374e651e344',1,'tvm::topi::nn']]],
-  ['pool_5fgrad_5fimpl_3418',['pool_grad_impl',['../namespacetvm_1_1topi_1_1nn.html#a2806be7f95eec10be2b3555a9094cee2',1,'tvm::topi::nn']]],
-  ['pool_5fimpl_5fnd_3419',['pool_impl_nd',['../namespacetvm_1_1topi_1_1nn.html#a27571804c2096b32ab05e7b3e32c5af6',1,'tvm::topi::nn']]],
-  ['pool_5finfo_3420',['pool_info',['../structtvm_1_1tir_1_1usmp_1_1AllocatedPoolInfoNode.html#afda8f6acac9b3af97dcf00f5df2887fb',1,'tvm::tir::usmp::AllocatedPoolInfoNode::pool_info()'],['../structtvm_1_1tir_1_1usmp_1_1PoolAllocationNode.html#afbb95bb97052dc37ab3c523de3783551',1,'tvm::tir::usmp::PoolAllocationNode::pool_info()']]],
-  ['pool_5fname_3421',['pool_name',['../structtvm_1_1PoolInfoNode.html#a1bca32e13fa47433c7bacc40a6436c1e',1,'tvm::PoolInfoNode']]],
-  ['pool_5fsize_3422',['pool_size',['../structtvm_1_1relay_1_1AvgPool3DAttrs.html#a1bb7f4c5299fcea1a2ed28ce770018a3',1,'tvm::relay::AvgPool3DAttrs::pool_size()'],['../structtvm_1_1relay_1_1MaxPool3DAttrs.html#a82691187858d9ecc11176b6195fc97c4',1,'tvm::relay::MaxPool3DAttrs::pool_size()'],['../structtvm_1_1relay_1_1AvgPool1DAttrs.html#a21d129b7f51e96d3f60277765f5def04',1,'tvm::relay::AvgPool1DAttrs::pool_size()'],['../structtvm_1_1relay_1_1MaxPool1DAttrs.html#af4792b9065cf98ef5335c34e581c [...]
-  ['pool_5fvar_5fidx_3423',['pool_var_idx',['../structtvm_1_1tir_1_1usmp_1_1AllocatedPoolInfoNode.html#a133223871982347da894c949cada9ba3',1,'tvm::tir::usmp::AllocatedPoolInfoNode']]],
-  ['poolallocation_3424',['PoolAllocation',['../classtvm_1_1tir_1_1usmp_1_1PoolAllocation.html#af80aa984e5f0566c5f10e3d1dc121a0b',1,'tvm::tir::usmp::PoolAllocation::PoolAllocation()'],['../classtvm_1_1tir_1_1usmp_1_1PoolAllocation.html',1,'tvm::tir::usmp::PoolAllocation']]],
-  ['poolallocationnode_3425',['PoolAllocationNode',['../structtvm_1_1tir_1_1usmp_1_1PoolAllocationNode.html',1,'tvm::tir::usmp']]],
-  ['pooled_5fsize_3426',['pooled_size',['../structtvm_1_1relay_1_1ROIPoolAttrs.html#a4ea18bc102c8431781f0bb8ff46e835f',1,'tvm::relay::ROIPoolAttrs::pooled_size()'],['../structtvm_1_1relay_1_1ROIAlignAttrs.html#ac93f13f6a40d9b43a097c3af0644c762',1,'tvm::relay::ROIAlignAttrs::pooled_size()']]],
-  ['poolinfo_3427',['PoolInfo',['../classtvm_1_1PoolInfo.html#a670f18a942143b62f385e2fcdec7dae1',1,'tvm::PoolInfo::PoolInfo()'],['../classtvm_1_1PoolInfo.html',1,'tvm::PoolInfo']]],
-  ['poolinfonode_3428',['PoolInfoNode',['../structtvm_1_1PoolInfoNode.html',1,'tvm']]],
-  ['poolinfoproperties_3429',['PoolInfoProperties',['../classtvm_1_1PoolInfoProperties.html#aa8682c31026fea1a8910140f36ba0e4c',1,'tvm::PoolInfoProperties::PoolInfoProperties()'],['../classtvm_1_1PoolInfoProperties.html',1,'tvm::PoolInfoProperties']]],
-  ['poolinfopropertiesnode_3430',['PoolInfoPropertiesNode',['../structtvm_1_1PoolInfoPropertiesNode.html',1,'tvm']]],
-  ['pooling_2eh_3431',['pooling.h',['../rocm_2pooling_8h.html',1,'(Global Namespace)'],['../nn_2pooling_8h.html',1,'(Global Namespace)'],['../cuda_2pooling_8h.html',1,'(Global Namespace)']]],
-  ['pools_3432',['pools',['../structtvm_1_1ConstantMemoryPoolsNode.html#a854e45c780faddb712b608e3a78ec415',1,'tvm::ConstantMemoryPoolsNode::pools()'],['../structtvm_1_1WorkspaceMemoryPoolsNode.html#a67f6cbc8df2f1ad58de9bbbdc4c5f68e',1,'tvm::WorkspaceMemoryPoolsNode::pools()']]],
-  ['pooltype_3433',['PoolType',['../namespacetvm_1_1topi_1_1nn.html#a3ffa0974d8cdcd5b8ca7afb3cfbaf53c',1,'tvm::topi::nn']]],
-  ['pop_3434',['Pop',['../classtvm_1_1tir_1_1TraceNode.html#a8bc557a5c11454561ce3479267e750ab',1,'tvm::tir::TraceNode']]],
-  ['pop_5fback_3435',['pop_back',['../classtvm_1_1runtime_1_1Array.html#aa39300bd019f435ab23353b991019811',1,'tvm::runtime::Array']]],
-  ['popcount_3436',['popcount',['../namespacetvm_1_1tir_1_1builtin.html#a2172690dd21d7fd50a4fd4d696ea7bb2',1,'tvm::tir::builtin::popcount()'],['../namespacetvm.html#acde00e06bb7d8ccd78f1dd33b966e178',1,'tvm::popcount()']]],
-  ['popframe_3437',['PopFrame',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a5a60fe06f720e26c749a80211f1ee620',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['port_3438',['port',['../classtvm_1_1auto__scheduler_1_1RPCRunnerNode.html#a6bb14282535fdd87707997a3e9eb1a21',1,'tvm::auto_scheduler::RPCRunnerNode']]],
-  ['postorderapply_3439',['PostOrderApply',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#aaf51a7a46fe1608318e4d3f5b2a6989e',1,'tvm::meta_schedule::SpaceGenerator']]],
-  ['postorderrewrite_3440',['PostOrderRewrite',['../namespacetvm_1_1relay.html#ae9893f416a18eb31c14adece02bf43f8',1,'tvm::relay']]],
-  ['postordervisit_3441',['PostOrderVisit',['../namespacetvm_1_1tir.html#a4b5067fa233d111c9db9cc402ed599c3',1,'tvm::tir::PostOrderVisit()'],['../namespacetvm_1_1relay.html#a5ede3d80c546097084cf98b60e600b80',1,'tvm::relay::PostOrderVisit()']]],
-  ['postproc_3442',['Postproc',['../classtvm_1_1meta__schedule_1_1Postproc.html',1,'tvm::meta_schedule']]],
-  ['postproc_2eh_3443',['postproc.h',['../postproc_8h.html',1,'']]],
-  ['postprocnode_3444',['PostprocNode',['../classtvm_1_1meta__schedule_1_1PostprocNode.html',1,'tvm::meta_schedule']]],
-  ['postprocs_3445',['postprocs',['../classtvm_1_1meta__schedule_1_1SpaceGeneratorNode.html#a06e4ae27653aeb83fe0545bd5b95e0d9',1,'tvm::meta_schedule::SpaceGeneratorNode']]],
-  ['postsortallocation_3446',['PostSortAllocation',['../classtvm_1_1tir_1_1usmp_1_1algo_1_1GreedyBase.html#ac0d7645aee89a53f7b76b410a2d17192',1,'tvm::tir::usmp::algo::GreedyBase']]],
-  ['posttuning_3447',['PostTuning',['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#a1357c198f8d2aca2c8fa9358b92e1e2a',1,'tvm::meta_schedule::PySearchStrategyNode::PostTuning()'],['../classtvm_1_1meta__schedule_1_1SearchStrategyNode.html#a82e813659dd7cab4b03e43d0710619e7',1,'tvm::meta_schedule::SearchStrategyNode::PostTuning()']]],
-  ['pow_3448',['pow',['../namespacetvm.html#a5f6532d705be039030e980bbbf81b096',1,'tvm']]],
-  ['power_3449',['power',['../namespacetvm_1_1topi.html#a4ddd094f2b04a75ae9b1c438e0923d32',1,'tvm::topi::power(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B, std::string name=&quot;T_&quot; &quot;power&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#ae23dc3372703de7e9d91b76c49b81ae0',1,'tvm::topi::power(const tvm::PrimExpr &amp;a, const tvm::PrimExpr &amp;b)'],['../namespacetvm_1_1topi.html#a448052f388219ee7aa016566bcdaa51c',1,'tvm::topi::power(const tvm: [...]
-  ['pragma_3450',['pragma',['../classtvm_1_1auto__scheduler_1_1State.html#ada0872404e5a0b56ad16644b8fb0f324',1,'tvm::auto_scheduler::State::pragma()'],['../classtvm_1_1te_1_1Stage.html#a7045099f180e5cdcf9b1959b280a2d35',1,'tvm::te::Stage::pragma()']]],
-  ['pragma_5fauto_5funroll_5fmax_5fstep_3451',['pragma_auto_unroll_max_step',['../namespacetvm_1_1tir_1_1attr.html#a8a8b8af5c21a3c26954d0ae482e7230e',1,'tvm::tir::attr']]],
-  ['pragma_5fimport_5fc_3452',['pragma_import_c',['../namespacetvm_1_1tir_1_1attr.html#a2823f2e8c3ae9eec6c8f797752d1f9b5',1,'tvm::tir::attr']]],
-  ['pragma_5fimport_5fllvm_3453',['pragma_import_llvm',['../namespacetvm_1_1tir_1_1attr.html#af00ba402645b1def7c543af3c48be80d',1,'tvm::tir::attr']]],
-  ['pragma_5fkeys_3454',['pragma_keys',['../classtvm_1_1te_1_1IterVarAttrNode.html#a0bcbe78c8ad2fec77112b3156bfe0d81',1,'tvm::te::IterVarAttrNode']]],
-  ['pragma_5floop_5fpartition_5fhint_3455',['pragma_loop_partition_hint',['../namespacetvm_1_1tir_1_1attr.html#ae6bb4bdf780d88ac330ad27c6c94e0f7',1,'tvm::tir::attr']]],
-  ['pragma_5fscope_5fprefix_3456',['pragma_scope_prefix',['../namespacetvm_1_1tir_1_1attr.html#af08d3d2b645a914f1a64d81e45f3b86a',1,'tvm::tir::attr']]],
-  ['pragma_5ftensor_5fcore_3457',['pragma_tensor_core',['../namespacetvm_1_1tir_1_1attr.html#a96774004fd5b6411f6c37b8923b71834',1,'tvm::tir::attr']]],
-  ['pragma_5ftype_3458',['pragma_type',['../classtvm_1_1auto__scheduler_1_1PragmaStepNode.html#aaa89c2151eeaff9de0ac7a9e9daeae69',1,'tvm::auto_scheduler::PragmaStepNode']]],
-  ['pragma_5funroll_5fexplicit_3459',['pragma_unroll_explicit',['../namespacetvm_1_1tir_1_1attr.html#a288b5c4a7961450f4007d684a9098010',1,'tvm::tir::attr']]],
-  ['pragma_5fvalues_3460',['pragma_values',['../classtvm_1_1te_1_1IterVarAttrNode.html#aea7a6bc44a7ddca46c76c666eba37b7f',1,'tvm::te::IterVarAttrNode']]],
-  ['pragmastep_3461',['PragmaStep',['../classtvm_1_1auto__scheduler_1_1PragmaStep.html#a7692c2a9934af1f36b218840034a88d5',1,'tvm::auto_scheduler::PragmaStep::PragmaStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1PragmaStep.html#a9f3ec96f3e561a14d8d9235c4d46e2eb',1,'tvm::auto_scheduler::PragmaStep::PragmaStep(int stage_id, int iter_id, String pragma_type)'],['../classtvm_1_1auto__scheduler_1_1PragmaStep.html',1,'tvm::auto_scheduler::PragmaStep']]],
-  ['pragmastepnode_3462',['PragmaStepNode',['../classtvm_1_1auto__scheduler_1_1PragmaStepNode.html',1,'tvm::auto_scheduler']]],
-  ['pre_5f_3463',['pre_',['../classtvm_1_1relay_1_1MixedModeMutator.html#a81d6c2593e361659ed2d0bea78a8f58a',1,'tvm::relay::MixedModeMutator']]],
-  ['predicate_3464',['predicate',['../classtvm_1_1script_1_1printer_1_1WhileDocNode.html#ad1f9ea9a5c2109d614b3e5835b348ccb',1,'tvm::script::printer::WhileDocNode::predicate()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockFrameNode.html#aab83629426451c65210bc3ba1fcda452',1,'tvm::script::ir_builder::tir::BlockFrameNode::predicate()'],['../classtvm_1_1script_1_1printer_1_1IfDocNode.html#aff607900dab0776aa46ea9cbbfcc0bfd',1,'tvm::script::printer::IfDocNode::predicate()'],['../class [...]
-  ['predict_3465',['Predict',['../classtvm_1_1meta__schedule_1_1CostModelNode.html#a9722d8b9c6e3724e6f059395285b99b1',1,'tvm::meta_schedule::CostModelNode::Predict()'],['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#ad0fb662aa2ad770c0a72377d0cfbae2a',1,'tvm::meta_schedule::PyCostModelNode::Predict()'],['../classtvm_1_1auto__scheduler_1_1CostModelNode.html#aa337ec72401a957a68b6eb4a96472a2c',1,'tvm::auto_scheduler::CostModelNode::Predict()'],['../classtvm_1_1auto__scheduler_1_1Rand [...]
-  ['predict_5ffunc_3466',['predict_func',['../classtvm_1_1auto__scheduler_1_1PythonBasedModelNode.html#aa051c804bc592d7f4f1a5b5710f73595',1,'tvm::auto_scheduler::PythonBasedModelNode']]],
-  ['predict_5fstage_5ffunc_3467',['predict_stage_func',['../classtvm_1_1auto__scheduler_1_1PythonBasedModelNode.html#a380809fbb5d4d68b9ec744e3a5015fe6',1,'tvm::auto_scheduler::PythonBasedModelNode']]],
-  ['predictstages_3468',['PredictStages',['../classtvm_1_1auto__scheduler_1_1CostModelNode.html#a213222251099444874698d2e9ff18adc',1,'tvm::auto_scheduler::CostModelNode::PredictStages()'],['../classtvm_1_1auto__scheduler_1_1PythonBasedModelNode.html#a1f9975c4bdd61793b806663a61a9a703',1,'tvm::auto_scheduler::PythonBasedModelNode::PredictStages()']]],
-  ['prefetch_3469',['Prefetch',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#aeb707d56c770edb33ebf73da27ebc1b9',1,'tvm::script::ir_builder::tir']]],
-  ['prefetch_3470',['prefetch',['../namespacetvm_1_1tir_1_1builtin.html#a543f1fc334d2bc830add972895a03f17',1,'tvm::tir::builtin::prefetch()'],['../classtvm_1_1te_1_1Stage.html#a611327890918fb641a8e65396ab9c5f6',1,'tvm::te::Stage::prefetch()']]],
-  ['prefetch_3471',['Prefetch',['../classtvm_1_1tir_1_1Prefetch.html#af462f85dad4268685e3113b6b009d1b2',1,'tvm::tir::Prefetch::Prefetch()'],['../classtvm_1_1tir_1_1Prefetch.html',1,'tvm::tir::Prefetch']]],
-  ['prefetch_5fdata_3472',['prefetch_data',['../classtvm_1_1te_1_1IterVarAttrNode.html#a0cd129334ac1bc8d6461fb06be67e731',1,'tvm::te::IterVarAttrNode']]],
-  ['prefetch_5foffset_3473',['prefetch_offset',['../classtvm_1_1te_1_1IterVarAttrNode.html#a2a4a8e201e6caefeecffd4a7647866fd',1,'tvm::te::IterVarAttrNode']]],
-  ['prefetch_5fscope_3474',['prefetch_scope',['../namespacetvm_1_1tir_1_1attr.html#ac95fbd1c09a60b10c7a5d07f6c4b68a6',1,'tvm::tir::attr']]],
-  ['prefetchnode_3475',['PrefetchNode',['../classtvm_1_1tir_1_1PrefetchNode.html#a73ef244c364b9c7efaee36e6bec746e7',1,'tvm::tir::PrefetchNode::PrefetchNode(Buffer buffer, Array&lt; Range &gt; bounds, Span span=Span())'],['../classtvm_1_1tir_1_1PrefetchNode.html#acaaa5e89462c7edf3019df4283ec74db',1,'tvm::tir::PrefetchNode::PrefetchNode()=default'],['../classtvm_1_1tir_1_1PrefetchNode.html',1,'tvm::tir::PrefetchNode']]],
-  ['prefix_5f_3476',['prefix_',['../classtvm_1_1NameSupplyNode.html#aa14405ac3611e27389632477779fb6ad',1,'tvm::NameSupplyNode']]],
-  ['preloadmeasuredstates_3477',['PreloadMeasuredStates',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#abc2529d0b1cd485876e48037dd19dde1',1,'tvm::auto_scheduler::SearchPolicyNode::PreloadMeasuredStates()'],['../classtvm_1_1auto__scheduler_1_1PreloadMeasuredStates.html#a67daf1ccd25a208fdf8d001f9a31d86b',1,'tvm::auto_scheduler::PreloadMeasuredStates::PreloadMeasuredStates()'],['../classtvm_1_1auto__scheduler_1_1PreloadMeasuredStates.html',1,'tvm::auto_scheduler::PreloadMeasured [...]
-  ['preloadmeasuredstatesnode_3478',['PreloadMeasuredStatesNode',['../classtvm_1_1auto__scheduler_1_1PreloadMeasuredStatesNode.html',1,'tvm::auto_scheduler']]],
-  ['prelu_3479',['prelu',['../namespacetvm_1_1topi.html#a315c34bbe2bf1be4c778acae08c906fc',1,'tvm::topi']]],
-  ['preluattrs_3480',['PReluAttrs',['../structtvm_1_1relay_1_1PReluAttrs.html',1,'tvm::relay']]],
-  ['preordervisit_3481',['PreOrderVisit',['../namespacetvm_1_1tir.html#a982b5f74aafaa506a146ec8bed07b3f5',1,'tvm::tir']]],
-  ['preprocessor_3482',['preprocessor',['../classtvm_1_1TargetKindNode.html#a47f02c66d0f972befdfb29ec592ecba0',1,'tvm::TargetKindNode']]],
-  ['preresult_5fop_5findex_5f_3483',['preresult_op_index_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a37b4a705186bf76cb9aa5168dcbc3651',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['prettyprint_3484',['PrettyPrint',['../namespacetvm_1_1relay.html#a3b98dc5e89534ce68b4be3b9c7ceafaf',1,'tvm::relay::PrettyPrint(const ObjectRef &amp;node)'],['../namespacetvm_1_1relay.html#a07e7cc4306f7c5e95b942d4f72882707',1,'tvm::relay::PrettyPrint(const DFPattern &amp;pattern)']]],
-  ['pretuning_3485',['PreTuning',['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#a148e4d901f8e362307abfe03fb659a57',1,'tvm::meta_schedule::PySearchStrategyNode::PreTuning()'],['../classtvm_1_1meta__schedule_1_1SearchStrategyNode.html#a39e0b263ee316310a3fcd85d3532763b',1,'tvm::meta_schedule::SearchStrategyNode::PreTuning()']]],
-  ['primexpr_3486',['PrimExpr',['../classtvm_1_1PrimExpr.html#a756d3f8b17b019560946524951ae6118',1,'tvm::PrimExpr::PrimExpr(float value)'],['../classtvm_1_1PrimExpr.html#a7f0ca30e951608a0b36a77a66d4d19e0',1,'tvm::PrimExpr::PrimExpr(int32_t value)'],['../classtvm_1_1PrimExpr.html',1,'tvm::PrimExpr']]],
-  ['primexprnode_3487',['PrimExprNode',['../classtvm_1_1PrimExprNode.html',1,'tvm']]],
-  ['primfunc_3488',['PrimFunc',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a223f44b71840a89ddd7921d0b48cd4d0',1,'tvm::script::ir_builder::tir::PrimFunc()'],['../classtvm_1_1tir_1_1PrimFunc.html#ae45eed6cc7af5f9806be4709e87d1420',1,'tvm::tir::PrimFunc::PrimFunc()'],['../classtvm_1_1tir_1_1PrimFunc.html',1,'tvm::tir::PrimFunc']]],
-  ['primfuncframe_3489',['PrimFuncFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1PrimFuncFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['primfuncframenode_3490',['PrimFuncFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1PrimFuncFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['primfuncnode_3491',['PrimFuncNode',['../classtvm_1_1tir_1_1PrimFuncNode.html',1,'tvm::tir']]],
-  ['primitive_5fmap_3492',['primitive_map',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#ab5a31e8670a4f20564abc48610a90e8c',1,'tvm::runtime::vm::Executable']]],
-  ['primitive_5frecord_3493',['primitive_record',['../classtvm_1_1te_1_1ScheduleNode.html#aeddb87ac8fb45a6059e8ebb9659003f2',1,'tvm::te::ScheduleNode']]],
-  ['primitive_5ftargets_3494',['primitive_targets',['../classtvm_1_1CompilationConfigNode.html#aaf237580f1684eaf97e1852c6b69ecbd',1,'tvm::CompilationConfigNode']]],
-  ['primtype_3495',['PrimType',['../classtvm_1_1PrimType.html#a09f20c21115c93112848ee1aa91a02a4',1,'tvm::PrimType::PrimType()'],['../classtvm_1_1PrimType.html',1,'tvm::PrimType']]],
-  ['primtypenode_3496',['PrimTypeNode',['../classtvm_1_1PrimTypeNode.html',1,'tvm']]],
-  ['print_3497',['Print',['../classtvm_1_1ReprPrinter.html#a01e320b8f3db86141771dfa0aa7ed389',1,'tvm::ReprPrinter::Print()'],['../classtvm_1_1ReprLegacyPrinter.html#a0fa676e46d37c4b72c761758e54226fa',1,'tvm::ReprLegacyPrinter::Print()'],['../classtvm_1_1relay_1_1DFPatternPrinter.html#a906bb56e36b092173d40a01d5cd4dcc0',1,'tvm::relay::DFPatternPrinter::Print()']]],
-  ['print_5fline_5fnumbers_3498',['print_line_numbers',['../classtvm_1_1PrinterConfigNode.html#a326fadb110a4356ccd783ed0e0b26733',1,'tvm::PrinterConfigNode']]],
-  ['printaspythonapi_3499',['PrintAsPythonAPI',['../classtvm_1_1auto__scheduler_1_1FollowSplitStepNode.html#a3d297e00fdebdb3d4261cb44b27bc447',1,'tvm::auto_scheduler::FollowSplitStepNode::PrintAsPythonAPI()'],['../classtvm_1_1auto__scheduler_1_1AnnotationStepNode.html#a724b47658136ea9a43872fcf600e79b9',1,'tvm::auto_scheduler::AnnotationStepNode::PrintAsPythonAPI()'],['../classtvm_1_1auto__scheduler_1_1FuseStepNode.html#a61d42261006f1d7717dc2656e3d37839',1,'tvm::auto_scheduler::FuseStepNo [...]
-  ['printdag_3500',['PrintDAG',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#a1de07263c59963e3f5a96f275b176471',1,'tvm::auto_scheduler::ComputeDAG']]],
-  ['printdocstring_3501',['PrintDocString',['../classtvm_1_1BaseAttrsNode.html#a80929190102473038bce5b4f6c42dff6',1,'tvm::BaseAttrsNode']]],
-  ['printerconfig_3502',['PrinterConfig',['../classtvm_1_1PrinterConfig.html#a643ddfdf11f3ed02da30740610e0b20f',1,'tvm::PrinterConfig::PrinterConfig()'],['../classtvm_1_1PrinterConfig.html',1,'tvm::PrinterConfig']]],
-  ['printerconfignode_3503',['PrinterConfigNode',['../classtvm_1_1PrinterConfigNode.html',1,'tvm']]],
-  ['printindent_3504',['PrintIndent',['../classtvm_1_1ReprLegacyPrinter.html#aa1f6c31a5778e6cb988ffc3c45eb835b',1,'tvm::ReprLegacyPrinter::PrintIndent()'],['../classtvm_1_1ReprPrinter.html#a9c5941e7c4e24c9880dbd340cc226bf4',1,'tvm::ReprPrinter::PrintIndent()']]],
-  ['printinfoandsetinputargs_3505',['PrintInfoAndSetInputArgs',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#ac2ca0dc6162002d400e56ccc4c41fb9c',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['printir_3506',['PrintIR',['../namespacetvm_1_1transform.html#a8cca5b98fb5d34e66444343786d85529',1,'tvm::transform']]],
-  ['printstepsaspython_3507',['PrintStepsAsPython',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#ace0f0f385d5d3179dd5dc0a1f35990b4',1,'tvm::auto_scheduler::ComputeDAG']]],
-  ['printtuningstatistics_3508',['PrintTuningStatistics',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#af9a0fe462e50601c81e1d8ce352e9cea',1,'tvm::meta_schedule::TaskSchedulerNode']]],
-  ['priority_3509',['priority',['../classtvm_1_1auto__scheduler_1_1RPCRunnerNode.html#ad5c143b5ce09e135fb8c318d3c0042b9',1,'tvm::auto_scheduler::RPCRunnerNode']]],
-  ['prod_3510',['prod',['../namespacetvm_1_1topi.html#a4bc269a40cbdbac3b8b764950820dc8c',1,'tvm::topi::prod()'],['../namespacetvm.html#a32a87ae9eacafb2b5b71b28bcc9ef35e',1,'tvm::prod()']]],
-  ['prodop_3511',['ProdOp',['../namespacetvm_1_1topi.html#af62dd10dd04c1fbf820581b14498de6e',1,'tvm::topi']]],
-  ['producer_3512',['producer',['../classtvm_1_1tir_1_1ProducerRealizeNode.html#a40b660aa7441f16d8aa0caf5425f61c5',1,'tvm::tir::ProducerRealizeNode::producer()'],['../classtvm_1_1tir_1_1ProducerStoreNode.html#a309eb2fa800a2862c111024eebb05603',1,'tvm::tir::ProducerStoreNode::producer()'],['../classtvm_1_1tir_1_1ProducerLoadNode.html#ad0a7cfa5c690d86aae84a36ddcebd6c9',1,'tvm::tir::ProducerLoadNode::producer()']]],
-  ['producerload_3513',['ProducerLoad',['../classtvm_1_1tir_1_1ProducerLoad.html#a207ebf130561d77355a199908ac7754c',1,'tvm::tir::ProducerLoad::ProducerLoad()'],['../classtvm_1_1tir_1_1ProducerLoad.html',1,'tvm::tir::ProducerLoad']]],
-  ['producerloadnode_3514',['ProducerLoadNode',['../classtvm_1_1tir_1_1ProducerLoadNode.html',1,'tvm::tir']]],
-  ['producerrealize_3515',['ProducerRealize',['../classtvm_1_1tir_1_1ProducerRealize.html#aa9d54c60ed4ea3cebb3548d7fd783df2',1,'tvm::tir::ProducerRealize::ProducerRealize()'],['../classtvm_1_1tir_1_1ProducerRealize.html',1,'tvm::tir::ProducerRealize']]],
-  ['producerrealizenode_3516',['ProducerRealizeNode',['../classtvm_1_1tir_1_1ProducerRealizeNode.html',1,'tvm::tir']]],
-  ['producerstore_3517',['ProducerStore',['../classtvm_1_1tir_1_1ProducerStore.html#ac096ddee9fde9a4f5e447041da1abb5a',1,'tvm::tir::ProducerStore::ProducerStore()'],['../classtvm_1_1tir_1_1ProducerStore.html',1,'tvm::tir::ProducerStore']]],
-  ['producerstorenode_3518',['ProducerStoreNode',['../classtvm_1_1tir_1_1ProducerStoreNode.html',1,'tvm::tir']]],
-  ['profilefunction_3519',['ProfileFunction',['../namespacetvm_1_1runtime_1_1profiling.html#a213f5d3fec6828976d6eaf847a9018e4',1,'tvm::runtime::profiling']]],
-  ['profiler_3520',['Profiler',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#ac3724ea2398c80b66ebd6105a7ccceb8',1,'tvm::runtime::profiling::Profiler::Profiler()'],['../classtvm_1_1meta__schedule_1_1Profiler.html#a024d0dd7fc2a0e7fed66da559aa65d54',1,'tvm::meta_schedule::Profiler::Profiler()'],['../classtvm_1_1meta__schedule_1_1ScopedTimer.html#ad73e5645d5b7b84a00d4a5d07b8d8078',1,'tvm::meta_schedule::ScopedTimer::Profiler()'],['../classtvm_1_1meta__schedule_1_1Profiler.html',1,'t [...]
-  ['profiler_2eh_3521',['profiler.h',['../profiler_8h.html',1,'']]],
-  ['profilernode_3522',['ProfilerNode',['../classtvm_1_1meta__schedule_1_1ProfilerNode.html',1,'tvm::meta_schedule']]],
-  ['profiling_2eh_3523',['profiling.h',['../profiling_8h.html',1,'']]],
-  ['programbuilder_3524',['ProgramBuilder',['../classtvm_1_1auto__scheduler_1_1ProgramBuilder.html',1,'tvm::auto_scheduler']]],
-  ['programbuildernode_3525',['ProgramBuilderNode',['../classtvm_1_1auto__scheduler_1_1ProgramBuilderNode.html',1,'tvm::auto_scheduler']]],
-  ['programmeasurer_3526',['ProgramMeasurer',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurer.html#a5f7d945bbacca898aa75418dfb36939c',1,'tvm::auto_scheduler::ProgramMeasurer::ProgramMeasurer()'],['../classtvm_1_1auto__scheduler_1_1ProgramMeasurer.html',1,'tvm::auto_scheduler::ProgramMeasurer']]],
-  ['programmeasurernode_3527',['ProgramMeasurerNode',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html',1,'tvm::auto_scheduler']]],
-  ['programrunner_3528',['ProgramRunner',['../classtvm_1_1auto__scheduler_1_1ProgramRunner.html',1,'tvm::auto_scheduler']]],
-  ['programrunnernode_3529',['ProgramRunnerNode',['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html',1,'tvm::auto_scheduler']]],
-  ['proofstrength_3530',['ProofStrength',['../namespacetvm_1_1arith.html#a038b0205b1c66d27c9dff7703e359339',1,'tvm::arith']]],
-  ['propboundtoinputs_3531',['PropBoundToInputs',['../classtvm_1_1te_1_1OperationNode.html#a3c32a93264e0d627d8389b703edcaf49',1,'tvm::te::OperationNode::PropBoundToInputs()'],['../classtvm_1_1te_1_1HybridOpNode.html#aa732ebcde9edbc81fdeb286c72cd84fe',1,'tvm::te::HybridOpNode::PropBoundToInputs()'],['../classtvm_1_1te_1_1ExternOpNode.html#ab6976ded9159bd1930030b7196e6568f',1,'tvm::te::ExternOpNode::PropBoundToInputs()'],['../classtvm_1_1te_1_1ScanOpNode.html#a457c2582ccf09454b6c1881829717 [...]
-  ['proposalattrs_3532',['ProposalAttrs',['../structtvm_1_1relay_1_1ProposalAttrs.html',1,'tvm::relay']]],
-  ['ptr_3533',['ptr',['../classtvm_1_1runtime_1_1TVMPODValue__.html#ae11428ea0edc6ed08b0c33418052986c',1,'tvm::runtime::TVMPODValue_']]],
-  ['ptx_5farrive_5fbarrier_3534',['ptx_arrive_barrier',['../namespacetvm_1_1tir_1_1builtin.html#ac21d88612f6c2c21562411b99e4d24d1',1,'tvm::tir::builtin']]],
-  ['ptx_5farrive_5fbarrier_5fexpect_5ftx_3535',['ptx_arrive_barrier_expect_tx',['../namespacetvm_1_1tir_1_1builtin.html#aaf28239ff1cf7b756610e4d3b32bdfcd',1,'tvm::tir::builtin']]],
-  ['ptx_5fcommit_5fgroup_3536',['ptx_commit_group',['../namespacetvm_1_1tir_1_1builtin.html#a21346695611f032cadc3fad6615fe63b',1,'tvm::tir::builtin']]],
-  ['ptx_5fcp_5fasync_3537',['ptx_cp_async',['../namespacetvm_1_1tir_1_1builtin.html#a7eaa1b0b7d7cb550f6a7eaf5efe8d493',1,'tvm::tir::builtin']]],
-  ['ptx_5fcp_5fasync_5fbarrier_3538',['ptx_cp_async_barrier',['../namespacetvm_1_1tir_1_1builtin.html#a2b8d9de5b924dc2dd2dd35a110f767b3',1,'tvm::tir::builtin']]],
-  ['ptx_5fcp_5fasync_5fbulk_3539',['ptx_cp_async_bulk',['../namespacetvm_1_1tir_1_1builtin.html#a5a2befd1e97765919a6bd98ae314993e',1,'tvm::tir::builtin']]],
-  ['ptx_5finit_5fbarrier_5fthread_5fcount_3540',['ptx_init_barrier_thread_count',['../namespacetvm_1_1tir_1_1builtin.html#acbd805fd2396ed0803800644f5ecdaa5',1,'tvm::tir::builtin']]],
-  ['ptx_5fldg32_3541',['ptx_ldg32',['../namespacetvm_1_1tir_1_1builtin.html#a7ad7a674824bd65f49a6ae2dc3f6c533',1,'tvm::tir::builtin']]],
-  ['ptx_5fldmatrix_3542',['ptx_ldmatrix',['../namespacetvm_1_1tir_1_1builtin.html#ae79143f4df15683d7ee25c0b958e2189',1,'tvm::tir::builtin']]],
-  ['ptx_5fmma_3543',['ptx_mma',['../namespacetvm_1_1tir_1_1builtin.html#ad99599849e8572bcd46e22636f908fca',1,'tvm::tir::builtin']]],
-  ['ptx_5fmma_5fsp_3544',['ptx_mma_sp',['../namespacetvm_1_1tir_1_1builtin.html#a3343bb32988cda13d3050e8fc2a75a78',1,'tvm::tir::builtin']]],
-  ['ptx_5fwait_5fbarrier_3545',['ptx_wait_barrier',['../namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a',1,'tvm::tir::builtin']]],
-  ['ptx_5fwait_5fgroup_3546',['ptx_wait_group',['../namespacetvm_1_1tir_1_1builtin.html#aed0dcc804c2d1b939969c9e48aa448f9',1,'tvm::tir::builtin']]],
-  ['push_5fback_3547',['push_back',['../classtvm_1_1runtime_1_1Array.html#aa026b914ee05f81b6c20130b8905f257',1,'tvm::runtime::Array']]],
-  ['pushframe_3548',['PushFrame',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a05f2bc216da23c035522d5dde356a920',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['pybuilder_3549',['PyBuilder',['../classtvm_1_1meta__schedule_1_1Builder.html#a91fe7e36ba1e213e65b59f05a685e89f',1,'tvm::meta_schedule::Builder']]],
-  ['pybuildernode_3550',['PyBuilderNode',['../classtvm_1_1meta__schedule_1_1PyBuilderNode.html',1,'tvm::meta_schedule']]],
-  ['pycostmodel_3551',['PyCostModel',['../classtvm_1_1meta__schedule_1_1CostModel.html#aa824ead03b1c61470daee67989ba4967',1,'tvm::meta_schedule::CostModel']]],
-  ['pycostmodelnode_3552',['PyCostModelNode',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html',1,'tvm::meta_schedule']]],
-  ['pydatabase_3553',['PyDatabase',['../classtvm_1_1meta__schedule_1_1Database.html#aaaa9bf6e0367ae09fa8b3471a25dd50e',1,'tvm::meta_schedule::Database']]],
-  ['pydatabasenode_3554',['PyDatabaseNode',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a0f8533a4896ab37bd2f099460b0e2938',1,'tvm::meta_schedule::PyDatabaseNode::PyDatabaseNode()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['pyfeatureextractor_3555',['PyFeatureExtractor',['../classtvm_1_1meta__schedule_1_1FeatureExtractor.html#ac4b355e78ec150c5d067f78638f2da82',1,'tvm::meta_schedule::FeatureExtractor']]],
-  ['pyfeatureextractornode_3556',['PyFeatureExtractorNode',['../classtvm_1_1meta__schedule_1_1PyFeatureExtractorNode.html',1,'tvm::meta_schedule']]],
-  ['pymeasurecallback_3557',['PyMeasureCallback',['../classtvm_1_1meta__schedule_1_1MeasureCallback.html#a5b45496d9c58b1d5aa5fe47a37f542f3',1,'tvm::meta_schedule::MeasureCallback']]],
-  ['pymeasurecallbacknode_3558',['PyMeasureCallbackNode',['../classtvm_1_1meta__schedule_1_1PyMeasureCallbackNode.html',1,'tvm::meta_schedule']]],
-  ['pymutator_3559',['PyMutator',['../classtvm_1_1meta__schedule_1_1Mutator.html#ad47720eb4ce8167fd82c64b5b17d53f6',1,'tvm::meta_schedule::Mutator']]],
-  ['pymutatornode_3560',['PyMutatorNode',['../classtvm_1_1meta__schedule_1_1PyMutatorNode.html',1,'tvm::meta_schedule']]],
-  ['pypostproc_3561',['PyPostproc',['../classtvm_1_1meta__schedule_1_1Postproc.html#adf2610ebd0148a09f01c97f0ef53f7da',1,'tvm::meta_schedule::Postproc']]],
-  ['pypostprocnode_3562',['PyPostprocNode',['../classtvm_1_1meta__schedule_1_1PyPostprocNode.html',1,'tvm::meta_schedule']]],
-  ['pyrunner_3563',['PyRunner',['../classtvm_1_1meta__schedule_1_1Runner.html#add24ccb3a7663931340306e0068aec2c',1,'tvm::meta_schedule::Runner']]],
-  ['pyrunnernode_3564',['PyRunnerNode',['../classtvm_1_1meta__schedule_1_1PyRunnerNode.html',1,'tvm::meta_schedule']]],
-  ['pyschedulerule_3565',['PyScheduleRule',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#ac812a72ff2ad145247b0f9dc7954340d',1,'tvm::meta_schedule::ScheduleRule']]],
-  ['pyschedulerulenode_3566',['PyScheduleRuleNode',['../classtvm_1_1meta__schedule_1_1PyScheduleRuleNode.html',1,'tvm::meta_schedule']]],
-  ['pysearchstrategy_3567',['PySearchStrategy',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html#a3e05827620a061564da12e7c6f7f7095',1,'tvm::meta_schedule::SearchStrategy']]],
-  ['pysearchstrategynode_3568',['PySearchStrategyNode',['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html',1,'tvm::meta_schedule']]],
-  ['pyspacegenerator_3569',['PySpaceGenerator',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a5d4f99dd857ddd38dddff24c94916006',1,'tvm::meta_schedule::SpaceGenerator']]],
-  ['pyspacegeneratornode_3570',['PySpaceGeneratorNode',['../classtvm_1_1meta__schedule_1_1PySpaceGeneratorNode.html',1,'tvm::meta_schedule']]],
-  ['pytaskscheduler_3571',['PyTaskScheduler',['../classtvm_1_1meta__schedule_1_1TaskScheduler.html#a3ddd3992d1dc11716f6f02cca77b7372',1,'tvm::meta_schedule::TaskScheduler']]],
-  ['pytaskschedulernode_3572',['PyTaskSchedulerNode',['../classtvm_1_1meta__schedule_1_1PyTaskSchedulerNode.html',1,'tvm::meta_schedule']]],
-  ['pythonbasedmeasurecallback_3573',['PythonBasedMeasureCallback',['../classtvm_1_1auto__scheduler_1_1PythonBasedMeasureCallback.html#a38db53470ab1e264f708e39429555f6f',1,'tvm::auto_scheduler::PythonBasedMeasureCallback::PythonBasedMeasureCallback()'],['../classtvm_1_1auto__scheduler_1_1PythonBasedMeasureCallback.html',1,'tvm::auto_scheduler::PythonBasedMeasureCallback']]],
-  ['pythonbasedmeasurecallbacknode_3574',['PythonBasedMeasureCallbackNode',['../classtvm_1_1auto__scheduler_1_1PythonBasedMeasureCallbackNode.html',1,'tvm::auto_scheduler']]],
-  ['pythonbasedmodel_3575',['PythonBasedModel',['../classtvm_1_1auto__scheduler_1_1PythonBasedModel.html#a71f2459b8b36261180c608e0d2acaeae',1,'tvm::auto_scheduler::PythonBasedModel::PythonBasedModel()'],['../classtvm_1_1auto__scheduler_1_1PythonBasedModel.html',1,'tvm::auto_scheduler::PythonBasedModel']]],
-  ['pythonbasedmodelnode_3576',['PythonBasedModelNode',['../classtvm_1_1auto__scheduler_1_1PythonBasedModelNode.html',1,'tvm::auto_scheduler']]]
+  ['pack_5faxis_3299',['pack_axis',['../structtvm_1_1relay_1_1BitPackAttrs.html#ab17201dbe53aea089b99857a53c9c4e8',1,'tvm::relay::BitPackAttrs']]],
+  ['pack_5fdtype_3300',['pack_dtype',['../structtvm_1_1relay_1_1BinaryConv2DAttrs.html#a52047b0e68189ec30e8535941021be47',1,'tvm::relay::BinaryConv2DAttrs::pack_dtype()'],['../structtvm_1_1relay_1_1BinaryDenseAttrs.html#a6aac82cbb3d54c5770fa035c0c9f81a2',1,'tvm::relay::BinaryDenseAttrs::pack_dtype()']]],
+  ['pack_5ftype_3301',['pack_type',['../structtvm_1_1relay_1_1BitPackAttrs.html#ac913b31a7bad2a800c686238c58373c6',1,'tvm::relay::BitPackAttrs']]],
+  ['packargs_3302',['PackArgs',['../namespacetvm_1_1runtime.html#a80885b3cfaabbee3d448c4d0fabec29f',1,'tvm::runtime']]],
+  ['packed_3303',['packed',['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#a0bccd3ff1f23a8aa094e1a679539096d',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;']]],
+  ['packed_5fargs_3304',['packed_args',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a5a39350aa263659863295deab57996ed',1,'tvm::runtime::vm::Instruction']]],
+  ['packed_5ffunc_2eh_3305',['packed_func.h',['../packed__func_8h.html',1,'(Global Namespace)'],['../crt_2packed__func_8h.html',1,'(Global Namespace)']]],
+  ['packed_5ffuncs_5f_3306',['packed_funcs_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#ae2e5377a5dbf7335ed8989512457a2f5',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['packed_5findex_3307',['packed_index',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#ac60e60c96d7bb22d8ac06169d5969232',1,'tvm::runtime::vm::Instruction']]],
+  ['packedfunc_3308',['PackedFunc',['../classtvm_1_1runtime_1_1PackedFunc.html#afde7eaa98f6cb12c7e80da16e859c210',1,'tvm::runtime::PackedFunc::PackedFunc(std::nullptr_t null)'],['../classtvm_1_1runtime_1_1PackedFunc.html#acaea2b71b9664e93677de66ac19adede',1,'tvm::runtime::PackedFunc::PackedFunc(TCallable data)'],['../classtvm_1_1runtime_1_1PackedFunc.html',1,'tvm::runtime::PackedFunc']]],
+  ['packedfuncobj_3309',['PackedFuncObj',['../classtvm_1_1runtime_1_1PackedFuncObj.html#a063452b7982696b09f35b20993ac3138',1,'tvm::runtime::PackedFuncObj::PackedFuncObj(FCallPacked *f_call_pack)'],['../classtvm_1_1runtime_1_1PackedFuncObj.html#a8c162670ce34f10ca3dac0b1bbb59292',1,'tvm::runtime::PackedFuncObj::PackedFuncObj()=delete'],['../classtvm_1_1runtime_1_1PackedFuncObj.html',1,'tvm::runtime::PackedFuncObj']]],
+  ['packedfuncsubobj_3310',['PackedFuncSubObj',['../classtvm_1_1runtime_1_1PackedFuncSubObj.html#a2c5d6759714a3674b36bfd64a9f38992',1,'tvm::runtime::PackedFuncSubObj::PackedFuncSubObj()'],['../classtvm_1_1runtime_1_1PackedFuncSubObj.html',1,'tvm::runtime::PackedFuncSubObj&lt; TCallable &gt;']]],
+  ['packedfuncvalueconverter_3311',['PackedFuncValueConverter',['../structtvm_1_1runtime_1_1PackedFuncValueConverter.html',1,'tvm::runtime']]],
+  ['packedfuncvalueconverter_3c_20optional_3c_20t_20_3e_20_3e_3312',['PackedFuncValueConverter&lt; Optional&lt; T &gt; &gt;',['../structtvm_1_1runtime_1_1PackedFuncValueConverter_3_01Optional_3_01T_01_4_01_4.html',1,'tvm::runtime']]],
+  ['packedfuncvalueconverter_3c_20primexpr_20_3e_3313',['PackedFuncValueConverter&lt; PrimExpr &gt;',['../structtvm_1_1runtime_1_1PackedFuncValueConverter_3_01PrimExpr_01_4.html',1,'tvm::runtime']]],
+  ['packedfuncvalueconverter_3c_20tvm_3a_3abool_20_3e_3314',['PackedFuncValueConverter&lt; tvm::Bool &gt;',['../structtvm_1_1runtime_1_1PackedFuncValueConverter_3_01tvm_1_1Bool_01_4.html',1,'tvm::runtime']]],
+  ['packedfuncvalueconverter_3c_20tvm_3a_3ainteger_20_3e_3315',['PackedFuncValueConverter&lt; tvm::Integer &gt;',['../structtvm_1_1runtime_1_1PackedFuncValueConverter_3_01tvm_1_1Integer_01_4.html',1,'tvm::runtime']]],
+  ['packedfuncvalueconverter_3c_3a_3atvm_3a_3aruntime_3a_3astring_20_3e_3316',['PackedFuncValueConverter&lt;::tvm::runtime::String &gt;',['../structtvm_1_1runtime_1_1PackedFuncValueConverter_3_1_1tvm_1_1runtime_1_1String_01_4.html',1,'tvm::runtime']]],
+  ['packetdone_3317',['PacketDone',['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteStream.html#a1745b7d9d5a0e094e129eb7a4c363ac9',1,'tvm::runtime::micro_rpc::WriteStream']]],
+  ['packetfieldsizebytes_3318',['PacketFieldSizeBytes',['../classtvm_1_1runtime_1_1micro__rpc_1_1PacketFieldSizeBytes.html',1,'tvm::runtime::micro_rpc']]],
+  ['packimportstoc_3319',['PackImportsToC',['../namespacetvm_1_1codegen.html#a8b151920f583ba2612f4020879afb844',1,'tvm::codegen']]],
+  ['packimportstollvm_3320',['PackImportsToLLVM',['../namespacetvm_1_1codegen.html#a08cb4d3bf9e767ce04908b843132aec8',1,'tvm::codegen']]],
+  ['pad_3321',['pad',['../namespacetvm_1_1topi.html#a3305d377f96cd20c23032eeada2756d5',1,'tvm::topi']]],
+  ['pad_5fmode_3322',['pad_mode',['../structtvm_1_1relay_1_1PadAttrs.html#a5b524c3add781cd2da894e81553079f8',1,'tvm::relay::PadAttrs']]],
+  ['pad_5futils_2eh_3323',['pad_utils.h',['../pad__utils_8h.html',1,'']]],
+  ['pad_5fvalue_3324',['pad_value',['../structtvm_1_1relay_1_1SpaceToBatchNDAttrs.html#a7c0fbd47621c925a45e1074f85a6b70f',1,'tvm::relay::SpaceToBatchNDAttrs']]],
+  ['pad_5fwidth_3325',['pad_width',['../structtvm_1_1relay_1_1MirrorPadAttrs.html#aca1ad3c67652c162ea7008f1b9e1dad4',1,'tvm::relay::MirrorPadAttrs::pad_width()'],['../structtvm_1_1relay_1_1PadAttrs.html#acd8abf65407486fc1c330db50e0485cb',1,'tvm::relay::PadAttrs::pad_width()']]],
+  ['padattrs_3326',['PadAttrs',['../structtvm_1_1relay_1_1PadAttrs.html',1,'tvm::relay']]],
+  ['padding_3327',['padding',['../structtvm_1_1relay_1_1Conv2DAttrs.html#a58652b91dc4455bfc1369a2242687b00',1,'tvm::relay::Conv2DAttrs::padding()'],['../structtvm_1_1relay_1_1MaxPool3DAttrs.html#af80ff276969ce1fa8ee324204a93edaf',1,'tvm::relay::MaxPool3DAttrs::padding()'],['../structtvm_1_1relay_1_1Conv2DWinogradAttrs.html#af2caa695b5aabb9f92d48aa76f6c8314',1,'tvm::relay::Conv2DWinogradAttrs::padding()'],['../structtvm_1_1relay_1_1Conv3DAttrs.html#ac4df94aff84232fa20163f8524cedba6',1,'tv [...]
+  ['padding_5fmode_3328',['padding_mode',['../structtvm_1_1relay_1_1GridSampleAttrs.html#aab46e9c8c1a6caa0e05605e930570682',1,'tvm::relay::GridSampleAttrs']]],
+  ['padding_5fpredicate_3329',['padding_predicate',['../classtvm_1_1arith_1_1IterMapResultNode.html#af982bb1cf020e53e2f7521ee1cf97c2a',1,'tvm::arith::IterMapResultNode']]],
+  ['paddings_3330',['paddings',['../structtvm_1_1relay_1_1SpaceToBatchNDAttrs.html#aabc579d65229d49279a1c3a903a99095',1,'tvm::relay::SpaceToBatchNDAttrs']]],
+  ['padeinsum_3331',['PadEinsum',['../classtvm_1_1tir_1_1ScheduleNode.html#a1ac39c82aee1f8de30d5871d5923fc24',1,'tvm::tir::ScheduleNode']]],
+  ['page_5fallocator_2eh_3332',['page_allocator.h',['../page__allocator_8h.html',1,'']]],
+  ['pagememorymanagercreate_3333',['PageMemoryManagerCreate',['../page__allocator_8h.html#a720dbc7474ac13b93fafb974cfc20bc7',1,'page_allocator.h']]],
+  ['papi_2eh_3334',['papi.h',['../papi_8h.html',1,'']]],
+  ['parallel_3335',['Parallel',['../classtvm_1_1tir_1_1ScheduleNode.html#a553dc17c0b49b175cd16881c81b6c789',1,'tvm::tir::ScheduleNode::Parallel()'],['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#af443e6c0816e4e4ff97881df7a11a6db',1,'tvm::script::ir_builder::tir::Parallel()']]],
+  ['parallel_3336',['parallel',['../classtvm_1_1te_1_1Stage.html#a60a6be10a1a96cb594c1399efabafef3',1,'tvm::te::Stage::parallel()'],['../classtvm_1_1auto__scheduler_1_1State.html#a2376f0180bc5b5dd4b456f2a75d4a366',1,'tvm::auto_scheduler::State::parallel()']]],
+  ['parallel_5ffor_3337',['parallel_for',['../namespacetvm_1_1support.html#a8bf1225e8bb1db575578ca2d645fb23c',1,'tvm::support']]],
+  ['parallel_5ffor_2eh_3338',['parallel_for.h',['../parallel__for_8h.html',1,'']]],
+  ['parallel_5ffor_5fdynamic_3339',['parallel_for_dynamic',['../namespacetvm_1_1support.html#afe4271363c794f1644ce7af5c2266530',1,'tvm::support']]],
+  ['parallelizevectorizeunroll_3340',['ParallelizeVectorizeUnroll',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a0ef9b604081db7a8bf960f3fbfd3a804',1,'tvm::meta_schedule::ScheduleRule']]],
+  ['param_5fdevice_5findexes_3341',['param_device_indexes',['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html#afff8cae6bf6100376c4275b301a11828',1,'tvm::runtime::vm::VMFunction']]],
+  ['params_3342',['params',['../classtvm_1_1meta__schedule_1_1BuilderInputNode.html#a6530833b23371eaeee737cc891b160b9',1,'tvm::meta_schedule::BuilderInputNode::params()'],['../classtvm_1_1tir_1_1PrimFuncNode.html#a4ea77411935e78d975414f31e153fc78',1,'tvm::tir::PrimFuncNode::params()'],['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html#a6d480483112097888c71501504665693',1,'tvm::runtime::vm::VMFunction::params()'],['../classtvm_1_1relay_1_1FunctionNode.html#a689c5078fb501798aa1d57d1825e3b5 [...]
+  ['parent_3343',['parent',['../classtvm_1_1te_1_1RebaseNode.html#a4fea0a62970e2831dd92c58aee14a1f4',1,'tvm::te::RebaseNode::parent()'],['../classtvm_1_1te_1_1SplitNode.html#aff313d36c09c8d93ba34aa4a251d6a9f',1,'tvm::te::SplitNode::parent()'],['../classtvm_1_1tir_1_1StmtSRefNode.html#aa69a77c8bee12ecc0c17fe75656e392d',1,'tvm::tir::StmtSRefNode::parent()'],['../classtvm_1_1relay_1_1DominatorPatternNode.html#ab4720ce40ecd4f89c7eb6ff469c40375',1,'tvm::relay::DominatorPatternNode::parent()']]],
+  ['parent_3344',['Parent',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#a0e7deada1e51a604e4f7bc6c8a115955',1,'tvm::tir::IndexDataTypeRewriter::Parent()'],['../classtvm_1_1tir_1_1IndexDataTypeNormalizer.html#a8d04a64a80c9fbdd28c7cb9e00c02120',1,'tvm::tir::IndexDataTypeNormalizer::Parent()']]],
+  ['parentnode_3345',['ParentNode',['../classtvm_1_1ObjectPathNode.html#a4a06a6588b427640e2f775a6307ccab5',1,'tvm::ObjectPathNode']]],
+  ['parse_5fauto_5fscheduler_5flayout_3346',['parse_auto_scheduler_layout',['../namespacetvm_1_1topi.html#a6fec3a88d419cd018cf1041cf3d99204',1,'tvm::topi']]],
+  ['parsecustomdatatype_3347',['ParseCustomDatatype',['../namespacetvm_1_1runtime.html#ac6d167947285dff3c780bd5d01ace9b9',1,'tvm::runtime']]],
+  ['parsemodule_3348',['ParseModule',['../namespacetvm_1_1relay.html#ac0b61f2cb4c1b03b204b216615647b6d',1,'tvm::relay']]],
+  ['parser_2eh_3349',['parser.h',['../parser_8h.html',1,'']]],
+  ['partialeval_3350',['PartialEval',['../namespacetvm_1_1relay_1_1transform.html#aa270d98da5518969e005c7e30d6eb6fe',1,'tvm::relay::transform']]],
+  ['partialsolvedinequalities_3351',['PartialSolvedInequalities',['../namespacetvm_1_1arith.html#adf7450490c4a53f62bab4463cdb5e9c8',1,'tvm::arith']]],
+  ['partitionerfunctype_3352',['PartitionerFuncType',['../namespacetvm_1_1support.html#a34824d8de8374a4144dc4754ccafdd74',1,'tvm::support']]],
+  ['partitiongraph_3353',['PartitionGraph',['../namespacetvm_1_1relay_1_1transform.html#aac0bfdaf6d09b360602243c81abe05a1',1,'tvm::relay::transform']]],
+  ['partitionpattern_3354',['PartitionPattern',['../namespacetvm_1_1relay.html#a48108863604dc310eb3184b13b42a92a',1,'tvm::relay']]],
+  ['pass_3355',['Pass',['../namespacetvm_1_1relay_1_1transform.html#afa666ade112e9955059095d695238a9a',1,'tvm::relay::transform::Pass()'],['../namespacetvm_1_1tir_1_1usmp_1_1transform.html#a901e9d4d9288aacc08b1bc7cde535f56',1,'tvm::tir::usmp::transform::Pass()'],['../classtvm_1_1transform_1_1Pass.html',1,'tvm::transform::Pass']]],
+  ['pass_5finfo_3356',['pass_info',['../classtvm_1_1transform_1_1SequentialNode.html#ae78f131a5e8a1d3143ff4671c45af2ac',1,'tvm::transform::SequentialNode']]],
+  ['passcontext_3357',['PassContext',['../namespacetvm_1_1relay_1_1transform.html#a744a05f8bba3c2ac238ba4569d926184',1,'tvm::relay::transform::PassContext()'],['../classtvm_1_1transform_1_1PassContext.html#a8f5f511c2e29991eceff7b1f4883313e',1,'tvm::transform::PassContext::PassContext(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1transform_1_1PassContext.html#a906dadb55586afece28d71d3436fdf1d',1,'tvm::transform::PassContext::PassContext()'],['../classtvm_1_1transform_1_1PassContext.html [...]
+  ['passcontextnode_3358',['PassContextNode',['../namespacetvm_1_1relay_1_1transform.html#a72900733e0d3510ae61a1c287c2ec125',1,'tvm::relay::transform::PassContextNode()'],['../classtvm_1_1transform_1_1PassContextNode.html#aa91df8069b6573e72305ab57e9bc620f',1,'tvm::transform::PassContextNode::PassContextNode()'],['../classtvm_1_1transform_1_1PassContextNode.html',1,'tvm::transform::PassContextNode']]],
+  ['passenabled_3359',['PassEnabled',['../classtvm_1_1transform_1_1PassContext.html#a536d273b03ac126e2d7c6b1a953e9285',1,'tvm::transform::PassContext']]],
+  ['passes_3360',['passes',['../classtvm_1_1transform_1_1SequentialNode.html#a24f06af16eae6731edd76ee6e8d0e1ff',1,'tvm::transform::SequentialNode']]],
+  ['passinfo_3361',['PassInfo',['../namespacetvm_1_1relay_1_1transform.html#aa405b5f11938fb8c4fa2ca21926edc9d',1,'tvm::relay::transform::PassInfo()'],['../classtvm_1_1transform_1_1PassInfo.html#afb926e21882c52d28c23dfb18c76a06a',1,'tvm::transform::PassInfo::PassInfo()'],['../classtvm_1_1transform_1_1PassInfo.html',1,'tvm::transform::PassInfo']]],
+  ['passinfonode_3362',['PassInfoNode',['../classtvm_1_1transform_1_1PassInfoNode.html#a2b9533e2f517d5c1a5e6bb1eb703d8aa',1,'tvm::transform::PassInfoNode::PassInfoNode()'],['../namespacetvm_1_1relay_1_1transform.html#aae88cd0ad69cf64c7e9caf0a0c8ebb45',1,'tvm::relay::transform::PassInfoNode()'],['../classtvm_1_1transform_1_1PassInfoNode.html',1,'tvm::transform::PassInfoNode']]],
+  ['passinstrument_3363',['PassInstrument',['../classtvm_1_1instrument_1_1PassInstrument.html',1,'tvm::instrument']]],
+  ['passinstrumentnode_3364',['PassInstrumentNode',['../classtvm_1_1instrument_1_1PassInstrumentNode.html',1,'tvm::instrument']]],
+  ['passnode_3365',['PassNode',['../namespacetvm_1_1relay_1_1transform.html#aa695a8dfc3d5b087018ddd4ef1eb2487',1,'tvm::relay::transform::PassNode()'],['../classtvm_1_1transform_1_1PassNode.html',1,'tvm::transform::PassNode']]],
+  ['path_3366',['path',['../classtvm_1_1relay_1_1DominatorPatternNode.html#a752951f9926f6011dc4d925fcca44c9a',1,'tvm::relay::DominatorPatternNode']]],
+  ['path_5fto_5fannotate_3367',['path_to_annotate',['../classtvm_1_1PrinterConfigNode.html#aefd5b3373f4765540f0e11e78baf693d',1,'tvm::PrinterConfigNode']]],
+  ['path_5fto_5funderline_3368',['path_to_underline',['../classtvm_1_1PrinterConfigNode.html#af9c9ea98dd1057e3841228292643ec5e',1,'tvm::PrinterConfigNode']]],
+  ['pathsequal_3369',['PathsEqual',['../classtvm_1_1ObjectPathNode.html#af3648f5578cd516a649237539467e387',1,'tvm::ObjectPathNode']]],
+  ['pathtracingdata_3370',['PathTracingData',['../classtvm_1_1SEqualReducer_1_1Handler.html#a3971c2653bf9bae74dd940cd80085aef',1,'tvm::SEqualReducer::Handler']]],
+  ['pattern_3371',['pattern',['../classtvm_1_1relay_1_1ShapePatternNode.html#a77130ba4e4b1b051415a08a6c0148d30',1,'tvm::relay::ShapePatternNode::pattern()'],['../classtvm_1_1relay_1_1AttrPatternNode.html#a4ccb04267d93985da28518886b56ed2f',1,'tvm::relay::AttrPatternNode::pattern()'],['../classtvm_1_1relay_1_1DataTypePatternNode.html#aa9bd180d1d23dde701d121d23db8c51a',1,'tvm::relay::DataTypePatternNode::pattern()'],['../classtvm_1_1relay_1_1TypePatternNode.html#a7273a1fa7c10a1c4a5f3a4d46bc [...]
+  ['pattern_3372',['Pattern',['../classtvm_1_1relay_1_1Pattern.html#ad2eae0030bb557fbee1b85517cd9c31e',1,'tvm::relay::Pattern::Pattern(ObjectPtr&lt; tvm::Object &gt; p)'],['../classtvm_1_1relay_1_1Pattern.html#aba2fe5ab04ab0b56ff855cfc572b16ff',1,'tvm::relay::Pattern::Pattern()'],['../classtvm_1_1relay_1_1Pattern.html',1,'tvm::relay::Pattern']]],
+  ['pattern_2eh_3373',['pattern.h',['../pattern_8h.html',1,'']]],
+  ['pattern_5ffunctor_2eh_3374',['pattern_functor.h',['../pattern__functor_8h.html',1,'']]],
+  ['pattern_5ffunctor_5fdefault_3375',['PATTERN_FUNCTOR_DEFAULT',['../pattern__functor_8h.html#ac72a5016a4b58a73ed7e7652c7a876d7',1,'pattern_functor.h']]],
+  ['patternconstructor_3376',['PatternConstructor',['../classtvm_1_1relay_1_1PatternConstructor.html#a96adb22c131d7bac1e54a7b646116143',1,'tvm::relay::PatternConstructor::PatternConstructor()'],['../classtvm_1_1relay_1_1PatternConstructor.html',1,'tvm::relay::PatternConstructor']]],
+  ['patternconstructornode_3377',['PatternConstructorNode',['../classtvm_1_1relay_1_1PatternConstructorNode.html',1,'tvm::relay']]],
+  ['patternfunctor_3378',['PatternFunctor',['../classtvm_1_1relay_1_1PatternFunctor.html',1,'tvm::relay']]],
+  ['patternfunctor_3c_20pattern_28const_20pattern_20_26_29_3e_3379',['PatternFunctor&lt; Pattern(const Pattern &amp;)&gt;',['../classtvm_1_1relay_1_1PatternFunctor.html',1,'tvm::relay']]],
+  ['patternfunctor_3c_20r_28const_20pattern_20_26n_2c_20args_2e_2e_2e_29_3e_3380',['PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm::relay']]],
+  ['patternfunctor_3c_20void_28const_20pattern_20_26n_29_3e_3381',['PatternFunctor&lt; void(const Pattern &amp;n)&gt;',['../classtvm_1_1relay_1_1PatternFunctor.html',1,'tvm::relay']]],
+  ['patternmutator_3382',['PatternMutator',['../classtvm_1_1relay_1_1PatternMutator.html',1,'tvm::relay']]],
+  ['patternnode_3383',['PatternNode',['../classtvm_1_1relay_1_1PatternNode.html',1,'tvm::relay']]],
+  ['patterns_3384',['patterns',['../classtvm_1_1relay_1_1PatternConstructorNode.html#a0a09dff6a8e84eee02f6af3b2f523894',1,'tvm::relay::PatternConstructorNode::patterns()'],['../classtvm_1_1relay_1_1PatternTupleNode.html#aaf8d4cf8916e845d4528b8286c8d4095',1,'tvm::relay::PatternTupleNode::patterns()']]],
+  ['patterntuple_3385',['PatternTuple',['../classtvm_1_1relay_1_1PatternTuple.html#aae0b04503612604b171ff364a4707de7',1,'tvm::relay::PatternTuple::PatternTuple()'],['../classtvm_1_1relay_1_1PatternTuple.html',1,'tvm::relay::PatternTuple']]],
+  ['patterntuplenode_3386',['PatternTupleNode',['../classtvm_1_1relay_1_1PatternTupleNode.html',1,'tvm::relay']]],
+  ['patternvar_3387',['PatternVar',['../classtvm_1_1relay_1_1PatternVar.html#a54c56ad693111a8375e55961bd9b49fa',1,'tvm::relay::PatternVar::PatternVar()'],['../classtvm_1_1relay_1_1PatternVar.html',1,'tvm::relay::PatternVar']]],
+  ['patternvarnode_3388',['PatternVarNode',['../classtvm_1_1relay_1_1PatternVarNode.html',1,'tvm::relay']]],
+  ['patternvisitor_3389',['PatternVisitor',['../classtvm_1_1relay_1_1PatternVisitor.html',1,'tvm::relay']]],
+  ['patternwildcard_3390',['PatternWildcard',['../classtvm_1_1relay_1_1PatternWildcard.html#aab7d1690088beab9987f97cdebd64c0c',1,'tvm::relay::PatternWildcard::PatternWildcard()'],['../classtvm_1_1relay_1_1PatternWildcard.html#a53a536533ee2c7ae4f0fcb649fc967c3',1,'tvm::relay::PatternWildcard::PatternWildcard(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1relay_1_1PatternWildcard.html#aea56c9cc7113d61aee41cd6569aef9d5',1,'tvm::relay::PatternWildcard::PatternWildcard(const PatternWildcard  [...]
+  ['patternwildcardnode_3391',['PatternWildcardNode',['../classtvm_1_1relay_1_1PatternWildcardNode.html',1,'tvm::relay']]],
+  ['pc_3392',['pc',['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html#af798dec5a7e9049d6673093d2f3e7dea',1,'tvm::runtime::vm::VMFrame']]],
+  ['pc_5f_3393',['pc_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a583f4afb3292882df571a4fdf3cbf947',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['pc_5foffset_3394',['pc_offset',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a721907173e94d564bff879792b29f789',1,'tvm::runtime::vm::Instruction']]],
+  ['peek_3395',['Peek',['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#aefdbe684e811791635e77b026b2ca11c',1,'tvm::runtime::micro_rpc::FrameBuffer']]],
+  ['percent_3396',['percent',['../classtvm_1_1runtime_1_1profiling_1_1PercentNode.html#a6852f14d052d8b23ad4058d149ec2a46',1,'tvm::runtime::profiling::PercentNode']]],
+  ['percentnode_3397',['PercentNode',['../classtvm_1_1runtime_1_1profiling_1_1PercentNode.html#a45d51732fbde990710ac13c294225e39',1,'tvm::runtime::profiling::PercentNode::PercentNode()'],['../classtvm_1_1runtime_1_1profiling_1_1PercentNode.html',1,'tvm::runtime::profiling::PercentNode']]],
+  ['perstorefeature_3398',['PerStoreFeature',['../classtvm_1_1meta__schedule_1_1FeatureExtractor.html#abbfc563425a975e026f2daf3bbfa86ee',1,'tvm::meta_schedule::FeatureExtractor']]],
+  ['pipeline_5fexec_5fscope_3399',['pipeline_exec_scope',['../namespacetvm_1_1tir_1_1attr.html#aee14d4d24b86179fd19938a02bc15512',1,'tvm::tir::attr']]],
+  ['pipeline_5fstage_5fscope_3400',['pipeline_stage_scope',['../namespacetvm_1_1tir_1_1attr.html#a19ecbf068afc115a2282e533c0fe518d',1,'tvm::tir::attr']]],
+  ['placeholder_3401',['placeholder',['../namespacetvm_1_1te.html#a15a1cc6f7146730ec1f03210c81a8a3c',1,'tvm::te']]],
+  ['placeholderop_3402',['PlaceholderOp',['../classtvm_1_1te_1_1PlaceholderOp.html#ae6cedf336ddb311242a1c0b0bb91741a',1,'tvm::te::PlaceholderOp::PlaceholderOp()'],['../classtvm_1_1te_1_1PlaceholderOp.html',1,'tvm::te::PlaceholderOp']]],
+  ['placeholderopnode_3403',['PlaceholderOpNode',['../classtvm_1_1te_1_1PlaceholderOpNode.html',1,'tvm::te']]],
+  ['planandupdatebufferallocationlocation_3404',['PlanAndUpdateBufferAllocationLocation',['../namespacetvm_1_1tir_1_1transform.html#a5ffa51908f8a4c9f7eb4321d8b92c234',1,'tvm::tir::transform']]],
+  ['plandevices_3405',['PlanDevices',['../namespacetvm_1_1relay_1_1transform.html#a176ece3eac49470d18b174cfa196e0f0',1,'tvm::relay::transform']]],
+  ['planmemory_3406',['PlanMemory',['../classtvm_1_1tir_1_1usmp_1_1algo_1_1GreedyBase.html#a0cc8e1f1bed944c4a25322ce2503330e',1,'tvm::tir::usmp::algo::GreedyBase']]],
+  ['platform_2eh_3407',['platform.h',['../platform_8h.html',1,'']]],
+  ['plevel_3408',['plevel',['../classtvm_1_1relay_1_1OpImplementationNode.html#af7afc9d22e58ead1fc342808ed0c4304',1,'tvm::relay::OpImplementationNode']]],
+  ['pointer_3409',['pointer',['../classtvm_1_1runtime_1_1Map_1_1iterator.html#a569d224e69ea0f65717e6dcb0dd53e5b',1,'tvm::runtime::Map::iterator::pointer()'],['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#a0c38eac8fa87129d754972cd305a6a89',1,'tvm::runtime::MapNode::iterator::pointer()'],['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#ade7d41e23d7ac8a0d64e237dfcf958c6',1,'tvm::runtime::ReverseIterAdapter::pointer()'],['../classtvm_1_1runtime_1_1IterAdapter.html#adc1b48d98f5d1780e [...]
+  ['pointertype_3410',['PointerType',['../classtvm_1_1PointerType.html#ac87ac0c3a2331d01d0f929212d8c94f2',1,'tvm::PointerType::PointerType()'],['../classtvm_1_1PointerType.html',1,'tvm::PointerType']]],
+  ['pointertypenode_3411',['PointerTypeNode',['../classtvm_1_1PointerTypeNode.html',1,'tvm']]],
+  ['pointervaluetyperewrite_3412',['PointerValueTypeRewrite',['../namespacetvm_1_1tir_1_1transform.html#a36268b8988766d94b09daaa433a2e1aa',1,'tvm::tir::transform']]],
+  ['pointvalue_3413',['PointValue',['../classtvm_1_1arith_1_1IntSet.html#ae76ec395be3ac3fb52d47fda539bb985',1,'tvm::arith::IntSet']]],
+  ['pool1d_3414',['pool1d',['../namespacetvm_1_1topi_1_1nn.html#aca7c280684bfa7f8eb16a4a2ae0891f4',1,'tvm::topi::nn']]],
+  ['pool2d_3415',['pool2d',['../namespacetvm_1_1topi_1_1nn.html#a9db26746e71db4065a913ff8046fd2fa',1,'tvm::topi::nn']]],
+  ['pool3d_3416',['pool3d',['../namespacetvm_1_1topi_1_1nn.html#ae5bacf7e4d7aa499e4c8420d39d7ad7e',1,'tvm::topi::nn']]],
+  ['pool_5fcandidates_3417',['pool_candidates',['../structtvm_1_1tir_1_1usmp_1_1BufferInfoNode.html#a6cd3d345ae413278011f54d481f2b346',1,'tvm::tir::usmp::BufferInfoNode']]],
+  ['pool_5fgrad_3418',['pool_grad',['../namespacetvm_1_1topi_1_1nn.html#a7bb635a3241be1593258b374e651e344',1,'tvm::topi::nn']]],
+  ['pool_5fgrad_5fimpl_3419',['pool_grad_impl',['../namespacetvm_1_1topi_1_1nn.html#a2806be7f95eec10be2b3555a9094cee2',1,'tvm::topi::nn']]],
+  ['pool_5fimpl_5fnd_3420',['pool_impl_nd',['../namespacetvm_1_1topi_1_1nn.html#a27571804c2096b32ab05e7b3e32c5af6',1,'tvm::topi::nn']]],
+  ['pool_5finfo_3421',['pool_info',['../structtvm_1_1tir_1_1usmp_1_1AllocatedPoolInfoNode.html#afda8f6acac9b3af97dcf00f5df2887fb',1,'tvm::tir::usmp::AllocatedPoolInfoNode::pool_info()'],['../structtvm_1_1tir_1_1usmp_1_1PoolAllocationNode.html#afbb95bb97052dc37ab3c523de3783551',1,'tvm::tir::usmp::PoolAllocationNode::pool_info()']]],
+  ['pool_5fname_3422',['pool_name',['../structtvm_1_1PoolInfoNode.html#a1bca32e13fa47433c7bacc40a6436c1e',1,'tvm::PoolInfoNode']]],
+  ['pool_5fsize_3423',['pool_size',['../structtvm_1_1relay_1_1AvgPool3DAttrs.html#a1bb7f4c5299fcea1a2ed28ce770018a3',1,'tvm::relay::AvgPool3DAttrs::pool_size()'],['../structtvm_1_1relay_1_1MaxPool3DAttrs.html#a82691187858d9ecc11176b6195fc97c4',1,'tvm::relay::MaxPool3DAttrs::pool_size()'],['../structtvm_1_1relay_1_1AvgPool1DAttrs.html#a21d129b7f51e96d3f60277765f5def04',1,'tvm::relay::AvgPool1DAttrs::pool_size()'],['../structtvm_1_1relay_1_1MaxPool1DAttrs.html#af4792b9065cf98ef5335c34e581c [...]
+  ['pool_5fvar_5fidx_3424',['pool_var_idx',['../structtvm_1_1tir_1_1usmp_1_1AllocatedPoolInfoNode.html#a133223871982347da894c949cada9ba3',1,'tvm::tir::usmp::AllocatedPoolInfoNode']]],
+  ['poolallocation_3425',['PoolAllocation',['../classtvm_1_1tir_1_1usmp_1_1PoolAllocation.html#af80aa984e5f0566c5f10e3d1dc121a0b',1,'tvm::tir::usmp::PoolAllocation::PoolAllocation()'],['../classtvm_1_1tir_1_1usmp_1_1PoolAllocation.html',1,'tvm::tir::usmp::PoolAllocation']]],
+  ['poolallocationnode_3426',['PoolAllocationNode',['../structtvm_1_1tir_1_1usmp_1_1PoolAllocationNode.html',1,'tvm::tir::usmp']]],
+  ['pooled_5fsize_3427',['pooled_size',['../structtvm_1_1relay_1_1ROIPoolAttrs.html#a4ea18bc102c8431781f0bb8ff46e835f',1,'tvm::relay::ROIPoolAttrs::pooled_size()'],['../structtvm_1_1relay_1_1ROIAlignAttrs.html#ac93f13f6a40d9b43a097c3af0644c762',1,'tvm::relay::ROIAlignAttrs::pooled_size()']]],
+  ['poolinfo_3428',['PoolInfo',['../classtvm_1_1PoolInfo.html#a670f18a942143b62f385e2fcdec7dae1',1,'tvm::PoolInfo::PoolInfo()'],['../classtvm_1_1PoolInfo.html',1,'tvm::PoolInfo']]],
+  ['poolinfonode_3429',['PoolInfoNode',['../structtvm_1_1PoolInfoNode.html',1,'tvm']]],
+  ['poolinfoproperties_3430',['PoolInfoProperties',['../classtvm_1_1PoolInfoProperties.html#aa8682c31026fea1a8910140f36ba0e4c',1,'tvm::PoolInfoProperties::PoolInfoProperties()'],['../classtvm_1_1PoolInfoProperties.html',1,'tvm::PoolInfoProperties']]],
+  ['poolinfopropertiesnode_3431',['PoolInfoPropertiesNode',['../structtvm_1_1PoolInfoPropertiesNode.html',1,'tvm']]],
+  ['pooling_2eh_3432',['pooling.h',['../rocm_2pooling_8h.html',1,'(Global Namespace)'],['../nn_2pooling_8h.html',1,'(Global Namespace)'],['../cuda_2pooling_8h.html',1,'(Global Namespace)']]],
+  ['pools_3433',['pools',['../structtvm_1_1ConstantMemoryPoolsNode.html#a854e45c780faddb712b608e3a78ec415',1,'tvm::ConstantMemoryPoolsNode::pools()'],['../structtvm_1_1WorkspaceMemoryPoolsNode.html#a67f6cbc8df2f1ad58de9bbbdc4c5f68e',1,'tvm::WorkspaceMemoryPoolsNode::pools()']]],
+  ['pooltype_3434',['PoolType',['../namespacetvm_1_1topi_1_1nn.html#a3ffa0974d8cdcd5b8ca7afb3cfbaf53c',1,'tvm::topi::nn']]],
+  ['pop_3435',['Pop',['../classtvm_1_1tir_1_1TraceNode.html#a8bc557a5c11454561ce3479267e750ab',1,'tvm::tir::TraceNode']]],
+  ['pop_5fback_3436',['pop_back',['../classtvm_1_1runtime_1_1Array.html#aa39300bd019f435ab23353b991019811',1,'tvm::runtime::Array']]],
+  ['popcount_3437',['popcount',['../namespacetvm_1_1tir_1_1builtin.html#a2172690dd21d7fd50a4fd4d696ea7bb2',1,'tvm::tir::builtin::popcount()'],['../namespacetvm.html#acde00e06bb7d8ccd78f1dd33b966e178',1,'tvm::popcount()']]],
+  ['popframe_3438',['PopFrame',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a5a60fe06f720e26c749a80211f1ee620',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['port_3439',['port',['../classtvm_1_1auto__scheduler_1_1RPCRunnerNode.html#a6bb14282535fdd87707997a3e9eb1a21',1,'tvm::auto_scheduler::RPCRunnerNode']]],
+  ['postorderapply_3440',['PostOrderApply',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#aaf51a7a46fe1608318e4d3f5b2a6989e',1,'tvm::meta_schedule::SpaceGenerator']]],
+  ['postorderrewrite_3441',['PostOrderRewrite',['../namespacetvm_1_1relay.html#ae9893f416a18eb31c14adece02bf43f8',1,'tvm::relay']]],
+  ['postordervisit_3442',['PostOrderVisit',['../namespacetvm_1_1tir.html#a4b5067fa233d111c9db9cc402ed599c3',1,'tvm::tir::PostOrderVisit()'],['../namespacetvm_1_1relay.html#a5ede3d80c546097084cf98b60e600b80',1,'tvm::relay::PostOrderVisit()']]],
+  ['postproc_3443',['Postproc',['../classtvm_1_1meta__schedule_1_1Postproc.html',1,'tvm::meta_schedule']]],
+  ['postproc_2eh_3444',['postproc.h',['../postproc_8h.html',1,'']]],
+  ['postprocnode_3445',['PostprocNode',['../classtvm_1_1meta__schedule_1_1PostprocNode.html',1,'tvm::meta_schedule']]],
+  ['postprocs_3446',['postprocs',['../classtvm_1_1meta__schedule_1_1SpaceGeneratorNode.html#a06e4ae27653aeb83fe0545bd5b95e0d9',1,'tvm::meta_schedule::SpaceGeneratorNode']]],
+  ['postsortallocation_3447',['PostSortAllocation',['../classtvm_1_1tir_1_1usmp_1_1algo_1_1GreedyBase.html#ac0d7645aee89a53f7b76b410a2d17192',1,'tvm::tir::usmp::algo::GreedyBase']]],
+  ['posttuning_3448',['PostTuning',['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#a1357c198f8d2aca2c8fa9358b92e1e2a',1,'tvm::meta_schedule::PySearchStrategyNode::PostTuning()'],['../classtvm_1_1meta__schedule_1_1SearchStrategyNode.html#a82e813659dd7cab4b03e43d0710619e7',1,'tvm::meta_schedule::SearchStrategyNode::PostTuning()']]],
+  ['pow_3449',['pow',['../namespacetvm.html#a5f6532d705be039030e980bbbf81b096',1,'tvm']]],
+  ['power_3450',['power',['../namespacetvm_1_1topi.html#a4ddd094f2b04a75ae9b1c438e0923d32',1,'tvm::topi::power(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B, std::string name=&quot;T_&quot; &quot;power&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#ae23dc3372703de7e9d91b76c49b81ae0',1,'tvm::topi::power(const tvm::PrimExpr &amp;a, const tvm::PrimExpr &amp;b)'],['../namespacetvm_1_1topi.html#a448052f388219ee7aa016566bcdaa51c',1,'tvm::topi::power(const tvm: [...]
+  ['pragma_3451',['pragma',['../classtvm_1_1auto__scheduler_1_1State.html#ada0872404e5a0b56ad16644b8fb0f324',1,'tvm::auto_scheduler::State::pragma()'],['../classtvm_1_1te_1_1Stage.html#a7045099f180e5cdcf9b1959b280a2d35',1,'tvm::te::Stage::pragma()']]],
+  ['pragma_5fauto_5funroll_5fmax_5fstep_3452',['pragma_auto_unroll_max_step',['../namespacetvm_1_1tir_1_1attr.html#a8a8b8af5c21a3c26954d0ae482e7230e',1,'tvm::tir::attr']]],
+  ['pragma_5fimport_5fc_3453',['pragma_import_c',['../namespacetvm_1_1tir_1_1attr.html#a2823f2e8c3ae9eec6c8f797752d1f9b5',1,'tvm::tir::attr']]],
+  ['pragma_5fimport_5fllvm_3454',['pragma_import_llvm',['../namespacetvm_1_1tir_1_1attr.html#af00ba402645b1def7c543af3c48be80d',1,'tvm::tir::attr']]],
+  ['pragma_5fkeys_3455',['pragma_keys',['../classtvm_1_1te_1_1IterVarAttrNode.html#a0bcbe78c8ad2fec77112b3156bfe0d81',1,'tvm::te::IterVarAttrNode']]],
+  ['pragma_5floop_5fpartition_5fhint_3456',['pragma_loop_partition_hint',['../namespacetvm_1_1tir_1_1attr.html#ae6bb4bdf780d88ac330ad27c6c94e0f7',1,'tvm::tir::attr']]],
+  ['pragma_5fscope_5fprefix_3457',['pragma_scope_prefix',['../namespacetvm_1_1tir_1_1attr.html#af08d3d2b645a914f1a64d81e45f3b86a',1,'tvm::tir::attr']]],
+  ['pragma_5ftensor_5fcore_3458',['pragma_tensor_core',['../namespacetvm_1_1tir_1_1attr.html#a96774004fd5b6411f6c37b8923b71834',1,'tvm::tir::attr']]],
+  ['pragma_5ftype_3459',['pragma_type',['../classtvm_1_1auto__scheduler_1_1PragmaStepNode.html#aaa89c2151eeaff9de0ac7a9e9daeae69',1,'tvm::auto_scheduler::PragmaStepNode']]],
+  ['pragma_5funroll_5fexplicit_3460',['pragma_unroll_explicit',['../namespacetvm_1_1tir_1_1attr.html#a288b5c4a7961450f4007d684a9098010',1,'tvm::tir::attr']]],
+  ['pragma_5fvalues_3461',['pragma_values',['../classtvm_1_1te_1_1IterVarAttrNode.html#aea7a6bc44a7ddca46c76c666eba37b7f',1,'tvm::te::IterVarAttrNode']]],
+  ['pragmastep_3462',['PragmaStep',['../classtvm_1_1auto__scheduler_1_1PragmaStep.html#a7692c2a9934af1f36b218840034a88d5',1,'tvm::auto_scheduler::PragmaStep::PragmaStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1PragmaStep.html#a9f3ec96f3e561a14d8d9235c4d46e2eb',1,'tvm::auto_scheduler::PragmaStep::PragmaStep(int stage_id, int iter_id, String pragma_type)'],['../classtvm_1_1auto__scheduler_1_1PragmaStep.html',1,'tvm::auto_scheduler::PragmaStep']]],
+  ['pragmastepnode_3463',['PragmaStepNode',['../classtvm_1_1auto__scheduler_1_1PragmaStepNode.html',1,'tvm::auto_scheduler']]],
+  ['pre_5f_3464',['pre_',['../classtvm_1_1relay_1_1MixedModeMutator.html#a81d6c2593e361659ed2d0bea78a8f58a',1,'tvm::relay::MixedModeMutator']]],
+  ['predicate_3465',['predicate',['../classtvm_1_1script_1_1printer_1_1WhileDocNode.html#ad1f9ea9a5c2109d614b3e5835b348ccb',1,'tvm::script::printer::WhileDocNode::predicate()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockFrameNode.html#aab83629426451c65210bc3ba1fcda452',1,'tvm::script::ir_builder::tir::BlockFrameNode::predicate()'],['../classtvm_1_1script_1_1printer_1_1IfDocNode.html#aff607900dab0776aa46ea9cbbfcc0bfd',1,'tvm::script::printer::IfDocNode::predicate()'],['../class [...]
+  ['predict_3466',['Predict',['../classtvm_1_1meta__schedule_1_1CostModelNode.html#a9722d8b9c6e3724e6f059395285b99b1',1,'tvm::meta_schedule::CostModelNode::Predict()'],['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#ad0fb662aa2ad770c0a72377d0cfbae2a',1,'tvm::meta_schedule::PyCostModelNode::Predict()'],['../classtvm_1_1auto__scheduler_1_1CostModelNode.html#aa337ec72401a957a68b6eb4a96472a2c',1,'tvm::auto_scheduler::CostModelNode::Predict()'],['../classtvm_1_1auto__scheduler_1_1Rand [...]
+  ['predict_5ffunc_3467',['predict_func',['../classtvm_1_1auto__scheduler_1_1PythonBasedModelNode.html#aa051c804bc592d7f4f1a5b5710f73595',1,'tvm::auto_scheduler::PythonBasedModelNode']]],
+  ['predict_5fstage_5ffunc_3468',['predict_stage_func',['../classtvm_1_1auto__scheduler_1_1PythonBasedModelNode.html#a380809fbb5d4d68b9ec744e3a5015fe6',1,'tvm::auto_scheduler::PythonBasedModelNode']]],
+  ['predictstages_3469',['PredictStages',['../classtvm_1_1auto__scheduler_1_1CostModelNode.html#a213222251099444874698d2e9ff18adc',1,'tvm::auto_scheduler::CostModelNode::PredictStages()'],['../classtvm_1_1auto__scheduler_1_1PythonBasedModelNode.html#a1f9975c4bdd61793b806663a61a9a703',1,'tvm::auto_scheduler::PythonBasedModelNode::PredictStages()']]],
+  ['prefetch_3470',['prefetch',['../namespacetvm_1_1tir_1_1builtin.html#a543f1fc334d2bc830add972895a03f17',1,'tvm::tir::builtin']]],
+  ['prefetch_3471',['Prefetch',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#aeb707d56c770edb33ebf73da27ebc1b9',1,'tvm::script::ir_builder::tir']]],
+  ['prefetch_3472',['prefetch',['../classtvm_1_1te_1_1Stage.html#a611327890918fb641a8e65396ab9c5f6',1,'tvm::te::Stage']]],
+  ['prefetch_3473',['Prefetch',['../classtvm_1_1tir_1_1Prefetch.html#af462f85dad4268685e3113b6b009d1b2',1,'tvm::tir::Prefetch::Prefetch()'],['../classtvm_1_1tir_1_1Prefetch.html',1,'tvm::tir::Prefetch']]],
+  ['prefetch_5fdata_3474',['prefetch_data',['../classtvm_1_1te_1_1IterVarAttrNode.html#a0cd129334ac1bc8d6461fb06be67e731',1,'tvm::te::IterVarAttrNode']]],
+  ['prefetch_5foffset_3475',['prefetch_offset',['../classtvm_1_1te_1_1IterVarAttrNode.html#a2a4a8e201e6caefeecffd4a7647866fd',1,'tvm::te::IterVarAttrNode']]],
+  ['prefetch_5fscope_3476',['prefetch_scope',['../namespacetvm_1_1tir_1_1attr.html#ac95fbd1c09a60b10c7a5d07f6c4b68a6',1,'tvm::tir::attr']]],
+  ['prefetchnode_3477',['PrefetchNode',['../classtvm_1_1tir_1_1PrefetchNode.html#a73ef244c364b9c7efaee36e6bec746e7',1,'tvm::tir::PrefetchNode::PrefetchNode(Buffer buffer, Array&lt; Range &gt; bounds, Span span=Span())'],['../classtvm_1_1tir_1_1PrefetchNode.html#acaaa5e89462c7edf3019df4283ec74db',1,'tvm::tir::PrefetchNode::PrefetchNode()=default'],['../classtvm_1_1tir_1_1PrefetchNode.html',1,'tvm::tir::PrefetchNode']]],
+  ['prefix_5f_3478',['prefix_',['../classtvm_1_1NameSupplyNode.html#aa14405ac3611e27389632477779fb6ad',1,'tvm::NameSupplyNode']]],
+  ['preloadmeasuredstates_3479',['PreloadMeasuredStates',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#abc2529d0b1cd485876e48037dd19dde1',1,'tvm::auto_scheduler::SearchPolicyNode::PreloadMeasuredStates()'],['../classtvm_1_1auto__scheduler_1_1PreloadMeasuredStates.html#a67daf1ccd25a208fdf8d001f9a31d86b',1,'tvm::auto_scheduler::PreloadMeasuredStates::PreloadMeasuredStates()'],['../classtvm_1_1auto__scheduler_1_1PreloadMeasuredStates.html',1,'tvm::auto_scheduler::PreloadMeasured [...]
+  ['preloadmeasuredstatesnode_3480',['PreloadMeasuredStatesNode',['../classtvm_1_1auto__scheduler_1_1PreloadMeasuredStatesNode.html',1,'tvm::auto_scheduler']]],
+  ['prelu_3481',['prelu',['../namespacetvm_1_1topi.html#a315c34bbe2bf1be4c778acae08c906fc',1,'tvm::topi']]],
+  ['preluattrs_3482',['PReluAttrs',['../structtvm_1_1relay_1_1PReluAttrs.html',1,'tvm::relay']]],
+  ['preordervisit_3483',['PreOrderVisit',['../namespacetvm_1_1tir.html#a982b5f74aafaa506a146ec8bed07b3f5',1,'tvm::tir']]],
+  ['preprocessor_3484',['preprocessor',['../classtvm_1_1TargetKindNode.html#a47f02c66d0f972befdfb29ec592ecba0',1,'tvm::TargetKindNode']]],
+  ['preresult_5fop_5findex_5f_3485',['preresult_op_index_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a37b4a705186bf76cb9aa5168dcbc3651',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['prettyprint_3486',['PrettyPrint',['../namespacetvm_1_1relay.html#a3b98dc5e89534ce68b4be3b9c7ceafaf',1,'tvm::relay::PrettyPrint(const ObjectRef &amp;node)'],['../namespacetvm_1_1relay.html#a07e7cc4306f7c5e95b942d4f72882707',1,'tvm::relay::PrettyPrint(const DFPattern &amp;pattern)']]],
+  ['pretuning_3487',['PreTuning',['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#a148e4d901f8e362307abfe03fb659a57',1,'tvm::meta_schedule::PySearchStrategyNode::PreTuning()'],['../classtvm_1_1meta__schedule_1_1SearchStrategyNode.html#a39e0b263ee316310a3fcd85d3532763b',1,'tvm::meta_schedule::SearchStrategyNode::PreTuning()']]],
+  ['primexpr_3488',['PrimExpr',['../classtvm_1_1PrimExpr.html#a756d3f8b17b019560946524951ae6118',1,'tvm::PrimExpr::PrimExpr(float value)'],['../classtvm_1_1PrimExpr.html#a7f0ca30e951608a0b36a77a66d4d19e0',1,'tvm::PrimExpr::PrimExpr(int32_t value)'],['../classtvm_1_1PrimExpr.html',1,'tvm::PrimExpr']]],
+  ['primexprnode_3489',['PrimExprNode',['../classtvm_1_1PrimExprNode.html',1,'tvm']]],
+  ['primfunc_3490',['PrimFunc',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a223f44b71840a89ddd7921d0b48cd4d0',1,'tvm::script::ir_builder::tir::PrimFunc()'],['../classtvm_1_1tir_1_1PrimFunc.html#ae45eed6cc7af5f9806be4709e87d1420',1,'tvm::tir::PrimFunc::PrimFunc()'],['../classtvm_1_1tir_1_1PrimFunc.html',1,'tvm::tir::PrimFunc']]],
+  ['primfuncframe_3491',['PrimFuncFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1PrimFuncFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['primfuncframenode_3492',['PrimFuncFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1PrimFuncFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['primfuncnode_3493',['PrimFuncNode',['../classtvm_1_1tir_1_1PrimFuncNode.html',1,'tvm::tir']]],
+  ['primitive_5fmap_3494',['primitive_map',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#ab5a31e8670a4f20564abc48610a90e8c',1,'tvm::runtime::vm::Executable']]],
+  ['primitive_5frecord_3495',['primitive_record',['../classtvm_1_1te_1_1ScheduleNode.html#aeddb87ac8fb45a6059e8ebb9659003f2',1,'tvm::te::ScheduleNode']]],
+  ['primitive_5ftargets_3496',['primitive_targets',['../classtvm_1_1CompilationConfigNode.html#aaf237580f1684eaf97e1852c6b69ecbd',1,'tvm::CompilationConfigNode']]],
+  ['primtype_3497',['PrimType',['../classtvm_1_1PrimType.html#a09f20c21115c93112848ee1aa91a02a4',1,'tvm::PrimType::PrimType()'],['../classtvm_1_1PrimType.html',1,'tvm::PrimType']]],
+  ['primtypenode_3498',['PrimTypeNode',['../classtvm_1_1PrimTypeNode.html',1,'tvm']]],
+  ['print_3499',['Print',['../classtvm_1_1ReprPrinter.html#a01e320b8f3db86141771dfa0aa7ed389',1,'tvm::ReprPrinter::Print()'],['../classtvm_1_1ReprLegacyPrinter.html#a0fa676e46d37c4b72c761758e54226fa',1,'tvm::ReprLegacyPrinter::Print()'],['../classtvm_1_1relay_1_1DFPatternPrinter.html#a906bb56e36b092173d40a01d5cd4dcc0',1,'tvm::relay::DFPatternPrinter::Print()']]],
+  ['print_5fline_5fnumbers_3500',['print_line_numbers',['../classtvm_1_1PrinterConfigNode.html#a326fadb110a4356ccd783ed0e0b26733',1,'tvm::PrinterConfigNode']]],
+  ['printaspythonapi_3501',['PrintAsPythonAPI',['../classtvm_1_1auto__scheduler_1_1FollowSplitStepNode.html#a3d297e00fdebdb3d4261cb44b27bc447',1,'tvm::auto_scheduler::FollowSplitStepNode::PrintAsPythonAPI()'],['../classtvm_1_1auto__scheduler_1_1AnnotationStepNode.html#a724b47658136ea9a43872fcf600e79b9',1,'tvm::auto_scheduler::AnnotationStepNode::PrintAsPythonAPI()'],['../classtvm_1_1auto__scheduler_1_1FuseStepNode.html#a61d42261006f1d7717dc2656e3d37839',1,'tvm::auto_scheduler::FuseStepNo [...]
+  ['printdag_3502',['PrintDAG',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#a1de07263c59963e3f5a96f275b176471',1,'tvm::auto_scheduler::ComputeDAG']]],
+  ['printdocstring_3503',['PrintDocString',['../classtvm_1_1BaseAttrsNode.html#a80929190102473038bce5b4f6c42dff6',1,'tvm::BaseAttrsNode']]],
+  ['printerconfig_3504',['PrinterConfig',['../classtvm_1_1PrinterConfig.html#a643ddfdf11f3ed02da30740610e0b20f',1,'tvm::PrinterConfig::PrinterConfig()'],['../classtvm_1_1PrinterConfig.html',1,'tvm::PrinterConfig']]],
+  ['printerconfignode_3505',['PrinterConfigNode',['../classtvm_1_1PrinterConfigNode.html',1,'tvm']]],
+  ['printindent_3506',['PrintIndent',['../classtvm_1_1ReprLegacyPrinter.html#aa1f6c31a5778e6cb988ffc3c45eb835b',1,'tvm::ReprLegacyPrinter::PrintIndent()'],['../classtvm_1_1ReprPrinter.html#a9c5941e7c4e24c9880dbd340cc226bf4',1,'tvm::ReprPrinter::PrintIndent()']]],
+  ['printinfoandsetinputargs_3507',['PrintInfoAndSetInputArgs',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#ac2ca0dc6162002d400e56ccc4c41fb9c',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['printir_3508',['PrintIR',['../namespacetvm_1_1transform.html#a8cca5b98fb5d34e66444343786d85529',1,'tvm::transform']]],
+  ['printstepsaspython_3509',['PrintStepsAsPython',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#ace0f0f385d5d3179dd5dc0a1f35990b4',1,'tvm::auto_scheduler::ComputeDAG']]],
+  ['printtuningstatistics_3510',['PrintTuningStatistics',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#af9a0fe462e50601c81e1d8ce352e9cea',1,'tvm::meta_schedule::TaskSchedulerNode']]],
+  ['priority_3511',['priority',['../classtvm_1_1auto__scheduler_1_1RPCRunnerNode.html#ad5c143b5ce09e135fb8c318d3c0042b9',1,'tvm::auto_scheduler::RPCRunnerNode']]],
+  ['prod_3512',['prod',['../namespacetvm_1_1topi.html#a4bc269a40cbdbac3b8b764950820dc8c',1,'tvm::topi::prod()'],['../namespacetvm.html#a32a87ae9eacafb2b5b71b28bcc9ef35e',1,'tvm::prod()']]],
+  ['prodop_3513',['ProdOp',['../namespacetvm_1_1topi.html#af62dd10dd04c1fbf820581b14498de6e',1,'tvm::topi']]],
+  ['producer_3514',['producer',['../classtvm_1_1tir_1_1ProducerRealizeNode.html#a40b660aa7441f16d8aa0caf5425f61c5',1,'tvm::tir::ProducerRealizeNode::producer()'],['../classtvm_1_1tir_1_1ProducerStoreNode.html#a309eb2fa800a2862c111024eebb05603',1,'tvm::tir::ProducerStoreNode::producer()'],['../classtvm_1_1tir_1_1ProducerLoadNode.html#ad0a7cfa5c690d86aae84a36ddcebd6c9',1,'tvm::tir::ProducerLoadNode::producer()']]],
+  ['producerload_3515',['ProducerLoad',['../classtvm_1_1tir_1_1ProducerLoad.html#a207ebf130561d77355a199908ac7754c',1,'tvm::tir::ProducerLoad::ProducerLoad()'],['../classtvm_1_1tir_1_1ProducerLoad.html',1,'tvm::tir::ProducerLoad']]],
+  ['producerloadnode_3516',['ProducerLoadNode',['../classtvm_1_1tir_1_1ProducerLoadNode.html',1,'tvm::tir']]],
+  ['producerrealize_3517',['ProducerRealize',['../classtvm_1_1tir_1_1ProducerRealize.html#aa9d54c60ed4ea3cebb3548d7fd783df2',1,'tvm::tir::ProducerRealize::ProducerRealize()'],['../classtvm_1_1tir_1_1ProducerRealize.html',1,'tvm::tir::ProducerRealize']]],
+  ['producerrealizenode_3518',['ProducerRealizeNode',['../classtvm_1_1tir_1_1ProducerRealizeNode.html',1,'tvm::tir']]],
+  ['producerstore_3519',['ProducerStore',['../classtvm_1_1tir_1_1ProducerStore.html#ac096ddee9fde9a4f5e447041da1abb5a',1,'tvm::tir::ProducerStore::ProducerStore()'],['../classtvm_1_1tir_1_1ProducerStore.html',1,'tvm::tir::ProducerStore']]],
+  ['producerstorenode_3520',['ProducerStoreNode',['../classtvm_1_1tir_1_1ProducerStoreNode.html',1,'tvm::tir']]],
+  ['profilefunction_3521',['ProfileFunction',['../namespacetvm_1_1runtime_1_1profiling.html#a213f5d3fec6828976d6eaf847a9018e4',1,'tvm::runtime::profiling']]],
+  ['profiler_3522',['Profiler',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#ac3724ea2398c80b66ebd6105a7ccceb8',1,'tvm::runtime::profiling::Profiler::Profiler()'],['../classtvm_1_1meta__schedule_1_1Profiler.html#a024d0dd7fc2a0e7fed66da559aa65d54',1,'tvm::meta_schedule::Profiler::Profiler()'],['../classtvm_1_1meta__schedule_1_1ScopedTimer.html#ad73e5645d5b7b84a00d4a5d07b8d8078',1,'tvm::meta_schedule::ScopedTimer::Profiler()'],['../classtvm_1_1meta__schedule_1_1Profiler.html',1,'t [...]
+  ['profiler_2eh_3523',['profiler.h',['../profiler_8h.html',1,'']]],
+  ['profilernode_3524',['ProfilerNode',['../classtvm_1_1meta__schedule_1_1ProfilerNode.html',1,'tvm::meta_schedule']]],
+  ['profiling_2eh_3525',['profiling.h',['../profiling_8h.html',1,'']]],
+  ['programbuilder_3526',['ProgramBuilder',['../classtvm_1_1auto__scheduler_1_1ProgramBuilder.html',1,'tvm::auto_scheduler']]],
+  ['programbuildernode_3527',['ProgramBuilderNode',['../classtvm_1_1auto__scheduler_1_1ProgramBuilderNode.html',1,'tvm::auto_scheduler']]],
+  ['programmeasurer_3528',['ProgramMeasurer',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurer.html#a5f7d945bbacca898aa75418dfb36939c',1,'tvm::auto_scheduler::ProgramMeasurer::ProgramMeasurer()'],['../classtvm_1_1auto__scheduler_1_1ProgramMeasurer.html',1,'tvm::auto_scheduler::ProgramMeasurer']]],
+  ['programmeasurernode_3529',['ProgramMeasurerNode',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html',1,'tvm::auto_scheduler']]],
+  ['programrunner_3530',['ProgramRunner',['../classtvm_1_1auto__scheduler_1_1ProgramRunner.html',1,'tvm::auto_scheduler']]],
+  ['programrunnernode_3531',['ProgramRunnerNode',['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html',1,'tvm::auto_scheduler']]],
+  ['proofstrength_3532',['ProofStrength',['../namespacetvm_1_1arith.html#a038b0205b1c66d27c9dff7703e359339',1,'tvm::arith']]],
+  ['propboundtoinputs_3533',['PropBoundToInputs',['../classtvm_1_1te_1_1OperationNode.html#a3c32a93264e0d627d8389b703edcaf49',1,'tvm::te::OperationNode::PropBoundToInputs()'],['../classtvm_1_1te_1_1HybridOpNode.html#aa732ebcde9edbc81fdeb286c72cd84fe',1,'tvm::te::HybridOpNode::PropBoundToInputs()'],['../classtvm_1_1te_1_1ExternOpNode.html#ab6976ded9159bd1930030b7196e6568f',1,'tvm::te::ExternOpNode::PropBoundToInputs()'],['../classtvm_1_1te_1_1ScanOpNode.html#a457c2582ccf09454b6c1881829717 [...]
+  ['proposalattrs_3534',['ProposalAttrs',['../structtvm_1_1relay_1_1ProposalAttrs.html',1,'tvm::relay']]],
+  ['ptr_3535',['ptr',['../classtvm_1_1runtime_1_1TVMPODValue__.html#ae11428ea0edc6ed08b0c33418052986c',1,'tvm::runtime::TVMPODValue_']]],
+  ['ptx_5farrive_5fbarrier_3536',['ptx_arrive_barrier',['../namespacetvm_1_1tir_1_1builtin.html#ac21d88612f6c2c21562411b99e4d24d1',1,'tvm::tir::builtin']]],
+  ['ptx_5farrive_5fbarrier_5fexpect_5ftx_3537',['ptx_arrive_barrier_expect_tx',['../namespacetvm_1_1tir_1_1builtin.html#aaf28239ff1cf7b756610e4d3b32bdfcd',1,'tvm::tir::builtin']]],
+  ['ptx_5fcommit_5fgroup_3538',['ptx_commit_group',['../namespacetvm_1_1tir_1_1builtin.html#a21346695611f032cadc3fad6615fe63b',1,'tvm::tir::builtin']]],
+  ['ptx_5fcp_5fasync_3539',['ptx_cp_async',['../namespacetvm_1_1tir_1_1builtin.html#a7eaa1b0b7d7cb550f6a7eaf5efe8d493',1,'tvm::tir::builtin']]],
+  ['ptx_5fcp_5fasync_5fbarrier_3540',['ptx_cp_async_barrier',['../namespacetvm_1_1tir_1_1builtin.html#a2b8d9de5b924dc2dd2dd35a110f767b3',1,'tvm::tir::builtin']]],
+  ['ptx_5fcp_5fasync_5fbulk_3541',['ptx_cp_async_bulk',['../namespacetvm_1_1tir_1_1builtin.html#a5a2befd1e97765919a6bd98ae314993e',1,'tvm::tir::builtin']]],
+  ['ptx_5finit_5fbarrier_5fthread_5fcount_3542',['ptx_init_barrier_thread_count',['../namespacetvm_1_1tir_1_1builtin.html#acbd805fd2396ed0803800644f5ecdaa5',1,'tvm::tir::builtin']]],
+  ['ptx_5fldg32_3543',['ptx_ldg32',['../namespacetvm_1_1tir_1_1builtin.html#a7ad7a674824bd65f49a6ae2dc3f6c533',1,'tvm::tir::builtin']]],
+  ['ptx_5fldmatrix_3544',['ptx_ldmatrix',['../namespacetvm_1_1tir_1_1builtin.html#ae79143f4df15683d7ee25c0b958e2189',1,'tvm::tir::builtin']]],
+  ['ptx_5fmma_3545',['ptx_mma',['../namespacetvm_1_1tir_1_1builtin.html#ad99599849e8572bcd46e22636f908fca',1,'tvm::tir::builtin']]],
+  ['ptx_5fmma_5fsp_3546',['ptx_mma_sp',['../namespacetvm_1_1tir_1_1builtin.html#a3343bb32988cda13d3050e8fc2a75a78',1,'tvm::tir::builtin']]],
+  ['ptx_5fwait_5fbarrier_3547',['ptx_wait_barrier',['../namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a',1,'tvm::tir::builtin']]],
+  ['ptx_5fwait_5fgroup_3548',['ptx_wait_group',['../namespacetvm_1_1tir_1_1builtin.html#aed0dcc804c2d1b939969c9e48aa448f9',1,'tvm::tir::builtin']]],
+  ['push_5fback_3549',['push_back',['../classtvm_1_1runtime_1_1Array.html#aa026b914ee05f81b6c20130b8905f257',1,'tvm::runtime::Array']]],
+  ['pushframe_3550',['PushFrame',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a05f2bc216da23c035522d5dde356a920',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['pybuilder_3551',['PyBuilder',['../classtvm_1_1meta__schedule_1_1Builder.html#a91fe7e36ba1e213e65b59f05a685e89f',1,'tvm::meta_schedule::Builder']]],
+  ['pybuildernode_3552',['PyBuilderNode',['../classtvm_1_1meta__schedule_1_1PyBuilderNode.html',1,'tvm::meta_schedule']]],
+  ['pycostmodel_3553',['PyCostModel',['../classtvm_1_1meta__schedule_1_1CostModel.html#aa824ead03b1c61470daee67989ba4967',1,'tvm::meta_schedule::CostModel']]],
+  ['pycostmodelnode_3554',['PyCostModelNode',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html',1,'tvm::meta_schedule']]],
+  ['pydatabase_3555',['PyDatabase',['../classtvm_1_1meta__schedule_1_1Database.html#aaaa9bf6e0367ae09fa8b3471a25dd50e',1,'tvm::meta_schedule::Database']]],
+  ['pydatabasenode_3556',['PyDatabaseNode',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a0f8533a4896ab37bd2f099460b0e2938',1,'tvm::meta_schedule::PyDatabaseNode::PyDatabaseNode()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html',1,'tvm::meta_schedule::PyDatabaseNode']]],
+  ['pyfeatureextractor_3557',['PyFeatureExtractor',['../classtvm_1_1meta__schedule_1_1FeatureExtractor.html#ac4b355e78ec150c5d067f78638f2da82',1,'tvm::meta_schedule::FeatureExtractor']]],
+  ['pyfeatureextractornode_3558',['PyFeatureExtractorNode',['../classtvm_1_1meta__schedule_1_1PyFeatureExtractorNode.html',1,'tvm::meta_schedule']]],
+  ['pymeasurecallback_3559',['PyMeasureCallback',['../classtvm_1_1meta__schedule_1_1MeasureCallback.html#a5b45496d9c58b1d5aa5fe47a37f542f3',1,'tvm::meta_schedule::MeasureCallback']]],
+  ['pymeasurecallbacknode_3560',['PyMeasureCallbackNode',['../classtvm_1_1meta__schedule_1_1PyMeasureCallbackNode.html',1,'tvm::meta_schedule']]],
+  ['pymutator_3561',['PyMutator',['../classtvm_1_1meta__schedule_1_1Mutator.html#ad47720eb4ce8167fd82c64b5b17d53f6',1,'tvm::meta_schedule::Mutator']]],
+  ['pymutatornode_3562',['PyMutatorNode',['../classtvm_1_1meta__schedule_1_1PyMutatorNode.html',1,'tvm::meta_schedule']]],
+  ['pypostproc_3563',['PyPostproc',['../classtvm_1_1meta__schedule_1_1Postproc.html#adf2610ebd0148a09f01c97f0ef53f7da',1,'tvm::meta_schedule::Postproc']]],
+  ['pypostprocnode_3564',['PyPostprocNode',['../classtvm_1_1meta__schedule_1_1PyPostprocNode.html',1,'tvm::meta_schedule']]],
+  ['pyrunner_3565',['PyRunner',['../classtvm_1_1meta__schedule_1_1Runner.html#add24ccb3a7663931340306e0068aec2c',1,'tvm::meta_schedule::Runner']]],
+  ['pyrunnernode_3566',['PyRunnerNode',['../classtvm_1_1meta__schedule_1_1PyRunnerNode.html',1,'tvm::meta_schedule']]],
+  ['pyschedulerule_3567',['PyScheduleRule',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#ac812a72ff2ad145247b0f9dc7954340d',1,'tvm::meta_schedule::ScheduleRule']]],
+  ['pyschedulerulenode_3568',['PyScheduleRuleNode',['../classtvm_1_1meta__schedule_1_1PyScheduleRuleNode.html',1,'tvm::meta_schedule']]],
+  ['pysearchstrategy_3569',['PySearchStrategy',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html#a3e05827620a061564da12e7c6f7f7095',1,'tvm::meta_schedule::SearchStrategy']]],
+  ['pysearchstrategynode_3570',['PySearchStrategyNode',['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html',1,'tvm::meta_schedule']]],
+  ['pyspacegenerator_3571',['PySpaceGenerator',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a5d4f99dd857ddd38dddff24c94916006',1,'tvm::meta_schedule::SpaceGenerator']]],
+  ['pyspacegeneratornode_3572',['PySpaceGeneratorNode',['../classtvm_1_1meta__schedule_1_1PySpaceGeneratorNode.html',1,'tvm::meta_schedule']]],
+  ['pytaskscheduler_3573',['PyTaskScheduler',['../classtvm_1_1meta__schedule_1_1TaskScheduler.html#a3ddd3992d1dc11716f6f02cca77b7372',1,'tvm::meta_schedule::TaskScheduler']]],
+  ['pytaskschedulernode_3574',['PyTaskSchedulerNode',['../classtvm_1_1meta__schedule_1_1PyTaskSchedulerNode.html',1,'tvm::meta_schedule']]],
+  ['pythonbasedmeasurecallback_3575',['PythonBasedMeasureCallback',['../classtvm_1_1auto__scheduler_1_1PythonBasedMeasureCallback.html#a38db53470ab1e264f708e39429555f6f',1,'tvm::auto_scheduler::PythonBasedMeasureCallback::PythonBasedMeasureCallback()'],['../classtvm_1_1auto__scheduler_1_1PythonBasedMeasureCallback.html',1,'tvm::auto_scheduler::PythonBasedMeasureCallback']]],
+  ['pythonbasedmeasurecallbacknode_3576',['PythonBasedMeasureCallbackNode',['../classtvm_1_1auto__scheduler_1_1PythonBasedMeasureCallbackNode.html',1,'tvm::auto_scheduler']]],
+  ['pythonbasedmodel_3577',['PythonBasedModel',['../classtvm_1_1auto__scheduler_1_1PythonBasedModel.html#a71f2459b8b36261180c608e0d2acaeae',1,'tvm::auto_scheduler::PythonBasedModel::PythonBasedModel()'],['../classtvm_1_1auto__scheduler_1_1PythonBasedModel.html',1,'tvm::auto_scheduler::PythonBasedModel']]],
+  ['pythonbasedmodelnode_3578',['PythonBasedModelNode',['../classtvm_1_1auto__scheduler_1_1PythonBasedModelNode.html',1,'tvm::auto_scheduler']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_12.js b/docs/reference/api/doxygen/search/all_12.js
index 2ba543177c..c838aa7159 100644
--- a/docs/reference/api/doxygen/search/all_12.js
+++ b/docs/reference/api/doxygen/search/all_12.js
@@ -1,8 +1,8 @@
 var searchData=
 [
-  ['q_5fmultiply_5fshift_3577',['q_multiply_shift',['../namespacetvm_1_1tir_1_1builtin.html#a0c2ebdcec34d7c79dc8480e5dab8547a',1,'tvm::tir::builtin::q_multiply_shift()'],['../namespacetvm.html#ac788f9eb54a8971596779537afc6c896',1,'tvm::q_multiply_shift()']]],
-  ['quantizeattrs_3578',['QuantizeAttrs',['../structtvm_1_1relay_1_1qnn_1_1QuantizeAttrs.html',1,'tvm::relay::qnn']]],
-  ['queryirmodule_3579',['QueryIRModule',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#aeb4101db551afa93ea144b9b173783a0',1,'tvm::meta_schedule::DatabaseNode::QueryIRModule()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a4a21df0e4369b208e8d0332c0dcdfee3',1,'tvm::meta_schedule::PyDatabaseNode::QueryIRModule()']]],
-  ['queryschedule_3580',['QuerySchedule',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#a638febf77b9cb7590d6babb28a97a020',1,'tvm::meta_schedule::DatabaseNode::QuerySchedule()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a340ce2715f3f9be3ded8a4560a45f5d3',1,'tvm::meta_schedule::PyDatabaseNode::QuerySchedule()']]],
-  ['querytuningrecord_3581',['QueryTuningRecord',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#adb5dd2d61af2ac335d68b402c057d612',1,'tvm::meta_schedule::DatabaseNode::QueryTuningRecord()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a76186192f9e7e52d8c9f1e3b53fe0e60',1,'tvm::meta_schedule::PyDatabaseNode::QueryTuningRecord()']]]
+  ['q_5fmultiply_5fshift_3579',['q_multiply_shift',['../namespacetvm_1_1tir_1_1builtin.html#a0c2ebdcec34d7c79dc8480e5dab8547a',1,'tvm::tir::builtin::q_multiply_shift()'],['../namespacetvm.html#ac788f9eb54a8971596779537afc6c896',1,'tvm::q_multiply_shift()']]],
+  ['quantizeattrs_3580',['QuantizeAttrs',['../structtvm_1_1relay_1_1qnn_1_1QuantizeAttrs.html',1,'tvm::relay::qnn']]],
+  ['queryirmodule_3581',['QueryIRModule',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#aeb4101db551afa93ea144b9b173783a0',1,'tvm::meta_schedule::DatabaseNode::QueryIRModule()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a4a21df0e4369b208e8d0332c0dcdfee3',1,'tvm::meta_schedule::PyDatabaseNode::QueryIRModule()']]],
+  ['queryschedule_3582',['QuerySchedule',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#a638febf77b9cb7590d6babb28a97a020',1,'tvm::meta_schedule::DatabaseNode::QuerySchedule()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a340ce2715f3f9be3ded8a4560a45f5d3',1,'tvm::meta_schedule::PyDatabaseNode::QuerySchedule()']]],
+  ['querytuningrecord_3583',['QueryTuningRecord',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#adb5dd2d61af2ac335d68b402c057d612',1,'tvm::meta_schedule::DatabaseNode::QueryTuningRecord()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a76186192f9e7e52d8c9f1e3b53fe0e60',1,'tvm::meta_schedule::PyDatabaseNode::QueryTuningRecord()']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_13.js b/docs/reference/api/doxygen/search/all_13.js
index 7deb9a8467..eff446ef77 100644
--- a/docs/reference/api/doxygen/search/all_13.js
+++ b/docs/reference/api/doxygen/search/all_13.js
@@ -1,293 +1,292 @@
 var searchData=
 [
-  ['inplacearraybase_3c_20smallmapnode_2c_20mapnode_3a_3akvtype_20_3e_3582',['InplaceArrayBase&lt; SmallMapNode, MapNode::KVType &gt;',['../classtvm_1_1runtime_1_1SmallMapNode.html#ac34d5957634812ed6f022af20a049c24',1,'tvm::runtime::SmallMapNode']]],
-  ['objallocatorbase_3583',['ObjAllocatorBase',['../classtvm_1_1relay_1_1LetNode.html#a6a52011a5ae07f79856556b25dd1a040',1,'tvm::relay::LetNode::ObjAllocatorBase()'],['../classtvm_1_1relay_1_1CallNode.html#a6a52011a5ae07f79856556b25dd1a040',1,'tvm::relay::CallNode::ObjAllocatorBase()']]],
-  ['packedfuncvalueconverter_3c_20primexpr_20_3e_3584',['PackedFuncValueConverter&lt; PrimExpr &gt;',['../classtvm_1_1PrimExpr.html#ae839d1eaebf8cde84eb37772af36352c',1,'tvm::PrimExpr']]],
-  ['ramp_3585',['Ramp',['../classtvm_1_1tir_1_1Ramp.html#ad5a1ca5d32edf9e016e03366381bc693',1,'tvm::tir::Ramp::Ramp()'],['../classtvm_1_1tir_1_1Ramp.html',1,'tvm::tir::Ramp']]],
-  ['rampnode_3586',['RampNode',['../classtvm_1_1tir_1_1RampNode.html',1,'tvm::tir']]],
-  ['rand_5fstate_3587',['rand_state',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#a3032995b1e84a6396b42ba74f573b6b3',1,'tvm::meta_schedule::TuneContextNode']]],
-  ['random_2eh_3588',['random.h',['../random_8h.html',1,'']]],
-  ['random_5fengine_2eh_3589',['random_engine.h',['../random__engine_8h.html',1,'']]],
-  ['random_5fnumber_5ffunc_3590',['random_number_func',['../classtvm_1_1auto__scheduler_1_1RandomModelNode.html#a983ca23a9dad4db927c2c5787bcbb34e',1,'tvm::auto_scheduler::RandomModelNode']]],
-  ['randomcomputelocation_3591',['RandomComputeLocation',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a1bf485537817533eaf711226f687778c',1,'tvm::meta_schedule::ScheduleRule']]],
-  ['randommodel_3592',['RandomModel',['../classtvm_1_1auto__scheduler_1_1RandomModel.html#aa456abf1dc91cbf76935189424d8954f',1,'tvm::auto_scheduler::RandomModel::RandomModel()'],['../classtvm_1_1auto__scheduler_1_1RandomModel.html#ac2b355e61135f2ff57d4f96fe2fba845',1,'tvm::auto_scheduler::RandomModel::RandomModel(::tvm::runtime::ObjectPtr&lt;::tvm::runtime::Object &gt; n)'],['../classtvm_1_1auto__scheduler_1_1RandomModel.html',1,'tvm::auto_scheduler::RandomModel']]],
-  ['randommodelnode_3593',['RandomModelNode',['../classtvm_1_1auto__scheduler_1_1RandomModelNode.html',1,'tvm::auto_scheduler']]],
-  ['range_3594',['range',['../classtvm_1_1auto__scheduler_1_1IteratorNode.html#a2751c3164971b3154ffc506e3aebaf91',1,'tvm::auto_scheduler::IteratorNode']]],
-  ['range_3595',['Range',['../classtvm_1_1Range.html#a9d58cccc53897fee0c80ab1437da1f0f',1,'tvm::Range::Range()'],['../classtvm_1_1Range.html',1,'tvm::Range']]],
-  ['rangenode_3596',['RangeNode',['../classtvm_1_1RangeNode.html#a4bbc33969cb484c20306da1d2b9fa1fd',1,'tvm::RangeNode::RangeNode(PrimExpr min, PrimExpr extent, Span span=Span())'],['../classtvm_1_1RangeNode.html#ab845f7ed4ed85e360b730df3450d1aab',1,'tvm::RangeNode::RangeNode()'],['../classtvm_1_1RangeNode.html',1,'tvm::RangeNode']]],
-  ['ranges_3597',['ranges',['../classtvm_1_1arith_1_1IntConstraintsNode.html#ab23d4d806766c88b0df69dbfb5ebd63c',1,'tvm::arith::IntConstraintsNode']]],
-  ['rate_3598',['rate',['../structtvm_1_1relay_1_1DropoutAttrs.html#a0b5a52c24a1be53dbb122a1df9fe22af',1,'tvm::relay::DropoutAttrs']]],
-  ['ratio_3599',['ratio',['../classtvm_1_1runtime_1_1profiling_1_1RatioNode.html#ab7a6874e67a1b663463f59ba3ede162c',1,'tvm::runtime::profiling::RatioNode']]],
-  ['rationode_3600',['RatioNode',['../classtvm_1_1runtime_1_1profiling_1_1RatioNode.html#a8808b20c12329610043b3017d6118ad7',1,'tvm::runtime::profiling::RatioNode::RatioNode()'],['../classtvm_1_1runtime_1_1profiling_1_1RatioNode.html',1,'tvm::runtime::profiling::RatioNode']]],
-  ['ratios_3601',['ratios',['../structtvm_1_1relay_1_1ProposalAttrs.html#ad209fd15e12d634ae07ac05b63d54850',1,'tvm::relay::ProposalAttrs::ratios()'],['../structtvm_1_1relay_1_1MultiBoxPriorAttrs.html#af674c8ba5ac7021827987bca3e1e4b1a',1,'tvm::relay::MultiBoxPriorAttrs::ratios()']]],
-  ['ravel_5funravel_2eh_3602',['ravel_unravel.h',['../ravel__unravel_8h.html',1,'']]],
-  ['raw_5fpointer_3603',['raw_pointer',['../classtvm_1_1runtime_1_1WrappedPythonObject.html#a3f8dd422ed2ce53e8da2e4af6a449660',1,'tvm::runtime::WrappedPythonObject']]],
-  ['rbegin_3604',['rbegin',['../classtvm_1_1runtime_1_1Array.html#af3f2fbd0d476ae66fe526f0685b7cb6c',1,'tvm::runtime::Array']]],
-  ['read_3605',['Read',['../structdmlc_1_1serializer_1_1Handler_3_01DLDevice_01_4.html#a19751cd9071d099600509d2daedf2c2e',1,'dmlc::serializer::Handler&lt; DLDevice &gt;::Read()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#a6e72ad744b4ef096599eecfb493a2ada',1,'tvm::runtime::micro_rpc::FrameBuffer::Read()'],['../structdmlc_1_1serializer_1_1Handler_3_01DLDataType_01_4.html#a98596da667d1aad2708015f5b15c35d8',1,'dmlc::serializer::Handler&lt; DLDataType &gt;::Read()']]],
-  ['read_5fbandwidth_5fbytes_5fper_5fcycle_3606',['read_bandwidth_bytes_per_cycle',['../structtvm_1_1PoolInfoPropertiesNode.html#af68c3b0893a38f5732849049abc9f5dd',1,'tvm::PoolInfoPropertiesNode::read_bandwidth_bytes_per_cycle()'],['../structtvm_1_1PoolInfoNode.html#a0bdcda7767b88b67bf43320d8012b682',1,'tvm::PoolInfoNode::read_bandwidth_bytes_per_cycle()']]],
-  ['read_5fby_3607',['read_by',['../classtvm_1_1auto__scheduler_1_1AccessAnalyzerNode.html#a6f42c7270313500162a8fb668fd45349',1,'tvm::auto_scheduler::AccessAnalyzerNode']]],
-  ['read_5ffrom_3608',['read_from',['../classtvm_1_1auto__scheduler_1_1AccessAnalyzerNode.html#a1123185e37f2be1e6917054f86517c2d',1,'tvm::auto_scheduler::AccessAnalyzerNode']]],
-  ['read_5flatency_5fcycles_3609',['read_latency_cycles',['../structtvm_1_1PoolInfoNode.html#a14108ba60cbc4404014ae13e12399182',1,'tvm::PoolInfoNode::read_latency_cycles()'],['../structtvm_1_1PoolInfoPropertiesNode.html#ad1a7d2d59d20c9a7761e548fcb6545f6',1,'tvm::PoolInfoPropertiesNode::read_latency_cycles()']]],
-  ['readat_3610',['ReadAt',['../classtvm_1_1tir_1_1ScheduleNode.html#a2f454daf29e582a65ffe361e958122df',1,'tvm::tir::ScheduleNode']]],
-  ['readavailable_3611',['ReadAvailable',['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#a3756ff0fca80f9e25576f9748e1f6db2',1,'tvm::runtime::micro_rpc::FrameBuffer']]],
-  ['reader_5fstage_5fids_3612',['reader_stage_ids',['../classtvm_1_1auto__scheduler_1_1CacheReadStepNode.html#a04685c3b15f4b7d885ac63f28a7cb3a6',1,'tvm::auto_scheduler::CacheReadStepNode']]],
-  ['readlines_3613',['ReadLines',['../classtvm_1_1auto__scheduler_1_1RecordReaderNode.html#ad32c4b46cc427da8da0524e4ca3a65b0',1,'tvm::auto_scheduler::RecordReaderNode']]],
-  ['readmeasurerecord_3614',['ReadMeasureRecord',['../namespacetvm_1_1auto__scheduler.html#a2d254ece2b7462cda8b0871749810841',1,'tvm::auto_scheduler']]],
-  ['readnext_3615',['ReadNext',['../classtvm_1_1auto__scheduler_1_1RecordReaderNode.html#a5c336433a578430972bd5bfdc5677a4a',1,'tvm::auto_scheduler::RecordReaderNode']]],
-  ['readregister_3616',['ReadRegister',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a3caf2fbd01a9160d7a68982df566d2d4',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['reads_3617',['reads',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockFrameNode.html#acf1c9ac1eec92cbf916f2177481146fb',1,'tvm::script::ir_builder::tir::BlockFrameNode::reads()'],['../classtvm_1_1tir_1_1BlockNode.html#a31f787d560277fad82ae8713e35ce960',1,'tvm::tir::BlockNode::reads()']]],
-  ['reads_3618',['Reads',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#abd510372ad7140fa5b627c50251cd6c3',1,'tvm::script::ir_builder::tir']]],
-  ['realize_3619',['Realize',['../classtvm_1_1relay_1_1TempExprNode.html#a96ae81e7b4eac7ff967ff14b43aaba87',1,'tvm::relay::TempExprNode::Realize()'],['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a2e665563a64bcb339d7707bd5758ae89',1,'tvm::script::ir_builder::tir::Realize()']]],
-  ['realize_5fscope_3620',['realize_scope',['../namespacetvm_1_1tir_1_1attr.html#acf70bbdafa7344811d336422bf95cdc5',1,'tvm::tir::attr']]],
-  ['realizeframe_3621',['RealizeFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1RealizeFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['realizeframenode_3622',['RealizeFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1RealizeFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['rebase_3623',['Rebase',['../classtvm_1_1te_1_1Rebase.html#a9d58c0171fa9ebd37c42c78f40bdb1a7',1,'tvm::te::Rebase::Rebase()'],['../classtvm_1_1te_1_1Rebase.html',1,'tvm::te::Rebase']]],
-  ['rebased_3624',['rebased',['../classtvm_1_1te_1_1RebaseNode.html#a3e718d8fd2ae0f2d76b794e6dfa303f0',1,'tvm::te::RebaseNode']]],
-  ['rebasenode_3625',['RebaseNode',['../classtvm_1_1te_1_1RebaseNode.html',1,'tvm::te']]],
-  ['recclosure_3626',['RecClosure',['../classtvm_1_1relay_1_1RecClosure.html#ad00197077c4b1f91211cdef2d79f01d1',1,'tvm::relay::RecClosure::RecClosure()'],['../classtvm_1_1relay_1_1RecClosure.html',1,'tvm::relay::RecClosure']]],
-  ['recclosureobj_3627',['RecClosureObj',['../classtvm_1_1relay_1_1RecClosureObj.html#a8dded0ea6f2775cd75b697e11497c29d',1,'tvm::relay::RecClosureObj::RecClosureObj()'],['../classtvm_1_1relay_1_1RecClosureObj.html',1,'tvm::relay::RecClosureObj']]],
-  ['receiver_3628',['Receiver',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a4d068350809f475e3ffeefee0197d6c4',1,'tvm::runtime::micro_rpc::Session']]],
-  ['record_5fprefix_5fstr_3629',['record_prefix_str',['../classtvm_1_1auto__scheduler_1_1CacheWriteStepNode.html#ac94c307899e152b7803ed4d1b0938952',1,'tvm::auto_scheduler::CacheWriteStepNode::record_prefix_str()'],['../classtvm_1_1auto__scheduler_1_1RfactorStepNode.html#a2dfae6a07aa81480f5b9d8b695f415a6',1,'tvm::auto_scheduler::RfactorStepNode::record_prefix_str()'],['../classtvm_1_1auto__scheduler_1_1CacheReadStepNode.html#a65fdfda841c8dbd7b48e792721ee3a8a',1,'tvm::auto_scheduler::Cache [...]
-  ['recordmismatchpaths_3630',['RecordMismatchPaths',['../classtvm_1_1SEqualReducer.html#a9d7dd06f0cb2ebf44a2e8938aae0ad7e',1,'tvm::SEqualReducer']]],
-  ['recordreader_3631',['RecordReader',['../classtvm_1_1auto__scheduler_1_1RecordReader.html#a37bd4d69ea3098678c40c1ddd474ea6e',1,'tvm::auto_scheduler::RecordReader::RecordReader()'],['../classtvm_1_1auto__scheduler_1_1RecordReader.html',1,'tvm::auto_scheduler::RecordReader']]],
-  ['recordreadernode_3632',['RecordReaderNode',['../classtvm_1_1auto__scheduler_1_1RecordReaderNode.html',1,'tvm::auto_scheduler']]],
-  ['recordtofile_3633',['RecordToFile',['../classtvm_1_1auto__scheduler_1_1RecordToFile.html#a66f2e5f70b3058a9491ffbbbd4a05f0c',1,'tvm::auto_scheduler::RecordToFile::RecordToFile()'],['../classtvm_1_1auto__scheduler_1_1RecordToFile.html',1,'tvm::auto_scheduler::RecordToFile']]],
-  ['recordtofilenode_3634',['RecordToFileNode',['../classtvm_1_1auto__scheduler_1_1RecordToFileNode.html',1,'tvm::auto_scheduler']]],
-  ['recursivelyfillspan_3635',['RecursivelyFillSpan',['../classtvm_1_1SIBuilder.html#afd50ab341a728f687cc5c4ac215ee73b',1,'tvm::SIBuilder::RecursivelyFillSpan(const T &amp;entry, const std::unordered_set&lt; T, ObjectPtrHash, ObjectPtrEqual &gt; &amp;inputs) const'],['../classtvm_1_1SIBuilder.html#ab8ba6408c4d890b7de0060d5315027ac',1,'tvm::SIBuilder::RecursivelyFillSpan(const tir::Stmt &amp;entry, const std::unordered_set&lt; PrimExpr, ObjectPtrHash, ObjectPtrEqual &gt; &amp;inputs) cons [...]
-  ['redirect_5fto_3636',['redirect_to',['../classtvm_1_1relay_1_1WildcardPattern.html#ab112eb3a81c9b3ae6c38bcdc85d0f542',1,'tvm::relay::WildcardPattern']]],
-  ['reduce_3637',['Reduce',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html#a7ced54c699ab9665053615d5c6355ffa',1,'tvm::script::ir_builder::tir::axis::Reduce()'],['../classtvm_1_1tir_1_1Reduce.html#a91b058085155b5a548226bcd9feced03',1,'tvm::tir::Reduce::Reduce()'],['../classtvm_1_1tir_1_1Reduce.html',1,'tvm::tir::Reduce']]],
-  ['reduce_2eh_3638',['reduce.h',['../reduce_8h.html',1,'']]],
-  ['reduce_5faxis_3639',['reduce_axis',['../namespacetvm_1_1te.html#aae384e9b73c2271905486e4a74b69265',1,'tvm::te::reduce_axis()'],['../classtvm_1_1te_1_1BaseComputeOpNode.html#ad0df643468fc148d80afd7116abdd2ac',1,'tvm::te::BaseComputeOpNode::reduce_axis()'],['../classtvm_1_1te_1_1TensorIntrinCallNode.html#a3bd0fd4dc7145a22d38d62037838ccfe',1,'tvm::te::TensorIntrinCallNode::reduce_axis()']]],
-  ['reduce_5finit_3640',['reduce_init',['../classtvm_1_1te_1_1TensorIntrinNode.html#a63b22c1a14e6ce3bdc2900019600efee',1,'tvm::te::TensorIntrinNode']]],
-  ['reduce_5fscope_3641',['reduce_scope',['../namespacetvm_1_1tir_1_1attr.html#a50fc9633b9cfbcefa2393dbb2ece1846',1,'tvm::tir::attr']]],
-  ['reduce_5fupdate_3642',['reduce_update',['../classtvm_1_1te_1_1TensorIntrinNode.html#a8d4a891a69aec271eca1c57b16030755',1,'tvm::te::TensorIntrinNode']]],
-  ['reduceattrs_3643',['ReduceAttrs',['../structtvm_1_1relay_1_1ReduceAttrs.html',1,'tvm::relay']]],
-  ['reducenode_3644',['ReduceNode',['../classtvm_1_1tir_1_1ReduceNode.html',1,'tvm::tir']]],
-  ['reduction_3645',['reduction',['../structtvm_1_1relay_1_1ScatterElementsAttrs.html#a7117d5569f809ba9b9496bc41ba94119',1,'tvm::relay::ScatterElementsAttrs::reduction()'],['../structtvm_1_1relay_1_1NLLLossAttrs.html#a45d62f7da0c849f0737a3d73ac4ba975',1,'tvm::relay::NLLLossAttrs::reduction()']]],
-  ['reduction_2eh_3646',['reduction.h',['../cuda_2reduction_8h.html',1,'(Global Namespace)'],['../reduction_8h.html',1,'(Global Namespace)'],['../rocm_2reduction_8h.html',1,'(Global Namespace)']]],
-  ['ref_3647',['ref',['../classtvm_1_1relay_1_1RefWriteNode.html#a5db6e4ad0056f5d15ffc69f6b7bdd68a',1,'tvm::relay::RefWriteNode::ref()'],['../classtvm_1_1relay_1_1RefReadNode.html#ae61d2b27d1102f26f2300aad1ae168a7',1,'tvm::relay::RefReadNode::ref()']]],
-  ['ref_5fcounter_5f_3648',['ref_counter_',['../classtvm_1_1runtime_1_1Object.html#a0d492efee331e2239a093f4b2017c10f',1,'tvm::runtime::Object']]],
-  ['refcountertype_3649',['RefCounterType',['../classtvm_1_1runtime_1_1Object.html#a55549a6c23987890246248682560a03d',1,'tvm::runtime::Object']]],
-  ['refcreate_3650',['RefCreate',['../classtvm_1_1relay_1_1RefCreate.html#ae4d23ea5efe119f3f191162bcfeddeb2',1,'tvm::relay::RefCreate::RefCreate()'],['../classtvm_1_1relay_1_1RefCreate.html',1,'tvm::relay::RefCreate']]],
-  ['refcreatenode_3651',['RefCreateNode',['../classtvm_1_1relay_1_1RefCreateNode.html',1,'tvm::relay']]],
-  ['reference_3652',['reference',['../classtvm_1_1runtime_1_1IterAdapter.html#a5fe6cfc0f51ecc13f40796d626cdbfd5',1,'tvm::runtime::IterAdapter::reference()'],['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#a0e19189278a3986380ce021cac7ceded',1,'tvm::runtime::ReverseIterAdapter::reference()'],['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#abc5b09553663c05b863c4a406a343c92',1,'tvm::runtime::MapNode::iterator::reference()'],['../classtvm_1_1runtime_1_1Map_1_1iterator.html#ae7e2ecfde [...]
-  ['reflection_2eh_3653',['reflection.h',['../reflection_8h.html',1,'']]],
-  ['reflectiontrait_3654',['ReflectionTrait',['../structtvm_1_1detail_1_1ReflectionTrait.html',1,'tvm::detail']]],
-  ['reflectionvtable_3655',['ReflectionVTable',['../classtvm_1_1ReflectionVTable.html',1,'tvm']]],
-  ['refread_3656',['RefRead',['../classtvm_1_1relay_1_1RefRead.html#ae00e55b7051c34f3f2a57f4566913071',1,'tvm::relay::RefRead::RefRead()'],['../classtvm_1_1relay_1_1RefRead.html',1,'tvm::relay::RefRead']]],
-  ['refreadnode_3657',['RefReadNode',['../classtvm_1_1relay_1_1RefReadNode.html',1,'tvm::relay']]],
-  ['refvalue_3658',['RefValue',['../classtvm_1_1relay_1_1RefValue.html#a00145f9fe1eaf86bfecdbf3c2aac0b0c',1,'tvm::relay::RefValue::RefValue()'],['../classtvm_1_1relay_1_1RefValue.html',1,'tvm::relay::RefValue']]],
-  ['refvalueobj_3659',['RefValueObj',['../structtvm_1_1relay_1_1RefValueObj.html#ab78c67945509950f453e51c6f9ddeb96',1,'tvm::relay::RefValueObj::RefValueObj()'],['../structtvm_1_1relay_1_1RefValueObj.html',1,'tvm::relay::RefValueObj']]],
-  ['refwrite_3660',['RefWrite',['../classtvm_1_1relay_1_1RefWrite.html#ab6d1e69a58b59c18535ca3624ea1c89f',1,'tvm::relay::RefWrite::RefWrite()'],['../classtvm_1_1relay_1_1RefWrite.html',1,'tvm::relay::RefWrite']]],
-  ['refwritenode_3661',['RefWriteNode',['../classtvm_1_1relay_1_1RefWriteNode.html',1,'tvm::relay']]],
-  ['region_3662',['Region',['../namespacetvm_1_1tir.html#a8277e2a3d81a80a4776705673df51e0a',1,'tvm::tir']]],
-  ['region_3663',['region',['../classtvm_1_1tir_1_1BufferRegionNode.html#ae5713a8e3be26e0814ae53b573d8efd2',1,'tvm::tir::BufferRegionNode']]],
-  ['region_5fcover_3664',['region_cover',['../structtvm_1_1tir_1_1BlockInfo.html#acad11c72a22380e65695be584f294d4e',1,'tvm::tir::BlockInfo']]],
-  ['regions_3665',['regions',['../classtvm_1_1te_1_1TensorIntrinCallNode.html#a4c934a6d85bf27b3263ad0d79fb17144',1,'tvm::te::TensorIntrinCallNode']]],
-  ['register_3666',['Register',['../classtvm_1_1ReflectionVTable.html#a775a9d85f429302c05e06ddc64eb9456',1,'tvm::ReflectionVTable::Register()'],['../classtvm_1_1runtime_1_1Registry.html#a838a8aa1c2b4a444e92f5028a07aed2b',1,'tvm::runtime::Registry::Register()'],['../classtvm_1_1tir_1_1TensorIntrin.html#ab7d377d5e592ad6784972cd9f097dedf',1,'tvm::tir::TensorIntrin::Register()']]],
-  ['register_5ffile_3667',['register_file',['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html#a583dcf6c0ed3f3d2916679382c7a0775',1,'tvm::runtime::vm::VMFrame']]],
-  ['register_5ffile_5fsize_3668',['register_file_size',['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html#a5b910095e5c2826cf57793afb4f2078a',1,'tvm::runtime::vm::VMFunction']]],
-  ['register_5ffunc_3669',['register_func',['../classtvm_1_1GenericFunc.html#a2a5ef71cd38881b0ef73efa8f0b5fdc8',1,'tvm::GenericFunc']]],
-  ['registerconfigoption_3670',['RegisterConfigOption',['../classtvm_1_1transform_1_1PassContext.html#a6f1d1040cc97320414b4690203f87919',1,'tvm::transform::PassContext']]],
-  ['registergenericfunc_3671',['RegisterGenericFunc',['../classtvm_1_1GenericFunc.html#a909acecbf2f34f847a34e587a4570dce',1,'tvm::GenericFunc']]],
-  ['registerorget_3672',['RegisterOrGet',['../classtvm_1_1OpRegEntry.html#a39a4d3e7f905eb4e29ca464bcedb05bd',1,'tvm::OpRegEntry::RegisterOrGet()'],['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#abaf56cccdcccab83e91c0284096d8314',1,'tvm::tir::InstructionKindRegEntry::RegisterOrGet()'],['../classtvm_1_1TargetKindRegEntry.html#a478c1bd27f0b8dd1b95c58808f8d0c70',1,'tvm::TargetKindRegEntry::RegisterOrGet()'],['../classtvm_1_1TargetTagRegEntry.html#a07e0631600484dc0985ca62b1620461c',1,'t [...]
-  ['registry_3673',['registry',['../structTVMMutableFuncRegistry.html#acc1fcd6554c627c1bf3b3c00e1120e9b',1,'TVMMutableFuncRegistry']]],
-  ['registry_3674',['Registry',['../classtvm_1_1ReflectionVTable_1_1Registry.html#ac8f4637640aa9dffed745303a4cfa827',1,'tvm::ReflectionVTable::Registry']]],
-  ['registry_3675',['registry',['../structTVMModule.html#a6db21005b9e983207b341e65af4c4ab7',1,'TVMModule']]],
-  ['registry_3676',['Registry',['../classtvm_1_1ReflectionVTable_1_1Registry.html',1,'tvm::ReflectionVTable::Registry'],['../classtvm_1_1runtime_1_1Registry.html',1,'tvm::runtime::Registry']]],
-  ['registry_2eh_3677',['registry.h',['../registry_8h.html',1,'']]],
-  ['regname_3678',['RegName',['../namespacetvm_1_1runtime_1_1vm.html#a3bbbf700719e9dc3dda2bc25210c18ae',1,'tvm::runtime::vm']]],
-  ['regularnonmaximumsuppressionattrs_3679',['RegularNonMaximumSuppressionAttrs',['../structtvm_1_1relay_1_1RegularNonMaximumSuppressionAttrs.html',1,'tvm::relay']]],
-  ['reindex_3680',['ReIndex',['../classtvm_1_1tir_1_1ScheduleNode.html#a9e36a8a0e37a76e55068dd534e28c8c5',1,'tvm::tir::ScheduleNode']]],
-  ['reindexcacheread_3681',['ReindexCacheRead',['../classtvm_1_1tir_1_1ScheduleNode.html#a2625f87c74fe0cbc95006c763bb172b3',1,'tvm::tir::ScheduleNode']]],
-  ['reindexcachewrite_3682',['ReindexCacheWrite',['../classtvm_1_1tir_1_1ScheduleNode.html#af3983a7f5d094529049d83ce22d7b729',1,'tvm::tir::ScheduleNode']]],
-  ['reinterpret_3683',['reinterpret',['../namespacetvm_1_1tir_1_1builtin.html#a7b555bc5cca2f5e7b26c1037bc0001ce',1,'tvm::tir::builtin']]],
-  ['reinterpret_3684',['Reinterpret',['../classtvm_1_1BaseValueHash.html#a5ca1332d212969bb1bf6c865d5703b39',1,'tvm::BaseValueHash']]],
-  ['reinterpret_3685',['reinterpret',['../namespacetvm_1_1topi.html#a25239505894bdae140e53f4abc146f92',1,'tvm::topi::reinterpret()'],['../namespacetvm.html#a34084606675cd2c73c6b0f10e1618280',1,'tvm::reinterpret()']]],
-  ['relations_3686',['relations',['../classtvm_1_1arith_1_1IntConstraintsNode.html#a078c29fba655311710227460312e78b5',1,'tvm::arith::IntConstraintsNode::relations()'],['../classtvm_1_1te_1_1StageNode.html#ad1c0f8dc1f0f406a2abcd05fdad8fad5',1,'tvm::te::StageNode::relations()']]],
-  ['relay_5fdebug_3687',['RELAY_DEBUG',['../relay_2base_8h.html#aa85debc943daeee5d755b1eab7c9d108',1,'base.h']]],
-  ['relay_5fdebug_5finterp_3688',['RELAY_DEBUG_INTERP',['../relay_2base_8h.html#afa058e31494092764d9108d5923b81e7',1,'base.h']]],
-  ['relay_5fdfpattern_5ffunctor_5fdispatch_3689',['RELAY_DFPATTERN_FUNCTOR_DISPATCH',['../dataflow__pattern__functor_8h.html#a6fdd29214fd88f479fb7e0835db99217',1,'dataflow_pattern_functor.h']]],
-  ['relay_5fexpr_5ffunctor_5fdispatch_3690',['RELAY_EXPR_FUNCTOR_DISPATCH',['../relay_2expr__functor_8h.html#a3276cc9ffce54170fd990719da56ce05',1,'expr_functor.h']]],
-  ['relay_5fexpr_5frewriter_5fdispatch_3691',['RELAY_EXPR_REWRITER_DISPATCH',['../relay_2expr__functor_8h.html#a24d70e15165d0bc6c640e8beb7db4e9a',1,'expr_functor.h']]],
-  ['relay_5fpattern_5ffunctor_5fdispatch_3692',['RELAY_PATTERN_FUNCTOR_DISPATCH',['../pattern__functor_8h.html#a13148fe77ea6e32c9dbeb05ddc37fa77',1,'pattern_functor.h']]],
-  ['relay_5fregister_5fop_3693',['RELAY_REGISTER_OP',['../relay_2op_8h.html#a058614533a3fb289e0e7d958e90806a8',1,'op.h']]],
-  ['relayexpr_3694',['RelayExpr',['../classtvm_1_1RelayExpr.html',1,'tvm']]],
-  ['relayexprnode_3695',['RelayExprNode',['../classtvm_1_1RelayExprNode.html',1,'tvm']]],
-  ['relaynode_3696',['RelayNode',['../classtvm_1_1relay_1_1RelayNode.html',1,'tvm::relay']]],
-  ['relayreftype_3697',['RelayRefType',['../namespacetvm_1_1relay.html#a13fd39dbc2a639262858e9b72e8fb37f',1,'tvm::relay::RelayRefType()'],['../classtvm_1_1RelayRefType.html#a36d7d0022c0bd3218269356bd368b9fd',1,'tvm::RelayRefType::RelayRefType()'],['../classtvm_1_1RelayRefType.html',1,'tvm::RelayRefType']]],
-  ['relayreftypenode_3698',['RelayRefTypeNode',['../namespacetvm_1_1relay.html#ae665239bd9119c8afb037d47781bf237',1,'tvm::relay::RelayRefTypeNode()'],['../classtvm_1_1RelayRefTypeNode.html#a23840592da71d366e7f7dbaaf42d906d',1,'tvm::RelayRefTypeNode::RelayRefTypeNode()'],['../classtvm_1_1RelayRefTypeNode.html',1,'tvm::RelayRefTypeNode']]],
-  ['relaytotirtargethook_3699',['RelayToTIRTargetHook',['../namespacetvm_1_1relay_1_1transform.html#a153ef0a87fd83343b4855b78e376bd66',1,'tvm::relay::transform']]],
-  ['relu_3700',['relu',['../namespacetvm_1_1topi.html#ae99fdff7b3aaceb091b636b8dadd4f5e',1,'tvm::topi']]],
-  ['remaining_5ftasks_5f_3701',['remaining_tasks_',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#a11935a9326ac14e4e0c41fbb38c9fccf',1,'tvm::meta_schedule::TaskSchedulerNode']]],
-  ['remap_3702',['Remap',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html#adaa90ddfa1661d0b59328e5b65a7a714',1,'tvm::script::ir_builder::tir::axis']]],
-  ['remapthreadaxis_3703',['RemapThreadAxis',['../namespacetvm_1_1tir_1_1transform.html#a25b5de58d543c6786325d87eaad83692',1,'tvm::tir::transform']]],
-  ['remove_3704',['Remove',['../classtvm_1_1runtime_1_1Registry.html#a2500263465a20b0eea77fc298f25a849',1,'tvm::runtime::Registry::Remove()'],['../classtvm_1_1IRModuleNode.html#a1350c7d68665605f9c4f10850f4a90b9',1,'tvm::IRModuleNode::Remove()']]],
-  ['remove_5fdispatch_3705',['remove_dispatch',['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#a2aa93f9f756c15e09e58b35470f8a7d2',1,'tvm::script::printer::IRDocsifierFunctor']]],
-  ['remove_5ffallback_3706',['remove_fallback',['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#a82d3a3b7ef744706df18a4696677b94e',1,'tvm::script::printer::IRDocsifierFunctor']]],
-  ['removebuildartifact_3707',['RemoveBuildArtifact',['../classtvm_1_1meta__schedule_1_1MeasureCallback.html#aefe7cc6f3c245794a1ad03b043c6eb4f',1,'tvm::meta_schedule::MeasureCallback']]],
-  ['removenoop_3708',['RemoveNoOp',['../namespacetvm_1_1tir_1_1transform.html#a8aad1159425e29be796562b2ec629b10',1,'tvm::tir::transform']]],
-  ['removerpcsessionmask_3709',['RemoveRPCSessionMask',['../namespacetvm_1_1runtime.html#af32398517b6b915361c5716f8e32c16f',1,'tvm::runtime']]],
-  ['removerv_3710',['RemoveRV',['../classtvm_1_1tir_1_1ScheduleNode.html#a00fcf343d2bc8f36f170c04e5e29d2dc',1,'tvm::tir::ScheduleNode::RemoveRV(const ExprRV &amp;expr_rv)=0'],['../classtvm_1_1tir_1_1ScheduleNode.html#a7c44d4f4ea662291ccb9d79383b6fefe',1,'tvm::tir::ScheduleNode::RemoveRV(const LoopRV &amp;loop_rv)=0'],['../classtvm_1_1tir_1_1ScheduleNode.html#a70d353bb52f6fa29fedeb90a6ff872d5',1,'tvm::tir::ScheduleNode::RemoveRV(const BlockRV &amp;block_rv)=0']]],
-  ['removestandalonereshapes_3711',['RemoveStandaloneReshapes',['../namespacetvm_1_1relay_1_1transform.html#abf8753e6152a3ce13488eea22827cac9',1,'tvm::relay::transform']]],
-  ['removeunusedfunctions_3712',['RemoveUnusedFunctions',['../namespacetvm_1_1relay_1_1transform.html#afbbf5f3e5ffb775fafb9c48473dbfa24',1,'tvm::relay::transform']]],
-  ['removevar_3713',['RemoveVar',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#ad6bbe086197861a45275303dd5f0db99',1,'tvm::script::printer::IRDocsifierNode']]],
-  ['removeweightlayoutrewriteblock_3714',['RemoveWeightLayoutRewriteBlock',['../namespacetvm_1_1tir_1_1transform.html#a889dbda25befe68a8e8999f3e8ea8dc4',1,'tvm::tir::transform']]],
-  ['renamevariables_3715',['RenameVariables',['../classtvm_1_1tir_1_1IndexMap.html#a883c6f58e4dac5926d1b05516844437b',1,'tvm::tir::IndexMap']]],
-  ['rend_3716',['rend',['../classtvm_1_1runtime_1_1Array.html#a1dda4b706346d1299cea059957e9ee70',1,'tvm::runtime::Array']]],
-  ['render_3717',['Render',['../classtvm_1_1DiagnosticRenderer.html#a186c087a55cedd9f55b56c2925f5a559',1,'tvm::DiagnosticRenderer::Render()'],['../classtvm_1_1DiagnosticContext.html#a118fc9eccb99eb0772013eca507d97eb',1,'tvm::DiagnosticContext::Render()']]],
-  ['renderer_3718',['renderer',['../classtvm_1_1DiagnosticContextNode.html#aea5532b73702d459a53ee0c358607284',1,'tvm::DiagnosticContextNode::renderer()'],['../classtvm_1_1DiagnosticRendererNode.html#a8cb2c50460583e5eeee486cf044adfbe',1,'tvm::DiagnosticRendererNode::renderer()']]],
-  ['rendererrors_3719',['RenderErrors',['../classtvm_1_1relay_1_1ErrorReporter.html#a79a887b4f7e46a0fcc2bb23ede14604c',1,'tvm::relay::ErrorReporter']]],
-  ['renewdefs_3720',['RenewDefs',['../namespacetvm_1_1tir.html#a2e639c81d1c6875ead7764ab8a7cd553',1,'tvm::tir']]],
-  ['renormalizesplitpattern_3721',['RenormalizeSplitPattern',['../namespacetvm_1_1tir_1_1transform.html#a5c670c9efcd740f2f168b62e624c8c57',1,'tvm::tir::transform']]],
-  ['reorder_3722',['Reorder',['../classtvm_1_1tir_1_1ScheduleNode.html#a059229fe0e254961da406807a97f7a3d',1,'tvm::tir::ScheduleNode']]],
-  ['reorder_3723',['reorder',['../classtvm_1_1te_1_1Stage.html#ad96cd240a92df9cafae89cdf2a7e302e',1,'tvm::te::Stage::reorder()'],['../classtvm_1_1auto__scheduler_1_1State.html#a16e95966b46977eff629a5f4f1564533',1,'tvm::auto_scheduler::State::reorder()']]],
-  ['reorderblockitervar_3724',['ReorderBlockIterVar',['../classtvm_1_1tir_1_1ScheduleNode.html#a3c3024de7f2da68069e593bb8ad64f7f',1,'tvm::tir::ScheduleNode']]],
-  ['reorderstep_3725',['ReorderStep',['../classtvm_1_1auto__scheduler_1_1ReorderStep.html#a9586534afef3e0f57ab31e8374e70792',1,'tvm::auto_scheduler::ReorderStep::ReorderStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1ReorderStep.html#a83b9dab5f38d5a4d42c6424ba437bc10',1,'tvm::auto_scheduler::ReorderStep::ReorderStep(int stage_id, const Array&lt; Integer &gt; &amp;after_ids)'],['../classtvm_1_1auto__scheduler_1_1ReorderStep.html',1,'tvm::auto_scheduler::ReorderStep']]],
-  ['reorderstepnode_3726',['ReorderStepNode',['../classtvm_1_1auto__scheduler_1_1ReorderStepNode.html',1,'tvm::auto_scheduler']]],
-  ['reorg_3727',['reorg',['../namespacetvm_1_1topi_1_1vision.html#a1014df582489005202c4218e51792314',1,'tvm::topi::vision']]],
-  ['reorg_2eh_3728',['reorg.h',['../reorg_8h.html',1,'']]],
-  ['repeat_3729',['repeat',['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html#a8d5523fcffda00fccd916fbebb4be00a',1,'tvm::auto_scheduler::ProgramRunnerNode::repeat()'],['../namespacetvm_1_1topi.html#afe9f6d9103b2dfbc601bfd2304a4e687',1,'tvm::topi::repeat()']]],
-  ['repeatattrs_3730',['RepeatAttrs',['../structtvm_1_1relay_1_1RepeatAttrs.html',1,'tvm::relay']]],
-  ['repeats_3731',['repeats',['../structtvm_1_1relay_1_1RepeatAttrs.html#ade3005a90c1ff77a1f583c4a7ba898e0',1,'tvm::relay::RepeatAttrs']]],
-  ['replace_3732',['Replace',['../classtvm_1_1tir_1_1ScheduleStateNode.html#afedb2fd6e63f79ff5efe44cf8ecbc545',1,'tvm::tir::ScheduleStateNode']]],
-  ['replaceinputs_3733',['ReplaceInputs',['../classtvm_1_1te_1_1OperationNode.html#a9a876a433cfbe32215c3802ef520016e',1,'tvm::te::OperationNode::ReplaceInputs()'],['../classtvm_1_1te_1_1PlaceholderOpNode.html#a8fe0700318a8292c45faeca6c992bc4e',1,'tvm::te::PlaceholderOpNode::ReplaceInputs()'],['../classtvm_1_1te_1_1ComputeOpNode.html#abd13cd58b1d9754ad708fe47e9379b33',1,'tvm::te::ComputeOpNode::ReplaceInputs()'],['../classtvm_1_1te_1_1TensorComputeOpNode.html#ad71a45eb73a872cd38e865e48008 [...]
-  ['replayandgetdag_3734',['ReplayAndGetDAG',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#aea9cecc5764ed8cdccf29f5a294b6445',1,'tvm::auto_scheduler::ComputeDAG']]],
-  ['replayfunc_3735',['ReplayFunc',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html#a2ed7b2ef9f7236e54bf229f770fc0a87',1,'tvm::meta_schedule::SearchStrategy']]],
-  ['replaytrace_3736',['ReplayTrace',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html#a0f762ffdc65fe713f47eddb23ec331a0',1,'tvm::meta_schedule::SearchStrategy']]],
-  ['report_3737',['Report',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#ada7becdefd8228af76207606a2a36c55',1,'tvm::runtime::profiling::Profiler::Report()'],['../classtvm_1_1runtime_1_1profiling_1_1Report.html#a7a0c4c91a6cb095c98faf5849cdea832',1,'tvm::runtime::profiling::Report::Report()'],['../classtvm_1_1relay_1_1ErrorReporter.html#a7c04a2d68dc26b002e808390a2836961',1,'tvm::relay::ErrorReporter::Report()'],['../classtvm_1_1runtime_1_1profiling_1_1Report.html',1,'tvm::runtime: [...]
-  ['reportat_3738',['ReportAt',['../classtvm_1_1relay_1_1ErrorReporter.html#aa12916de10a3656694a3d3e1f292d414',1,'tvm::relay::ErrorReporter::ReportAt(const GlobalVar &amp;global, const ObjectRef &amp;node, std::stringstream &amp;err)'],['../classtvm_1_1relay_1_1ErrorReporter.html#a6fc41a9428f0b9a71a9e0a9de8d79a66',1,'tvm::relay::ErrorReporter::ReportAt(const GlobalVar &amp;global, const ObjectRef &amp;node, const CompileError &amp;err)']]],
-  ['reportnode_3739',['ReportNode',['../classtvm_1_1runtime_1_1profiling_1_1ReportNode.html',1,'tvm::runtime::profiling']]],
-  ['repr_5fprinter_2eh_3740',['repr_printer.h',['../repr__printer_8h.html',1,'']]],
-  ['reprlegacyprinter_3741',['ReprLegacyPrinter',['../classtvm_1_1ReprLegacyPrinter.html#a8ef152b2cbea60e7658f98bad98bc21d',1,'tvm::ReprLegacyPrinter::ReprLegacyPrinter()'],['../classtvm_1_1ReprLegacyPrinter.html',1,'tvm::ReprLegacyPrinter']]],
-  ['reprprinter_3742',['ReprPrinter',['../classtvm_1_1ReprPrinter.html#a05b878a528f2dec33e28278b17ddeb6b',1,'tvm::ReprPrinter::ReprPrinter()'],['../classtvm_1_1ReprPrinter.html',1,'tvm::ReprPrinter']]],
-  ['reps_3743',['reps',['../structtvm_1_1relay_1_1TileAttrs.html#acac0351f86c23beb264227981424022e',1,'tvm::relay::TileAttrs']]],
-  ['requantizeattrs_3744',['RequantizeAttrs',['../structtvm_1_1relay_1_1qnn_1_1RequantizeAttrs.html',1,'tvm::relay::qnn']]],
-  ['require_5fblock_5fvar_5fbound_5fpredicate_3745',['require_block_var_bound_predicate',['../namespacetvm_1_1tir_1_1attr.html#af1b654a57098d16dd2d08efaa949ffe3',1,'tvm::tir::attr']]],
-  ['require_5ftype_3746',['require_type',['../classtvm_1_1relay_1_1DFPatternCallbackNode.html#acf0cbf5a96eb4c9000b770b7adbd9d32',1,'tvm::relay::DFPatternCallbackNode']]],
-  ['required_3747',['required',['../classtvm_1_1transform_1_1PassInfoNode.html#abc4fdfbc0e6db41ae6296d7b2816b534',1,'tvm::transform::PassInfoNode']]],
-  ['required_5fpass_3748',['required_pass',['../classtvm_1_1transform_1_1PassContextNode.html#a029074685b6cfcc0431098697f2bc927',1,'tvm::transform::PassContextNode']]],
-  ['reserve_3749',['reserve',['../classtvm_1_1runtime_1_1Array.html#a1a7727b86efaf35c58a5198ab1c139c8',1,'tvm::runtime::Array']]],
-  ['reserveglobalvar_3750',['ReserveGlobalVar',['../classtvm_1_1GlobalVarSupplyNode.html#a29185b94238fc62c928346a004c43b16',1,'tvm::GlobalVarSupplyNode']]],
-  ['reservename_3751',['ReserveName',['../classtvm_1_1NameSupplyNode.html#a9feb960ebeeee03fb9c5105655a8da17',1,'tvm::NameSupplyNode']]],
-  ['reset_3752',['Reset',['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#a44ff9650ecca8785e33c25c369d2570a',1,'tvm::runtime::micro_rpc::Framer::Reset()'],['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a73b14ea360a9902c291d5bf6e97636cd',1,'tvm::auto_scheduler::ProgramMeasurerNode::Reset()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html#ae6279154fe70e9eb85937b51e70a4bf8',1,'tvm::runtime::micro_rpc::Unframer::Reset()'],['../classtvm_1_1tir_1_1StmtSRefNode.html# [...]
-  ['reset_3753',['reset',['../classtvm_1_1runtime_1_1NDArray.html#af2a8ccab95d432d1ecad7a389e11bcd3',1,'tvm::runtime::NDArray::reset()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#ac4461465ba0e785794794e0405c96590',1,'tvm::runtime::ObjectPtr::reset()']]],
-  ['reset_5fattr_3754',['reset_attr',['../classtvm_1_1OpRegEntry.html#a67628f8d3d6dea5b0a47e462c06b7790',1,'tvm::OpRegEntry']]],
-  ['resetstatscounters_3755',['ResetStatsCounters',['../classtvm_1_1arith_1_1RewriteSimplifier.html#aef5b3e9793a5b459c9f457efef179e4f',1,'tvm::arith::RewriteSimplifier']]],
-  ['resetthreadpool_3756',['ResetThreadPool',['../namespacetvm_1_1runtime_1_1threading.html#aafdb21c00248ff146b614a7e888b4fd7',1,'tvm::runtime::threading']]],
-  ['reshape_3757',['reshape',['../namespacetvm_1_1topi.html#a3aad65f2505802109ba7d05359ce9005',1,'tvm::topi']]],
-  ['reshape_5ftensor_3758',['reshape_tensor',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a14372a27f134b259b569715aff8726ca',1,'tvm::runtime::vm::Instruction']]],
-  ['reshapeattrs_3759',['ReshapeAttrs',['../structtvm_1_1relay_1_1ReshapeAttrs.html',1,'tvm::relay']]],
-  ['reshapelikeattrs_3760',['ReshapeLikeAttrs',['../structtvm_1_1relay_1_1ReshapeLikeAttrs.html',1,'tvm::relay']]],
-  ['reshapetensor_3761',['ReshapeTensor',['../namespacetvm_1_1runtime_1_1vm.html#a8d8d95ce8d629c7213f2f595917870ecac6aefdb1a98b77723cb8cbd758d8bd30',1,'tvm::runtime::vm::ReshapeTensor()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a78291b55a638084d12269102ec6a2e90',1,'tvm::runtime::vm::Instruction::ReshapeTensor()']]],
-  ['reshapetensorattrs_3762',['ReshapeTensorAttrs',['../structtvm_1_1relay_1_1ReshapeTensorAttrs.html',1,'tvm::relay']]],
-  ['resize_3763',['resize',['../classtvm_1_1runtime_1_1Array.html#a8064044f8928cf3fed003507d65604c7',1,'tvm::runtime::Array']]],
-  ['resize1dattrs_3764',['Resize1DAttrs',['../structtvm_1_1relay_1_1Resize1DAttrs.html',1,'tvm::relay']]],
-  ['resize2dattrs_3765',['Resize2DAttrs',['../structtvm_1_1relay_1_1Resize2DAttrs.html',1,'tvm::relay']]],
-  ['resize3dattrs_3766',['Resize3DAttrs',['../structtvm_1_1relay_1_1Resize3DAttrs.html',1,'tvm::relay']]],
-  ['resolvedependency_3767',['ResolveDependency',['../classtvm_1_1transform_1_1SequentialNode.html#a5549edf77e0a64bd6fcb692603967b8e',1,'tvm::transform::SequentialNode']]],
-  ['result_3768',['Result',['../classtvm_1_1meta__schedule_1_1RunnerFutureNode.html#a1b5438c21c436ce7a864487583fd32b2',1,'tvm::meta_schedule::RunnerFutureNode']]],
-  ['result_3769',['result',['../classtvm_1_1tir_1_1CommReducerNode.html#a7030917568a088215da423fc56882814',1,'tvm::tir::CommReducerNode::result()'],['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderNode.html#ae9bab07b47a5fd7f27576cbcfddab953',1,'tvm::script::ir_builder::IRBuilderNode::result()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#ae0d33229af059c727db2abd3616660e0',1,'tvm::runtime::vm::Instruction::result()']]],
-  ['result_5f_3770',['result_',['../classtvm_1_1detail_1_1AttrsSEqualVisitor.html#aeda3a91f0b2d1a7a9a075828954ff77f',1,'tvm::detail::AttrsSEqualVisitor']]],
-  ['result_5ftype_3771',['result_type',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#ad3446527dc668d6e1b3e753378d4e4d6',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;::result_type()'],['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html#a24d4a3522ee6c4cdeed80dcdcc1424ad',1,'tvm::TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;::result_type()'],['../classtvm_1_1NodeFunctor_3_01R_07cons [...]
-  ['resulttype_3772',['ResultType',['../structtvm_1_1runtime_1_1Array_1_1ValueConverter.html#a0db77cfd8032391d76dffc88eae8e09b',1,'tvm::runtime::Array::ValueConverter']]],
-  ['ret_3773',['Ret',['../namespacetvm_1_1runtime_1_1vm.html#a8d8d95ce8d629c7213f2f595917870ecaa4228a09dd66155de8e93a39245768bd',1,'tvm::runtime::vm']]],
-  ['ret_3774',['ret',['../namespacetvm.html#a0da40d3e210aa3b38a17982a7b7866b8',1,'tvm::ret()'],['../namespacetvm_1_1tir_1_1builtin.html#ae7816fdebd5d56f2145cdf371b756eb4',1,'tvm::tir::builtin::ret()']]],
-  ['ret_3775',['Ret',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a25ec217ce2afe8decb3d92c716e31c83',1,'tvm::runtime::vm::Instruction']]],
-  ['ret_5ftype_3776',['ret_type',['../classtvm_1_1tir_1_1PrimFuncNode.html#aa325068615c301abec6656416cab8e09',1,'tvm::tir::PrimFuncNode::ret_type()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1PrimFuncFrameNode.html#a716400f5d324044ca6b73fe7650eb395',1,'tvm::script::ir_builder::tir::PrimFuncFrameNode::ret_type()'],['../classtvm_1_1relay_1_1FunctionNode.html#a075bcae369d873c24b7887eb7c96da40',1,'tvm::relay::FunctionNode::ret_type()'],['../structtvm_1_1relay_1_1TopKAttrs.html#a5717df [...]
-  ['ret_5fvalue_3777',['ret_value',['../structTVMPackedFunc.html#a9e4f5b78551e27db970d3e5d48f92dcf',1,'TVMPackedFunc']]],
-  ['return_5fcounts_3778',['return_counts',['../structtvm_1_1relay_1_1UniqueAttrs.html#a5ada31d79efbeb340a0cd7d5ca7c1afb',1,'tvm::relay::UniqueAttrs']]],
-  ['return_5findices_3779',['return_indices',['../structtvm_1_1relay_1_1NonMaximumSuppressionAttrs.html#a595087194062b5cce8a36479fa82716b',1,'tvm::relay::NonMaximumSuppressionAttrs']]],
-  ['return_5fregister_5f_3780',['return_register_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#afd0505002da7e7f86f82b2f3325ff127',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['return_5ftype_3781',['return_type',['../classtvm_1_1script_1_1printer_1_1FunctionDocNode.html#a4df963355e51d3604a23b916715831d9',1,'tvm::script::printer::FunctionDocNode']]],
-  ['returndoc_3782',['ReturnDoc',['../classtvm_1_1script_1_1printer_1_1ReturnDoc.html#afcf99665a7639d31b82c6cacc498a49d',1,'tvm::script::printer::ReturnDoc::ReturnDoc()'],['../classtvm_1_1script_1_1printer_1_1ReturnDoc.html',1,'tvm::script::printer::ReturnDoc']]],
-  ['returndocnode_3783',['ReturnDocNode',['../classtvm_1_1script_1_1printer_1_1ReturnDocNode.html',1,'tvm::script::printer']]],
-  ['reverse_5fiterator_3784',['reverse_iterator',['../classtvm_1_1runtime_1_1Array.html#a4886f1509998e380f032896a5afb27b9',1,'tvm::runtime::Array']]],
-  ['reverse_5fsequence_3785',['reverse_sequence',['../namespacetvm_1_1topi.html#ab8ad5eed3079de21c92a7639ed370096',1,'tvm::topi']]],
-  ['reverseattrs_3786',['ReverseAttrs',['../structtvm_1_1relay_1_1ReverseAttrs.html',1,'tvm::relay']]],
-  ['reversecomputeat_3787',['ReverseComputeAt',['../classtvm_1_1tir_1_1ScheduleNode.html#ad75e0424902b06dca23d46807a9a47d5',1,'tvm::tir::ScheduleNode']]],
-  ['reversecomputeinline_3788',['ReverseComputeInline',['../classtvm_1_1tir_1_1ScheduleNode.html#a99c902d903680da14339842dd2fd29c7',1,'tvm::tir::ScheduleNode']]],
-  ['reverseiteradapter_3789',['ReverseIterAdapter',['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#a579235eb3691b76d29b4ae5f178318ef',1,'tvm::runtime::ReverseIterAdapter::ReverseIterAdapter()'],['../classtvm_1_1runtime_1_1ReverseIterAdapter.html',1,'tvm::runtime::ReverseIterAdapter&lt; Converter, TIter &gt;']]],
-  ['reversesequenceattrs_3790',['ReverseSequenceAttrs',['../structtvm_1_1relay_1_1ReverseSequenceAttrs.html',1,'tvm::relay']]],
-  ['rewrite_3791',['Rewrite',['../classtvm_1_1relay_1_1MixedModeMutator.html#a4c93a9094db80cace013ef02e6bcd724',1,'tvm::relay::MixedModeMutator::Rewrite()'],['../classtvm_1_1relay_1_1ExprRewriter.html#a28cebb8decbe035ff95683c45f69e53b',1,'tvm::relay::ExprRewriter::Rewrite()'],['../classtvm_1_1tir_1_1IndexDataTypeNormalizer.html#afc2a6d4cb922111b859d75e3ba43c150',1,'tvm::tir::IndexDataTypeNormalizer::Rewrite()']]],
-  ['rewrite_5f_3792',['Rewrite_',['../classtvm_1_1relay_1_1ExprRewriter.html#a956ed40ff5f64ecef5e6034056184cd7',1,'tvm::relay::ExprRewriter::Rewrite_(const FunctionNode *pre, const Expr &amp;post)'],['../classtvm_1_1relay_1_1ExprRewriter.html#a7f58ff2d4c2a9485d7e2beda28e0df95',1,'tvm::relay::ExprRewriter::Rewrite_(const CallNode *pre, const Expr &amp;post)'],['../classtvm_1_1relay_1_1ExprRewriter.html#a0ce4f1f1a3abf18ee99addd3de09e99e',1,'tvm::relay::ExprRewriter::Rewrite_(const MatchNod [...]
-  ['rewrite_5fonce_3793',['rewrite_once',['../classtvm_1_1relay_1_1DFPatternCallbackNode.html#a6e4c091ba92fee08251d29633da9b8b8',1,'tvm::relay::DFPatternCallbackNode']]],
-  ['rewrite_5fsimplify_3794',['rewrite_simplify',['../classtvm_1_1arith_1_1Analyzer.html#acc86c6e8c04cb0de4ff9d78e769924b2',1,'tvm::arith::Analyzer']]],
-  ['rewriteannotatedops_3795',['RewriteAnnotatedOps',['../namespacetvm_1_1relay_1_1transform.html#a03b053f3d99d5c420ddc8492e6b987bf',1,'tvm::relay::transform::RewriteAnnotatedOps()'],['../namespacetvm_1_1relay.html#a7917e50fbb560a15995e8a617d65d1ab',1,'tvm::relay::RewriteAnnotatedOps()']]],
-  ['rewritecooperativefetch_3796',['RewriteCooperativeFetch',['../classtvm_1_1meta__schedule_1_1Postproc.html#a8a8e8e047dcdcf89ad9d96eed47c293a',1,'tvm::meta_schedule::Postproc']]],
-  ['rewriteforpretransformed_3797',['RewriteForPreTransformed',['../namespacetvm_1_1auto__scheduler.html#a3e9480be0119338696abbe9c80193b9eabe8f9c447aade4de06bdb3332885303d',1,'tvm::auto_scheduler']]],
-  ['rewritelayout_3798',['RewriteLayout',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#ae36f2943628beb48fbf9b473bb350253',1,'tvm::auto_scheduler::ComputeDAG::RewriteLayout()'],['../classtvm_1_1meta__schedule_1_1Postproc.html#a855ed7567cf6af092d19b59ceea52426',1,'tvm::meta_schedule::Postproc::RewriteLayout()']]],
-  ['rewriteparallelvectorizeunroll_3799',['RewriteParallelVectorizeUnroll',['../classtvm_1_1meta__schedule_1_1Postproc.html#ad9ba0ccb7c8c2340ce64d8b0cb4d141c',1,'tvm::meta_schedule::Postproc']]],
-  ['rewritepatterns_3800',['RewritePatterns',['../namespacetvm_1_1relay.html#ad9fd478e0f590938f8eb15e1bc45dbec',1,'tvm::relay']]],
-  ['rewritereductionblock_3801',['RewriteReductionBlock',['../classtvm_1_1meta__schedule_1_1Postproc.html#a08348595d8c50afe0167a986e034d616',1,'tvm::meta_schedule::Postproc']]],
-  ['rewritesimplifier_3802',['RewriteSimplifier',['../classtvm_1_1arith_1_1RewriteSimplifier.html',1,'tvm::arith']]],
-  ['rewritetensorize_3803',['RewriteTensorize',['../classtvm_1_1meta__schedule_1_1Postproc.html#a95db036cfced4c2575367a26a41498ff',1,'tvm::meta_schedule::Postproc']]],
-  ['rewriteunboundblock_3804',['RewriteUnboundBlock',['../classtvm_1_1meta__schedule_1_1Postproc.html#a1836b2278bc24fdc227c490896d92980',1,'tvm::meta_schedule::Postproc']]],
-  ['rewriteunsafeselect_3805',['RewriteUnsafeSelect',['../namespacetvm_1_1tir_1_1transform.html#a4fe43327c4454dd05b6e925577443f49',1,'tvm::tir::transform']]],
-  ['rfactor_3806',['RFactor',['../classtvm_1_1tir_1_1ScheduleNode.html#ab185c8eac1065290d84d58e7f4617232',1,'tvm::tir::ScheduleNode']]],
-  ['rfactor_3807',['rfactor',['../classtvm_1_1auto__scheduler_1_1State.html#a21c27b06d439267f8b981fa05c5f48a0',1,'tvm::auto_scheduler::State::rfactor()'],['../classtvm_1_1te_1_1Schedule.html#a34ae85add41bbed0140726d024d08862',1,'tvm::te::Schedule::rfactor()']]],
-  ['rfactorstep_3808',['RfactorStep',['../classtvm_1_1auto__scheduler_1_1RfactorStep.html#a95575c21441177634178245ab562cb4f',1,'tvm::auto_scheduler::RfactorStep::RfactorStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1RfactorStep.html#a26e6f85b55307f18fab4469e3bd4be0c',1,'tvm::auto_scheduler::RfactorStep::RfactorStep(int stage_id, int iter_id, int factor_iter_id)'],['../classtvm_1_1auto__scheduler_1_1RfactorStep.html',1,'tvm::auto_scheduler::RfactorStep']]],
-  ['rfactorstepnode_3809',['RfactorStepNode',['../classtvm_1_1auto__scheduler_1_1RfactorStepNode.html',1,'tvm::auto_scheduler']]],
-  ['rhs_3810',['rhs',['../classtvm_1_1tir_1_1CommReducerNode.html#a2902b0d55dd823febc6941fae9f32337',1,'tvm::tir::CommReducerNode::rhs()'],['../classtvm_1_1script_1_1printer_1_1ScopeDocNode.html#abf3636ac2820118a3d48f2fea32b2b0b',1,'tvm::script::printer::ScopeDocNode::rhs()'],['../classtvm_1_1script_1_1printer_1_1ForDocNode.html#aa72614136675287310ea08520f596642',1,'tvm::script::printer::ForDocNode::rhs()'],['../classtvm_1_1script_1_1printer_1_1AssignDocNode.html#a436fcace00d445213fc367e [...]
-  ['rhs_5faxis_3811',['rhs_axis',['../structtvm_1_1relay_1_1qnn_1_1BroadcastAttrs.html#afd0dadf19b60022c3c8cc0b0f9b6c27a',1,'tvm::relay::qnn::BroadcastAttrs']]],
-  ['rhs_5fbegin_3812',['rhs_begin',['../structtvm_1_1relay_1_1ReshapeLikeAttrs.html#a8f25936511569c3ea754e9d065ccff81',1,'tvm::relay::ReshapeLikeAttrs']]],
-  ['rhs_5fend_3813',['rhs_end',['../structtvm_1_1relay_1_1ReshapeLikeAttrs.html#a6d8d5503c7880be0e179c0f54972afad',1,'tvm::relay::ReshapeLikeAttrs']]],
-  ['rhs_5fpath_3814',['rhs_path',['../classtvm_1_1ObjectPathPairNode.html#ab0b0e402bc6722eb21829a8520d0031c',1,'tvm::ObjectPathPairNode']]],
-  ['right_3815',['right',['../classtvm_1_1relay_1_1AltPatternNode.html#a01262756211205f8764d07f2372c5d36',1,'tvm::relay::AltPatternNode::right()'],['../structtvm_1_1relay_1_1SearchSortedAttrs.html#a97bbdca17a8d0657b76b892fa3b7777a',1,'tvm::relay::SearchSortedAttrs::right()']]],
-  ['right_5fshift_3816',['right_shift',['../namespacetvm.html#ae8ecc0382685a855187bede0c97d93e6',1,'tvm::right_shift()'],['../namespacetvm_1_1topi.html#a8d155306c648c5925352eca1d7b17a60',1,'tvm::topi::right_shift(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;right_shift&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#a4eaac2e77d097d643e0fce3db96e00d0',1,'tvm::topi::right_shift(const tvm::te::Tensor &amp;A, const tvm: [...]
-  ['rms_5fnorm_3817',['rms_norm',['../namespacetvm_1_1topi_1_1nn.html#af39dd2857acc6bb37c10738a01c90778',1,'tvm::topi::nn']]],
-  ['rms_5fnorm_2eh_3818',['rms_norm.h',['../rms__norm_8h.html',1,'']]],
-  ['rocblas_2eh_3819',['rocblas.h',['../rocblas_8h.html',1,'']]],
-  ['rocblas_5fbatch_5fmatmul_3820',['rocblas_batch_matmul',['../namespacetvm_1_1topi_1_1contrib.html#abf1113dd429e1285752b48f62fe12848',1,'tvm::topi::contrib']]],
-  ['rocblas_5fmatmul_3821',['rocblas_matmul',['../namespacetvm_1_1topi_1_1contrib.html#abefad1f2ad083fc038566a9ef6278dff',1,'tvm::topi::contrib']]],
-  ['roi_3822',['roi',['../structtvm_1_1relay_1_1Resize2DAttrs.html#afe8f8b7a65f4961743089b7119117d3b',1,'tvm::relay::Resize2DAttrs::roi()'],['../structtvm_1_1relay_1_1Resize3DAttrs.html#a528fd10df78a285eeb8e72d6476f66c2',1,'tvm::relay::Resize3DAttrs::roi()'],['../structtvm_1_1relay_1_1Resize1DAttrs.html#a99ab6ec83637788da75c2d717c40bc68',1,'tvm::relay::Resize1DAttrs::roi()']]],
-  ['roialignattrs_3823',['ROIAlignAttrs',['../structtvm_1_1relay_1_1ROIAlignAttrs.html',1,'tvm::relay']]],
-  ['roipoolattrs_3824',['ROIPoolAttrs',['../structtvm_1_1relay_1_1ROIPoolAttrs.html',1,'tvm::relay']]],
-  ['rolling_5fbuffer_3825',['rolling_buffer',['../classtvm_1_1te_1_1StageNode.html#a8a709edc806b64c606a12c703fab22e4',1,'tvm::te::StageNode::rolling_buffer()'],['../classtvm_1_1te_1_1Stage.html#aa2da6dafa58e8e7a1e251867791839d4',1,'tvm::te::Stage::rolling_buffer()']]],
-  ['rolling_5fbuffer_5fscope_3826',['rolling_buffer_scope',['../namespacetvm_1_1tir_1_1attr.html#a5d0392acd85eb3cd406315d3c02eadd8',1,'tvm::tir::attr']]],
-  ['rollingbuffer_3827',['RollingBuffer',['../classtvm_1_1tir_1_1ScheduleNode.html#ab1d1f70230fa5f01d406fc122e62b190',1,'tvm::tir::ScheduleNode']]],
-  ['root_3828',['Root',['../classtvm_1_1ObjectPath.html#a21bb9513031109b9a4145fd675033f8f',1,'tvm::ObjectPath']]],
-  ['root_5falloc_5fbuffers_3829',['root_alloc_buffers',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1PrimFuncFrameNode.html#a3f9592997636143b3ad1114d8f9070cc',1,'tvm::script::ir_builder::tir::PrimFuncFrameNode']]],
-  ['root_5fiter_5fvars_3830',['root_iter_vars',['../classtvm_1_1te_1_1BaseComputeOpNode.html#aab7b5b43122ee14bb00640906267361a',1,'tvm::te::BaseComputeOpNode::root_iter_vars()'],['../classtvm_1_1te_1_1HybridOpNode.html#ade3fee4bd8fd9a06d27b533cc6e09ca2',1,'tvm::te::HybridOpNode::root_iter_vars()'],['../classtvm_1_1te_1_1ExternOpNode.html#a4e7c08092b2941fddbc2ad5e9c9584fc',1,'tvm::te::ExternOpNode::root_iter_vars()'],['../classtvm_1_1te_1_1ScanOpNode.html#a7a2670bdbf28281b2a8d977e43bc0add [...]
-  ['rootmark_3831',['RootMark',['../classtvm_1_1tir_1_1StmtSRef.html#a755ffc34c02e5af0129c7d2eedc1cfb5',1,'tvm::tir::StmtSRef']]],
-  ['rootpath_3832',['RootPath',['../classtvm_1_1RootPath.html',1,'tvm']]],
-  ['rootpathnode_3833',['RootPathNode',['../classtvm_1_1RootPathNode.html#a1242d3ad94ca19e165c04528f7c8e24e',1,'tvm::RootPathNode::RootPathNode()'],['../classtvm_1_1RootPathNode.html',1,'tvm::RootPathNode']]],
-  ['round_3834',['round',['../namespacetvm.html#a54d9c399c82d7f384ee93f235496ab64',1,'tvm::round()'],['../namespacetvm_1_1topi.html#a11030c7cd076210bf364c6f70846de78',1,'tvm::topi::round()']]],
-  ['round_5fup_5fto_5fbyte_5falignment_3835',['round_up_to_byte_alignment',['../classtvm_1_1tir_1_1usmp_1_1algo_1_1GreedyBase.html#a3581ab0723c1ab1e74cf479c7c81a803',1,'tvm::tir::usmp::algo::GreedyBase']]],
-  ['rounding_3836',['rounding',['../structtvm_1_1relay_1_1qnn_1_1RequantizeAttrs.html#ae786b4706ed872d99ad26d6c42467f87',1,'tvm::relay::qnn::RequantizeAttrs']]],
-  ['rounding_5fmethod_3837',['rounding_method',['../structtvm_1_1relay_1_1Resize3DAttrs.html#a6e15ec45b5788ebaf2101e14e7a366fb',1,'tvm::relay::Resize3DAttrs::rounding_method()'],['../structtvm_1_1relay_1_1Resize2DAttrs.html#a08b8f79180e71137f2990c7123580d7a',1,'tvm::relay::Resize2DAttrs::rounding_method()'],['../structtvm_1_1relay_1_1Resize1DAttrs.html#a1133dc610787d50cc164dc9ed6319f0c',1,'tvm::relay::Resize1DAttrs::rounding_method()']]],
-  ['roundrobin_3838',['RoundRobin',['../classtvm_1_1meta__schedule_1_1TaskScheduler.html#add2fb132a9882d815d4dd617f18482f6',1,'tvm::meta_schedule::TaskScheduler']]],
-  ['rpcrunner_3839',['RPCRunner',['../classtvm_1_1auto__scheduler_1_1RPCRunner.html#a58f9c976e0b95dba69cf50b6fc284dca',1,'tvm::auto_scheduler::RPCRunner::RPCRunner()'],['../classtvm_1_1auto__scheduler_1_1RPCRunner.html',1,'tvm::auto_scheduler::RPCRunner']]],
-  ['rpcrunnernode_3840',['RPCRunnerNode',['../classtvm_1_1auto__scheduler_1_1RPCRunnerNode.html',1,'tvm::auto_scheduler']]],
-  ['rpcwrappedfunc_3841',['RPCWrappedFunc',['../classtvm_1_1runtime_1_1NDArray_1_1Container.html#a6ccaf80c7bc6037e59b208845b20db11',1,'tvm::runtime::NDArray::Container']]],
-  ['rpn_5fmin_5fsize_3842',['rpn_min_size',['../structtvm_1_1relay_1_1ProposalAttrs.html#abee4a0809679e2a5a4f00e07e9650b5e',1,'tvm::relay::ProposalAttrs']]],
-  ['rpn_5fpost_5fnms_5ftop_5fn_3843',['rpn_post_nms_top_n',['../structtvm_1_1relay_1_1ProposalAttrs.html#afbd367be5eda478b817075e70cc6edbc',1,'tvm::relay::ProposalAttrs']]],
-  ['rpn_5fpre_5fnms_5ftop_5fn_3844',['rpn_pre_nms_top_n',['../structtvm_1_1relay_1_1ProposalAttrs.html#a87c3a6d7d2e0225ebbab9353bc60af25',1,'tvm::relay::ProposalAttrs']]],
-  ['rr_5fpartitioner_3845',['rr_partitioner',['../namespacetvm_1_1support.html#a4dcfaef2dd891a956d23298c943a3fba',1,'tvm::support']]],
-  ['rsqrt_3846',['rsqrt',['../namespacetvm_1_1topi.html#a87781e08068ff16cbae1356a32331cdc',1,'tvm::topi::rsqrt()'],['../namespacetvm.html#a5196b8d7b5d5453e624ab22d0fefb652',1,'tvm::rsqrt()']]],
-  ['run_3847',['Run',['../classtvm_1_1auto__scheduler_1_1LocalRunnerNode.html#aca193b505718b9a16483929eb93de4a6',1,'tvm::auto_scheduler::LocalRunnerNode::Run()'],['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html#aa56cb976ad41b0d2b62a4184ee74b37f',1,'tvm::auto_scheduler::ProgramRunnerNode::Run()'],['../classtvm_1_1auto__scheduler_1_1RPCRunnerNode.html#a3f56b55d156fc2edce046ab3d6686c0b',1,'tvm::auto_scheduler::RPCRunnerNode::Run()'],['../classtvm_1_1meta__schedule_1_1RunnerNode.ht [...]
-  ['run_5ferror_5fcount_3848',['run_error_count',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html#a1482e1c157ec7e4d5e472125a692721a',1,'tvm::meta_schedule::TaskRecordNode']]],
-  ['run_5fsecs_3849',['run_secs',['../classtvm_1_1meta__schedule_1_1RunnerResultNode.html#a23d5a15c8d72c17c59ec4e7f2d3fabf3',1,'tvm::meta_schedule::RunnerResultNode::run_secs()'],['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html#a00aeeedbe4d1d6008224d98c62739581',1,'tvm::meta_schedule::TuningRecordNode::run_secs()']]],
-  ['runafterpass_3850',['RunAfterPass',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#a49332b9ab696afefa427b676dbaba1b0',1,'tvm::instrument::PassInstrumentNode']]],
-  ['runbeforepass_3851',['RunBeforePass',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#a4c5781489ab0113fbfcb9a61e6348b43',1,'tvm::instrument::PassInstrumentNode']]],
-  ['runcallbacks_3852',['RunCallbacks',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#a4bf8f2f816014b03ebea564d50afeba9',1,'tvm::auto_scheduler::SearchPolicyNode']]],
-  ['runloop_3853',['RunLoop',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a08164ef76393a4efb002c1ea6b8ae447',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['runner_3854',['runner',['../classtvm_1_1auto__scheduler_1_1TuningOptionsNode.html#a355d86b2c38f0827ae1b158753d1daa2',1,'tvm::auto_scheduler::TuningOptionsNode::runner()'],['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a0f7a4a84637fd0309b52f61b65bee158',1,'tvm::auto_scheduler::ProgramMeasurerNode::runner()']]],
-  ['runner_3855',['Runner',['../classtvm_1_1meta__schedule_1_1Runner.html',1,'tvm::meta_schedule']]],
-  ['runner_2eh_3856',['runner.h',['../runner_8h.html',1,'']]],
-  ['runner_5ffutures_3857',['runner_futures',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html#ad60e5f61144b13d33841c2364e2c611b',1,'tvm::meta_schedule::TaskRecordNode']]],
-  ['runnerfuture_3858',['RunnerFuture',['../classtvm_1_1meta__schedule_1_1RunnerFuture.html#a88baeedd7be0b5cc46083f75a318317f',1,'tvm::meta_schedule::RunnerFuture::RunnerFuture()'],['../classtvm_1_1meta__schedule_1_1RunnerFuture.html',1,'tvm::meta_schedule::RunnerFuture']]],
-  ['runnerfuturenode_3859',['RunnerFutureNode',['../classtvm_1_1meta__schedule_1_1RunnerFutureNode.html',1,'tvm::meta_schedule']]],
-  ['runnerinput_3860',['RunnerInput',['../classtvm_1_1meta__schedule_1_1RunnerInput.html#a46dcc62de7cbe021e381b8c0a5e6776f',1,'tvm::meta_schedule::RunnerInput::RunnerInput()'],['../classtvm_1_1meta__schedule_1_1RunnerInput.html',1,'tvm::meta_schedule::RunnerInput']]],
-  ['runnerinputnode_3861',['RunnerInputNode',['../classtvm_1_1meta__schedule_1_1RunnerInputNode.html',1,'tvm::meta_schedule']]],
-  ['runnernode_3862',['RunnerNode',['../classtvm_1_1meta__schedule_1_1RunnerNode.html',1,'tvm::meta_schedule']]],
-  ['runnerresult_3863',['RunnerResult',['../classtvm_1_1meta__schedule_1_1RunnerResult.html#ad15dac65a62952e661aa5dc639d6a958',1,'tvm::meta_schedule::RunnerResult::RunnerResult()'],['../classtvm_1_1meta__schedule_1_1RunnerResult.html',1,'tvm::meta_schedule::RunnerResult']]],
-  ['runnerresultnode_3864',['RunnerResultNode',['../classtvm_1_1meta__schedule_1_1RunnerResultNode.html',1,'tvm::meta_schedule']]],
-  ['runtime_3865',['Runtime',['../classtvm_1_1relay_1_1Runtime.html#a301dedc4aefb25ab3fd92cefcba975dd',1,'tvm::relay::Runtime::Runtime()'],['../classtvm_1_1relay_1_1RuntimeRegEntry.html#af3d14e26ba8af9e6cc5a32aad8446de7',1,'tvm::relay::RuntimeRegEntry::Runtime()'],['../classtvm_1_1relay_1_1Runtime.html',1,'tvm::relay::Runtime']]],
-  ['runtime_2eh_3866',['runtime.h',['../runtime_8h.html',1,'']]],
-  ['runtimeenabled_3867',['RuntimeEnabled',['../namespacetvm_1_1runtime.html#ae6d933eb1e7414afa9a9a62d22cd2522',1,'tvm::runtime']]],
-  ['runtimenode_3868',['RuntimeNode',['../classtvm_1_1relay_1_1RuntimeNode.html',1,'tvm::relay']]],
-  ['runtimeobject2string_3869',['RuntimeObject2String',['../namespacetvm_1_1runtime.html#a5e4682aef4c22170449389fa455ab434',1,'tvm::runtime']]],
-  ['runtimeregentry_3870',['RuntimeRegEntry',['../classtvm_1_1relay_1_1RuntimeRegEntry.html',1,'tvm::relay']]],
-  ['runtimetypeindex_3871',['RuntimeTypeIndex',['../classtvm_1_1runtime_1_1Object.html#ad94d79729ac85aa7c976e23d39066383',1,'tvm::runtime::Object']]]
+  ['inplacearraybase_3c_20smallmapnode_2c_20mapnode_3a_3akvtype_20_3e_3584',['InplaceArrayBase&lt; SmallMapNode, MapNode::KVType &gt;',['../classtvm_1_1runtime_1_1SmallMapNode.html#ac34d5957634812ed6f022af20a049c24',1,'tvm::runtime::SmallMapNode']]],
+  ['objallocatorbase_3585',['ObjAllocatorBase',['../classtvm_1_1relay_1_1LetNode.html#a6a52011a5ae07f79856556b25dd1a040',1,'tvm::relay::LetNode::ObjAllocatorBase()'],['../classtvm_1_1relay_1_1CallNode.html#a6a52011a5ae07f79856556b25dd1a040',1,'tvm::relay::CallNode::ObjAllocatorBase()']]],
+  ['packedfuncvalueconverter_3c_20primexpr_20_3e_3586',['PackedFuncValueConverter&lt; PrimExpr &gt;',['../classtvm_1_1PrimExpr.html#ae839d1eaebf8cde84eb37772af36352c',1,'tvm::PrimExpr']]],
+  ['ramp_3587',['Ramp',['../classtvm_1_1tir_1_1Ramp.html#ad5a1ca5d32edf9e016e03366381bc693',1,'tvm::tir::Ramp::Ramp()'],['../classtvm_1_1tir_1_1Ramp.html',1,'tvm::tir::Ramp']]],
+  ['rampnode_3588',['RampNode',['../classtvm_1_1tir_1_1RampNode.html',1,'tvm::tir']]],
+  ['rand_5fstate_3589',['rand_state',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#a3032995b1e84a6396b42ba74f573b6b3',1,'tvm::meta_schedule::TuneContextNode']]],
+  ['random_2eh_3590',['random.h',['../random_8h.html',1,'']]],
+  ['random_5fengine_2eh_3591',['random_engine.h',['../random__engine_8h.html',1,'']]],
+  ['random_5fnumber_5ffunc_3592',['random_number_func',['../classtvm_1_1auto__scheduler_1_1RandomModelNode.html#a983ca23a9dad4db927c2c5787bcbb34e',1,'tvm::auto_scheduler::RandomModelNode']]],
+  ['randomcomputelocation_3593',['RandomComputeLocation',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a1bf485537817533eaf711226f687778c',1,'tvm::meta_schedule::ScheduleRule']]],
+  ['randommodel_3594',['RandomModel',['../classtvm_1_1auto__scheduler_1_1RandomModel.html#aa456abf1dc91cbf76935189424d8954f',1,'tvm::auto_scheduler::RandomModel::RandomModel()'],['../classtvm_1_1auto__scheduler_1_1RandomModel.html#ac2b355e61135f2ff57d4f96fe2fba845',1,'tvm::auto_scheduler::RandomModel::RandomModel(::tvm::runtime::ObjectPtr&lt;::tvm::runtime::Object &gt; n)'],['../classtvm_1_1auto__scheduler_1_1RandomModel.html',1,'tvm::auto_scheduler::RandomModel']]],
+  ['randommodelnode_3595',['RandomModelNode',['../classtvm_1_1auto__scheduler_1_1RandomModelNode.html',1,'tvm::auto_scheduler']]],
+  ['range_3596',['range',['../classtvm_1_1auto__scheduler_1_1IteratorNode.html#a2751c3164971b3154ffc506e3aebaf91',1,'tvm::auto_scheduler::IteratorNode']]],
+  ['range_3597',['Range',['../classtvm_1_1Range.html#a9d58cccc53897fee0c80ab1437da1f0f',1,'tvm::Range::Range()'],['../classtvm_1_1Range.html',1,'tvm::Range']]],
+  ['rangenode_3598',['RangeNode',['../classtvm_1_1RangeNode.html#a4bbc33969cb484c20306da1d2b9fa1fd',1,'tvm::RangeNode::RangeNode(PrimExpr min, PrimExpr extent, Span span=Span())'],['../classtvm_1_1RangeNode.html#ab845f7ed4ed85e360b730df3450d1aab',1,'tvm::RangeNode::RangeNode()'],['../classtvm_1_1RangeNode.html',1,'tvm::RangeNode']]],
+  ['ranges_3599',['ranges',['../classtvm_1_1arith_1_1IntConstraintsNode.html#ab23d4d806766c88b0df69dbfb5ebd63c',1,'tvm::arith::IntConstraintsNode']]],
+  ['rate_3600',['rate',['../structtvm_1_1relay_1_1DropoutAttrs.html#a0b5a52c24a1be53dbb122a1df9fe22af',1,'tvm::relay::DropoutAttrs']]],
+  ['ratio_3601',['ratio',['../classtvm_1_1runtime_1_1profiling_1_1RatioNode.html#ab7a6874e67a1b663463f59ba3ede162c',1,'tvm::runtime::profiling::RatioNode']]],
+  ['rationode_3602',['RatioNode',['../classtvm_1_1runtime_1_1profiling_1_1RatioNode.html#a8808b20c12329610043b3017d6118ad7',1,'tvm::runtime::profiling::RatioNode::RatioNode()'],['../classtvm_1_1runtime_1_1profiling_1_1RatioNode.html',1,'tvm::runtime::profiling::RatioNode']]],
+  ['ratios_3603',['ratios',['../structtvm_1_1relay_1_1ProposalAttrs.html#ad209fd15e12d634ae07ac05b63d54850',1,'tvm::relay::ProposalAttrs::ratios()'],['../structtvm_1_1relay_1_1MultiBoxPriorAttrs.html#af674c8ba5ac7021827987bca3e1e4b1a',1,'tvm::relay::MultiBoxPriorAttrs::ratios()']]],
+  ['ravel_5funravel_2eh_3604',['ravel_unravel.h',['../ravel__unravel_8h.html',1,'']]],
+  ['raw_5fpointer_3605',['raw_pointer',['../classtvm_1_1runtime_1_1WrappedPythonObject.html#a3f8dd422ed2ce53e8da2e4af6a449660',1,'tvm::runtime::WrappedPythonObject']]],
+  ['rbegin_3606',['rbegin',['../classtvm_1_1runtime_1_1Array.html#af3f2fbd0d476ae66fe526f0685b7cb6c',1,'tvm::runtime::Array']]],
+  ['read_3607',['Read',['../structdmlc_1_1serializer_1_1Handler_3_01DLDevice_01_4.html#a19751cd9071d099600509d2daedf2c2e',1,'dmlc::serializer::Handler&lt; DLDevice &gt;::Read()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#a6e72ad744b4ef096599eecfb493a2ada',1,'tvm::runtime::micro_rpc::FrameBuffer::Read()'],['../structdmlc_1_1serializer_1_1Handler_3_01DLDataType_01_4.html#a98596da667d1aad2708015f5b15c35d8',1,'dmlc::serializer::Handler&lt; DLDataType &gt;::Read()']]],
+  ['read_5fbandwidth_5fbytes_5fper_5fcycle_3608',['read_bandwidth_bytes_per_cycle',['../structtvm_1_1PoolInfoPropertiesNode.html#af68c3b0893a38f5732849049abc9f5dd',1,'tvm::PoolInfoPropertiesNode::read_bandwidth_bytes_per_cycle()'],['../structtvm_1_1PoolInfoNode.html#a0bdcda7767b88b67bf43320d8012b682',1,'tvm::PoolInfoNode::read_bandwidth_bytes_per_cycle()']]],
+  ['read_5fby_3609',['read_by',['../classtvm_1_1auto__scheduler_1_1AccessAnalyzerNode.html#a6f42c7270313500162a8fb668fd45349',1,'tvm::auto_scheduler::AccessAnalyzerNode']]],
+  ['read_5ffrom_3610',['read_from',['../classtvm_1_1auto__scheduler_1_1AccessAnalyzerNode.html#a1123185e37f2be1e6917054f86517c2d',1,'tvm::auto_scheduler::AccessAnalyzerNode']]],
+  ['read_5flatency_5fcycles_3611',['read_latency_cycles',['../structtvm_1_1PoolInfoNode.html#a14108ba60cbc4404014ae13e12399182',1,'tvm::PoolInfoNode::read_latency_cycles()'],['../structtvm_1_1PoolInfoPropertiesNode.html#ad1a7d2d59d20c9a7761e548fcb6545f6',1,'tvm::PoolInfoPropertiesNode::read_latency_cycles()']]],
+  ['readat_3612',['ReadAt',['../classtvm_1_1tir_1_1ScheduleNode.html#a2f454daf29e582a65ffe361e958122df',1,'tvm::tir::ScheduleNode']]],
+  ['readavailable_3613',['ReadAvailable',['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#a3756ff0fca80f9e25576f9748e1f6db2',1,'tvm::runtime::micro_rpc::FrameBuffer']]],
+  ['reader_5fstage_5fids_3614',['reader_stage_ids',['../classtvm_1_1auto__scheduler_1_1CacheReadStepNode.html#a04685c3b15f4b7d885ac63f28a7cb3a6',1,'tvm::auto_scheduler::CacheReadStepNode']]],
+  ['readlines_3615',['ReadLines',['../classtvm_1_1auto__scheduler_1_1RecordReaderNode.html#ad32c4b46cc427da8da0524e4ca3a65b0',1,'tvm::auto_scheduler::RecordReaderNode']]],
+  ['readmeasurerecord_3616',['ReadMeasureRecord',['../namespacetvm_1_1auto__scheduler.html#a2d254ece2b7462cda8b0871749810841',1,'tvm::auto_scheduler']]],
+  ['readnext_3617',['ReadNext',['../classtvm_1_1auto__scheduler_1_1RecordReaderNode.html#a5c336433a578430972bd5bfdc5677a4a',1,'tvm::auto_scheduler::RecordReaderNode']]],
+  ['readregister_3618',['ReadRegister',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a3caf2fbd01a9160d7a68982df566d2d4',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['reads_3619',['reads',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockFrameNode.html#acf1c9ac1eec92cbf916f2177481146fb',1,'tvm::script::ir_builder::tir::BlockFrameNode::reads()'],['../classtvm_1_1tir_1_1BlockNode.html#a31f787d560277fad82ae8713e35ce960',1,'tvm::tir::BlockNode::reads()']]],
+  ['reads_3620',['Reads',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#abd510372ad7140fa5b627c50251cd6c3',1,'tvm::script::ir_builder::tir']]],
+  ['realize_3621',['Realize',['../classtvm_1_1relay_1_1TempExprNode.html#a96ae81e7b4eac7ff967ff14b43aaba87',1,'tvm::relay::TempExprNode::Realize()'],['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a2e665563a64bcb339d7707bd5758ae89',1,'tvm::script::ir_builder::tir::Realize()']]],
+  ['realize_5fscope_3622',['realize_scope',['../namespacetvm_1_1tir_1_1attr.html#acf70bbdafa7344811d336422bf95cdc5',1,'tvm::tir::attr']]],
+  ['realizeframe_3623',['RealizeFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1RealizeFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['realizeframenode_3624',['RealizeFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1RealizeFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['rebase_3625',['Rebase',['../classtvm_1_1te_1_1Rebase.html#a9d58c0171fa9ebd37c42c78f40bdb1a7',1,'tvm::te::Rebase::Rebase()'],['../classtvm_1_1te_1_1Rebase.html',1,'tvm::te::Rebase']]],
+  ['rebased_3626',['rebased',['../classtvm_1_1te_1_1RebaseNode.html#a3e718d8fd2ae0f2d76b794e6dfa303f0',1,'tvm::te::RebaseNode']]],
+  ['rebasenode_3627',['RebaseNode',['../classtvm_1_1te_1_1RebaseNode.html',1,'tvm::te']]],
+  ['recclosure_3628',['RecClosure',['../classtvm_1_1relay_1_1RecClosure.html#ad00197077c4b1f91211cdef2d79f01d1',1,'tvm::relay::RecClosure::RecClosure()'],['../classtvm_1_1relay_1_1RecClosure.html',1,'tvm::relay::RecClosure']]],
+  ['recclosureobj_3629',['RecClosureObj',['../classtvm_1_1relay_1_1RecClosureObj.html#a8dded0ea6f2775cd75b697e11497c29d',1,'tvm::relay::RecClosureObj::RecClosureObj()'],['../classtvm_1_1relay_1_1RecClosureObj.html',1,'tvm::relay::RecClosureObj']]],
+  ['receiver_3630',['Receiver',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a4d068350809f475e3ffeefee0197d6c4',1,'tvm::runtime::micro_rpc::Session']]],
+  ['record_5fprefix_5fstr_3631',['record_prefix_str',['../classtvm_1_1auto__scheduler_1_1CacheWriteStepNode.html#ac94c307899e152b7803ed4d1b0938952',1,'tvm::auto_scheduler::CacheWriteStepNode::record_prefix_str()'],['../classtvm_1_1auto__scheduler_1_1RfactorStepNode.html#a2dfae6a07aa81480f5b9d8b695f415a6',1,'tvm::auto_scheduler::RfactorStepNode::record_prefix_str()'],['../classtvm_1_1auto__scheduler_1_1CacheReadStepNode.html#a65fdfda841c8dbd7b48e792721ee3a8a',1,'tvm::auto_scheduler::Cache [...]
+  ['recordmismatchpaths_3632',['RecordMismatchPaths',['../classtvm_1_1SEqualReducer.html#a9d7dd06f0cb2ebf44a2e8938aae0ad7e',1,'tvm::SEqualReducer']]],
+  ['recordreader_3633',['RecordReader',['../classtvm_1_1auto__scheduler_1_1RecordReader.html#a37bd4d69ea3098678c40c1ddd474ea6e',1,'tvm::auto_scheduler::RecordReader::RecordReader()'],['../classtvm_1_1auto__scheduler_1_1RecordReader.html',1,'tvm::auto_scheduler::RecordReader']]],
+  ['recordreadernode_3634',['RecordReaderNode',['../classtvm_1_1auto__scheduler_1_1RecordReaderNode.html',1,'tvm::auto_scheduler']]],
+  ['recordtofile_3635',['RecordToFile',['../classtvm_1_1auto__scheduler_1_1RecordToFile.html#a66f2e5f70b3058a9491ffbbbd4a05f0c',1,'tvm::auto_scheduler::RecordToFile::RecordToFile()'],['../classtvm_1_1auto__scheduler_1_1RecordToFile.html',1,'tvm::auto_scheduler::RecordToFile']]],
+  ['recordtofilenode_3636',['RecordToFileNode',['../classtvm_1_1auto__scheduler_1_1RecordToFileNode.html',1,'tvm::auto_scheduler']]],
+  ['recursivelyfillspan_3637',['RecursivelyFillSpan',['../classtvm_1_1SIBuilder.html#afd50ab341a728f687cc5c4ac215ee73b',1,'tvm::SIBuilder::RecursivelyFillSpan(const T &amp;entry, const std::unordered_set&lt; T, ObjectPtrHash, ObjectPtrEqual &gt; &amp;inputs) const'],['../classtvm_1_1SIBuilder.html#ab8ba6408c4d890b7de0060d5315027ac',1,'tvm::SIBuilder::RecursivelyFillSpan(const tir::Stmt &amp;entry, const std::unordered_set&lt; PrimExpr, ObjectPtrHash, ObjectPtrEqual &gt; &amp;inputs) cons [...]
+  ['redirect_5fto_3638',['redirect_to',['../classtvm_1_1relay_1_1WildcardPattern.html#ab112eb3a81c9b3ae6c38bcdc85d0f542',1,'tvm::relay::WildcardPattern']]],
+  ['reduce_3639',['Reduce',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html#a7ced54c699ab9665053615d5c6355ffa',1,'tvm::script::ir_builder::tir::axis::Reduce()'],['../classtvm_1_1tir_1_1Reduce.html#a91b058085155b5a548226bcd9feced03',1,'tvm::tir::Reduce::Reduce()'],['../classtvm_1_1tir_1_1Reduce.html',1,'tvm::tir::Reduce']]],
+  ['reduce_2eh_3640',['reduce.h',['../reduce_8h.html',1,'']]],
+  ['reduce_5faxis_3641',['reduce_axis',['../namespacetvm_1_1te.html#aae384e9b73c2271905486e4a74b69265',1,'tvm::te::reduce_axis()'],['../classtvm_1_1te_1_1BaseComputeOpNode.html#ad0df643468fc148d80afd7116abdd2ac',1,'tvm::te::BaseComputeOpNode::reduce_axis()'],['../classtvm_1_1te_1_1TensorIntrinCallNode.html#a3bd0fd4dc7145a22d38d62037838ccfe',1,'tvm::te::TensorIntrinCallNode::reduce_axis()']]],
+  ['reduce_5finit_3642',['reduce_init',['../classtvm_1_1te_1_1TensorIntrinNode.html#a63b22c1a14e6ce3bdc2900019600efee',1,'tvm::te::TensorIntrinNode']]],
+  ['reduce_5fscope_3643',['reduce_scope',['../namespacetvm_1_1tir_1_1attr.html#a50fc9633b9cfbcefa2393dbb2ece1846',1,'tvm::tir::attr']]],
+  ['reduce_5fupdate_3644',['reduce_update',['../classtvm_1_1te_1_1TensorIntrinNode.html#a8d4a891a69aec271eca1c57b16030755',1,'tvm::te::TensorIntrinNode']]],
+  ['reduceattrs_3645',['ReduceAttrs',['../structtvm_1_1relay_1_1ReduceAttrs.html',1,'tvm::relay']]],
+  ['reducenode_3646',['ReduceNode',['../classtvm_1_1tir_1_1ReduceNode.html',1,'tvm::tir']]],
+  ['reduction_3647',['reduction',['../structtvm_1_1relay_1_1ScatterElementsAttrs.html#a7117d5569f809ba9b9496bc41ba94119',1,'tvm::relay::ScatterElementsAttrs::reduction()'],['../structtvm_1_1relay_1_1NLLLossAttrs.html#a45d62f7da0c849f0737a3d73ac4ba975',1,'tvm::relay::NLLLossAttrs::reduction()']]],
+  ['reduction_2eh_3648',['reduction.h',['../cuda_2reduction_8h.html',1,'(Global Namespace)'],['../reduction_8h.html',1,'(Global Namespace)'],['../rocm_2reduction_8h.html',1,'(Global Namespace)']]],
+  ['ref_3649',['ref',['../classtvm_1_1relay_1_1RefWriteNode.html#a5db6e4ad0056f5d15ffc69f6b7bdd68a',1,'tvm::relay::RefWriteNode::ref()'],['../classtvm_1_1relay_1_1RefReadNode.html#ae61d2b27d1102f26f2300aad1ae168a7',1,'tvm::relay::RefReadNode::ref()']]],
+  ['ref_5fcounter_5f_3650',['ref_counter_',['../classtvm_1_1runtime_1_1Object.html#a0d492efee331e2239a093f4b2017c10f',1,'tvm::runtime::Object']]],
+  ['refcountertype_3651',['RefCounterType',['../classtvm_1_1runtime_1_1Object.html#a55549a6c23987890246248682560a03d',1,'tvm::runtime::Object']]],
+  ['refcreate_3652',['RefCreate',['../classtvm_1_1relay_1_1RefCreate.html#ae4d23ea5efe119f3f191162bcfeddeb2',1,'tvm::relay::RefCreate::RefCreate()'],['../classtvm_1_1relay_1_1RefCreate.html',1,'tvm::relay::RefCreate']]],
+  ['refcreatenode_3653',['RefCreateNode',['../classtvm_1_1relay_1_1RefCreateNode.html',1,'tvm::relay']]],
+  ['reference_3654',['reference',['../classtvm_1_1runtime_1_1IterAdapter.html#a5fe6cfc0f51ecc13f40796d626cdbfd5',1,'tvm::runtime::IterAdapter::reference()'],['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#a0e19189278a3986380ce021cac7ceded',1,'tvm::runtime::ReverseIterAdapter::reference()'],['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#abc5b09553663c05b863c4a406a343c92',1,'tvm::runtime::MapNode::iterator::reference()'],['../classtvm_1_1runtime_1_1Map_1_1iterator.html#ae7e2ecfde [...]
+  ['reflection_2eh_3655',['reflection.h',['../reflection_8h.html',1,'']]],
+  ['reflectiontrait_3656',['ReflectionTrait',['../structtvm_1_1detail_1_1ReflectionTrait.html',1,'tvm::detail']]],
+  ['reflectionvtable_3657',['ReflectionVTable',['../classtvm_1_1ReflectionVTable.html',1,'tvm']]],
+  ['refread_3658',['RefRead',['../classtvm_1_1relay_1_1RefRead.html#ae00e55b7051c34f3f2a57f4566913071',1,'tvm::relay::RefRead::RefRead()'],['../classtvm_1_1relay_1_1RefRead.html',1,'tvm::relay::RefRead']]],
+  ['refreadnode_3659',['RefReadNode',['../classtvm_1_1relay_1_1RefReadNode.html',1,'tvm::relay']]],
+  ['refvalue_3660',['RefValue',['../classtvm_1_1relay_1_1RefValue.html#a00145f9fe1eaf86bfecdbf3c2aac0b0c',1,'tvm::relay::RefValue::RefValue()'],['../classtvm_1_1relay_1_1RefValue.html',1,'tvm::relay::RefValue']]],
+  ['refvalueobj_3661',['RefValueObj',['../structtvm_1_1relay_1_1RefValueObj.html#ab78c67945509950f453e51c6f9ddeb96',1,'tvm::relay::RefValueObj::RefValueObj()'],['../structtvm_1_1relay_1_1RefValueObj.html',1,'tvm::relay::RefValueObj']]],
+  ['refwrite_3662',['RefWrite',['../classtvm_1_1relay_1_1RefWrite.html#ab6d1e69a58b59c18535ca3624ea1c89f',1,'tvm::relay::RefWrite::RefWrite()'],['../classtvm_1_1relay_1_1RefWrite.html',1,'tvm::relay::RefWrite']]],
+  ['refwritenode_3663',['RefWriteNode',['../classtvm_1_1relay_1_1RefWriteNode.html',1,'tvm::relay']]],
+  ['region_3664',['Region',['../namespacetvm_1_1tir.html#a8277e2a3d81a80a4776705673df51e0a',1,'tvm::tir']]],
+  ['region_3665',['region',['../classtvm_1_1tir_1_1BufferRegionNode.html#ae5713a8e3be26e0814ae53b573d8efd2',1,'tvm::tir::BufferRegionNode']]],
+  ['region_5fcover_3666',['region_cover',['../structtvm_1_1tir_1_1BlockInfo.html#acad11c72a22380e65695be584f294d4e',1,'tvm::tir::BlockInfo']]],
+  ['regions_3667',['regions',['../classtvm_1_1te_1_1TensorIntrinCallNode.html#a4c934a6d85bf27b3263ad0d79fb17144',1,'tvm::te::TensorIntrinCallNode']]],
+  ['register_3668',['Register',['../classtvm_1_1ReflectionVTable.html#a775a9d85f429302c05e06ddc64eb9456',1,'tvm::ReflectionVTable::Register()'],['../classtvm_1_1runtime_1_1Registry.html#a838a8aa1c2b4a444e92f5028a07aed2b',1,'tvm::runtime::Registry::Register()'],['../classtvm_1_1tir_1_1TensorIntrin.html#ab7d377d5e592ad6784972cd9f097dedf',1,'tvm::tir::TensorIntrin::Register()']]],
+  ['register_5ffile_3669',['register_file',['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html#a583dcf6c0ed3f3d2916679382c7a0775',1,'tvm::runtime::vm::VMFrame']]],
+  ['register_5ffile_5fsize_3670',['register_file_size',['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html#a5b910095e5c2826cf57793afb4f2078a',1,'tvm::runtime::vm::VMFunction']]],
+  ['register_5ffunc_3671',['register_func',['../classtvm_1_1GenericFunc.html#a2a5ef71cd38881b0ef73efa8f0b5fdc8',1,'tvm::GenericFunc']]],
+  ['registerconfigoption_3672',['RegisterConfigOption',['../classtvm_1_1transform_1_1PassContext.html#a6f1d1040cc97320414b4690203f87919',1,'tvm::transform::PassContext']]],
+  ['registergenericfunc_3673',['RegisterGenericFunc',['../classtvm_1_1GenericFunc.html#a909acecbf2f34f847a34e587a4570dce',1,'tvm::GenericFunc']]],
+  ['registerorget_3674',['RegisterOrGet',['../classtvm_1_1OpRegEntry.html#a39a4d3e7f905eb4e29ca464bcedb05bd',1,'tvm::OpRegEntry::RegisterOrGet()'],['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#abaf56cccdcccab83e91c0284096d8314',1,'tvm::tir::InstructionKindRegEntry::RegisterOrGet()'],['../classtvm_1_1TargetKindRegEntry.html#a478c1bd27f0b8dd1b95c58808f8d0c70',1,'tvm::TargetKindRegEntry::RegisterOrGet()'],['../classtvm_1_1TargetTagRegEntry.html#a07e0631600484dc0985ca62b1620461c',1,'t [...]
+  ['registry_3675',['registry',['../structTVMMutableFuncRegistry.html#acc1fcd6554c627c1bf3b3c00e1120e9b',1,'TVMMutableFuncRegistry']]],
+  ['registry_3676',['Registry',['../classtvm_1_1ReflectionVTable_1_1Registry.html#ac8f4637640aa9dffed745303a4cfa827',1,'tvm::ReflectionVTable::Registry']]],
+  ['registry_3677',['registry',['../structTVMModule.html#a6db21005b9e983207b341e65af4c4ab7',1,'TVMModule']]],
+  ['registry_3678',['Registry',['../classtvm_1_1ReflectionVTable_1_1Registry.html',1,'tvm::ReflectionVTable::Registry'],['../classtvm_1_1runtime_1_1Registry.html',1,'tvm::runtime::Registry']]],
+  ['registry_2eh_3679',['registry.h',['../registry_8h.html',1,'']]],
+  ['regname_3680',['RegName',['../namespacetvm_1_1runtime_1_1vm.html#a3bbbf700719e9dc3dda2bc25210c18ae',1,'tvm::runtime::vm']]],
+  ['regularnonmaximumsuppressionattrs_3681',['RegularNonMaximumSuppressionAttrs',['../structtvm_1_1relay_1_1RegularNonMaximumSuppressionAttrs.html',1,'tvm::relay']]],
+  ['reindex_3682',['ReIndex',['../classtvm_1_1tir_1_1ScheduleNode.html#a9e36a8a0e37a76e55068dd534e28c8c5',1,'tvm::tir::ScheduleNode']]],
+  ['reindexcacheread_3683',['ReindexCacheRead',['../classtvm_1_1tir_1_1ScheduleNode.html#a2625f87c74fe0cbc95006c763bb172b3',1,'tvm::tir::ScheduleNode']]],
+  ['reindexcachewrite_3684',['ReindexCacheWrite',['../classtvm_1_1tir_1_1ScheduleNode.html#af3983a7f5d094529049d83ce22d7b729',1,'tvm::tir::ScheduleNode']]],
+  ['reinterpret_3685',['reinterpret',['../namespacetvm_1_1tir_1_1builtin.html#a7b555bc5cca2f5e7b26c1037bc0001ce',1,'tvm::tir::builtin']]],
+  ['reinterpret_3686',['Reinterpret',['../classtvm_1_1BaseValueHash.html#a5ca1332d212969bb1bf6c865d5703b39',1,'tvm::BaseValueHash']]],
+  ['reinterpret_3687',['reinterpret',['../namespacetvm_1_1topi.html#a25239505894bdae140e53f4abc146f92',1,'tvm::topi::reinterpret()'],['../namespacetvm.html#a34084606675cd2c73c6b0f10e1618280',1,'tvm::reinterpret()']]],
+  ['relations_3688',['relations',['../classtvm_1_1arith_1_1IntConstraintsNode.html#a078c29fba655311710227460312e78b5',1,'tvm::arith::IntConstraintsNode::relations()'],['../classtvm_1_1te_1_1StageNode.html#ad1c0f8dc1f0f406a2abcd05fdad8fad5',1,'tvm::te::StageNode::relations()']]],
+  ['relay_5fdebug_3689',['RELAY_DEBUG',['../relay_2base_8h.html#aa85debc943daeee5d755b1eab7c9d108',1,'base.h']]],
+  ['relay_5fdebug_5finterp_3690',['RELAY_DEBUG_INTERP',['../relay_2base_8h.html#afa058e31494092764d9108d5923b81e7',1,'base.h']]],
+  ['relay_5fdfpattern_5ffunctor_5fdispatch_3691',['RELAY_DFPATTERN_FUNCTOR_DISPATCH',['../dataflow__pattern__functor_8h.html#a6fdd29214fd88f479fb7e0835db99217',1,'dataflow_pattern_functor.h']]],
+  ['relay_5fexpr_5ffunctor_5fdispatch_3692',['RELAY_EXPR_FUNCTOR_DISPATCH',['../relay_2expr__functor_8h.html#a3276cc9ffce54170fd990719da56ce05',1,'expr_functor.h']]],
+  ['relay_5fexpr_5frewriter_5fdispatch_3693',['RELAY_EXPR_REWRITER_DISPATCH',['../relay_2expr__functor_8h.html#a24d70e15165d0bc6c640e8beb7db4e9a',1,'expr_functor.h']]],
+  ['relay_5fpattern_5ffunctor_5fdispatch_3694',['RELAY_PATTERN_FUNCTOR_DISPATCH',['../pattern__functor_8h.html#a13148fe77ea6e32c9dbeb05ddc37fa77',1,'pattern_functor.h']]],
+  ['relay_5fregister_5fop_3695',['RELAY_REGISTER_OP',['../relay_2op_8h.html#a058614533a3fb289e0e7d958e90806a8',1,'op.h']]],
+  ['relayexpr_3696',['RelayExpr',['../classtvm_1_1RelayExpr.html',1,'tvm']]],
+  ['relayexprnode_3697',['RelayExprNode',['../classtvm_1_1RelayExprNode.html',1,'tvm']]],
+  ['relaynode_3698',['RelayNode',['../classtvm_1_1relay_1_1RelayNode.html',1,'tvm::relay']]],
+  ['relayreftype_3699',['RelayRefType',['../namespacetvm_1_1relay.html#a13fd39dbc2a639262858e9b72e8fb37f',1,'tvm::relay::RelayRefType()'],['../classtvm_1_1RelayRefType.html#a36d7d0022c0bd3218269356bd368b9fd',1,'tvm::RelayRefType::RelayRefType()'],['../classtvm_1_1RelayRefType.html',1,'tvm::RelayRefType']]],
+  ['relayreftypenode_3700',['RelayRefTypeNode',['../namespacetvm_1_1relay.html#ae665239bd9119c8afb037d47781bf237',1,'tvm::relay::RelayRefTypeNode()'],['../classtvm_1_1RelayRefTypeNode.html#a23840592da71d366e7f7dbaaf42d906d',1,'tvm::RelayRefTypeNode::RelayRefTypeNode()'],['../classtvm_1_1RelayRefTypeNode.html',1,'tvm::RelayRefTypeNode']]],
+  ['relaytotirtargethook_3701',['RelayToTIRTargetHook',['../namespacetvm_1_1relay_1_1transform.html#a153ef0a87fd83343b4855b78e376bd66',1,'tvm::relay::transform']]],
+  ['relu_3702',['relu',['../namespacetvm_1_1topi.html#ae99fdff7b3aaceb091b636b8dadd4f5e',1,'tvm::topi']]],
+  ['remaining_5ftasks_5f_3703',['remaining_tasks_',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#a11935a9326ac14e4e0c41fbb38c9fccf',1,'tvm::meta_schedule::TaskSchedulerNode']]],
+  ['remap_3704',['Remap',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html#adaa90ddfa1661d0b59328e5b65a7a714',1,'tvm::script::ir_builder::tir::axis']]],
+  ['remapthreadaxis_3705',['RemapThreadAxis',['../namespacetvm_1_1tir_1_1transform.html#a25b5de58d543c6786325d87eaad83692',1,'tvm::tir::transform']]],
+  ['remove_3706',['Remove',['../classtvm_1_1runtime_1_1Registry.html#a2500263465a20b0eea77fc298f25a849',1,'tvm::runtime::Registry::Remove()'],['../classtvm_1_1IRModuleNode.html#a1350c7d68665605f9c4f10850f4a90b9',1,'tvm::IRModuleNode::Remove()']]],
+  ['remove_5fdispatch_3707',['remove_dispatch',['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#a2aa93f9f756c15e09e58b35470f8a7d2',1,'tvm::script::printer::IRDocsifierFunctor']]],
+  ['remove_5ffallback_3708',['remove_fallback',['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#a82d3a3b7ef744706df18a4696677b94e',1,'tvm::script::printer::IRDocsifierFunctor']]],
+  ['removebuildartifact_3709',['RemoveBuildArtifact',['../classtvm_1_1meta__schedule_1_1MeasureCallback.html#aefe7cc6f3c245794a1ad03b043c6eb4f',1,'tvm::meta_schedule::MeasureCallback']]],
+  ['removenoop_3710',['RemoveNoOp',['../namespacetvm_1_1tir_1_1transform.html#a8aad1159425e29be796562b2ec629b10',1,'tvm::tir::transform']]],
+  ['removerpcsessionmask_3711',['RemoveRPCSessionMask',['../namespacetvm_1_1runtime.html#af32398517b6b915361c5716f8e32c16f',1,'tvm::runtime']]],
+  ['removerv_3712',['RemoveRV',['../classtvm_1_1tir_1_1ScheduleNode.html#a00fcf343d2bc8f36f170c04e5e29d2dc',1,'tvm::tir::ScheduleNode::RemoveRV(const ExprRV &amp;expr_rv)=0'],['../classtvm_1_1tir_1_1ScheduleNode.html#a7c44d4f4ea662291ccb9d79383b6fefe',1,'tvm::tir::ScheduleNode::RemoveRV(const LoopRV &amp;loop_rv)=0'],['../classtvm_1_1tir_1_1ScheduleNode.html#a70d353bb52f6fa29fedeb90a6ff872d5',1,'tvm::tir::ScheduleNode::RemoveRV(const BlockRV &amp;block_rv)=0']]],
+  ['removestandalonereshapes_3713',['RemoveStandaloneReshapes',['../namespacetvm_1_1relay_1_1transform.html#abf8753e6152a3ce13488eea22827cac9',1,'tvm::relay::transform']]],
+  ['removeunusedfunctions_3714',['RemoveUnusedFunctions',['../namespacetvm_1_1relay_1_1transform.html#afbbf5f3e5ffb775fafb9c48473dbfa24',1,'tvm::relay::transform']]],
+  ['removevar_3715',['RemoveVar',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#ad6bbe086197861a45275303dd5f0db99',1,'tvm::script::printer::IRDocsifierNode']]],
+  ['removeweightlayoutrewriteblock_3716',['RemoveWeightLayoutRewriteBlock',['../namespacetvm_1_1tir_1_1transform.html#a889dbda25befe68a8e8999f3e8ea8dc4',1,'tvm::tir::transform']]],
+  ['renamevariables_3717',['RenameVariables',['../classtvm_1_1tir_1_1IndexMap.html#a883c6f58e4dac5926d1b05516844437b',1,'tvm::tir::IndexMap']]],
+  ['rend_3718',['rend',['../classtvm_1_1runtime_1_1Array.html#a1dda4b706346d1299cea059957e9ee70',1,'tvm::runtime::Array']]],
+  ['render_3719',['Render',['../classtvm_1_1DiagnosticRenderer.html#a186c087a55cedd9f55b56c2925f5a559',1,'tvm::DiagnosticRenderer::Render()'],['../classtvm_1_1DiagnosticContext.html#a118fc9eccb99eb0772013eca507d97eb',1,'tvm::DiagnosticContext::Render()']]],
+  ['renderer_3720',['renderer',['../classtvm_1_1DiagnosticContextNode.html#aea5532b73702d459a53ee0c358607284',1,'tvm::DiagnosticContextNode::renderer()'],['../classtvm_1_1DiagnosticRendererNode.html#a8cb2c50460583e5eeee486cf044adfbe',1,'tvm::DiagnosticRendererNode::renderer()']]],
+  ['rendererrors_3721',['RenderErrors',['../classtvm_1_1relay_1_1ErrorReporter.html#a79a887b4f7e46a0fcc2bb23ede14604c',1,'tvm::relay::ErrorReporter']]],
+  ['renewdefs_3722',['RenewDefs',['../namespacetvm_1_1tir.html#a2e639c81d1c6875ead7764ab8a7cd553',1,'tvm::tir']]],
+  ['renormalizesplitpattern_3723',['RenormalizeSplitPattern',['../namespacetvm_1_1tir_1_1transform.html#a5c670c9efcd740f2f168b62e624c8c57',1,'tvm::tir::transform']]],
+  ['reorder_3724',['Reorder',['../classtvm_1_1tir_1_1ScheduleNode.html#a059229fe0e254961da406807a97f7a3d',1,'tvm::tir::ScheduleNode']]],
+  ['reorder_3725',['reorder',['../classtvm_1_1te_1_1Stage.html#ad96cd240a92df9cafae89cdf2a7e302e',1,'tvm::te::Stage::reorder()'],['../classtvm_1_1auto__scheduler_1_1State.html#a16e95966b46977eff629a5f4f1564533',1,'tvm::auto_scheduler::State::reorder()']]],
+  ['reorderblockitervar_3726',['ReorderBlockIterVar',['../classtvm_1_1tir_1_1ScheduleNode.html#a3c3024de7f2da68069e593bb8ad64f7f',1,'tvm::tir::ScheduleNode']]],
+  ['reorderstep_3727',['ReorderStep',['../classtvm_1_1auto__scheduler_1_1ReorderStep.html#a9586534afef3e0f57ab31e8374e70792',1,'tvm::auto_scheduler::ReorderStep::ReorderStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1ReorderStep.html#a83b9dab5f38d5a4d42c6424ba437bc10',1,'tvm::auto_scheduler::ReorderStep::ReorderStep(int stage_id, const Array&lt; Integer &gt; &amp;after_ids)'],['../classtvm_1_1auto__scheduler_1_1ReorderStep.html',1,'tvm::auto_scheduler::ReorderStep']]],
+  ['reorderstepnode_3728',['ReorderStepNode',['../classtvm_1_1auto__scheduler_1_1ReorderStepNode.html',1,'tvm::auto_scheduler']]],
+  ['reorg_3729',['reorg',['../namespacetvm_1_1topi_1_1vision.html#a1014df582489005202c4218e51792314',1,'tvm::topi::vision']]],
+  ['reorg_2eh_3730',['reorg.h',['../reorg_8h.html',1,'']]],
+  ['repeat_3731',['repeat',['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html#a8d5523fcffda00fccd916fbebb4be00a',1,'tvm::auto_scheduler::ProgramRunnerNode::repeat()'],['../namespacetvm_1_1topi.html#afe9f6d9103b2dfbc601bfd2304a4e687',1,'tvm::topi::repeat()']]],
+  ['repeatattrs_3732',['RepeatAttrs',['../structtvm_1_1relay_1_1RepeatAttrs.html',1,'tvm::relay']]],
+  ['repeats_3733',['repeats',['../structtvm_1_1relay_1_1RepeatAttrs.html#ade3005a90c1ff77a1f583c4a7ba898e0',1,'tvm::relay::RepeatAttrs']]],
+  ['replace_3734',['Replace',['../classtvm_1_1tir_1_1ScheduleStateNode.html#afedb2fd6e63f79ff5efe44cf8ecbc545',1,'tvm::tir::ScheduleStateNode']]],
+  ['replaceinputs_3735',['ReplaceInputs',['../classtvm_1_1te_1_1OperationNode.html#a9a876a433cfbe32215c3802ef520016e',1,'tvm::te::OperationNode::ReplaceInputs()'],['../classtvm_1_1te_1_1PlaceholderOpNode.html#a8fe0700318a8292c45faeca6c992bc4e',1,'tvm::te::PlaceholderOpNode::ReplaceInputs()'],['../classtvm_1_1te_1_1ComputeOpNode.html#abd13cd58b1d9754ad708fe47e9379b33',1,'tvm::te::ComputeOpNode::ReplaceInputs()'],['../classtvm_1_1te_1_1TensorComputeOpNode.html#ad71a45eb73a872cd38e865e48008 [...]
+  ['replayandgetdag_3736',['ReplayAndGetDAG',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#aea9cecc5764ed8cdccf29f5a294b6445',1,'tvm::auto_scheduler::ComputeDAG']]],
+  ['replayfunc_3737',['ReplayFunc',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html#a2ed7b2ef9f7236e54bf229f770fc0a87',1,'tvm::meta_schedule::SearchStrategy']]],
+  ['replaytrace_3738',['ReplayTrace',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html#a0f762ffdc65fe713f47eddb23ec331a0',1,'tvm::meta_schedule::SearchStrategy']]],
+  ['report_3739',['Report',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#ada7becdefd8228af76207606a2a36c55',1,'tvm::runtime::profiling::Profiler::Report()'],['../classtvm_1_1runtime_1_1profiling_1_1Report.html#a7a0c4c91a6cb095c98faf5849cdea832',1,'tvm::runtime::profiling::Report::Report()'],['../classtvm_1_1relay_1_1ErrorReporter.html#a7c04a2d68dc26b002e808390a2836961',1,'tvm::relay::ErrorReporter::Report()'],['../classtvm_1_1runtime_1_1profiling_1_1Report.html',1,'tvm::runtime: [...]
+  ['reportat_3740',['ReportAt',['../classtvm_1_1relay_1_1ErrorReporter.html#aa12916de10a3656694a3d3e1f292d414',1,'tvm::relay::ErrorReporter::ReportAt(const GlobalVar &amp;global, const ObjectRef &amp;node, std::stringstream &amp;err)'],['../classtvm_1_1relay_1_1ErrorReporter.html#a6fc41a9428f0b9a71a9e0a9de8d79a66',1,'tvm::relay::ErrorReporter::ReportAt(const GlobalVar &amp;global, const ObjectRef &amp;node, const CompileError &amp;err)']]],
+  ['reportnode_3741',['ReportNode',['../classtvm_1_1runtime_1_1profiling_1_1ReportNode.html',1,'tvm::runtime::profiling']]],
+  ['repr_5fprinter_2eh_3742',['repr_printer.h',['../repr__printer_8h.html',1,'']]],
+  ['reprlegacyprinter_3743',['ReprLegacyPrinter',['../classtvm_1_1ReprLegacyPrinter.html#a8ef152b2cbea60e7658f98bad98bc21d',1,'tvm::ReprLegacyPrinter::ReprLegacyPrinter()'],['../classtvm_1_1ReprLegacyPrinter.html',1,'tvm::ReprLegacyPrinter']]],
+  ['reprprinter_3744',['ReprPrinter',['../classtvm_1_1ReprPrinter.html#a05b878a528f2dec33e28278b17ddeb6b',1,'tvm::ReprPrinter::ReprPrinter()'],['../classtvm_1_1ReprPrinter.html',1,'tvm::ReprPrinter']]],
+  ['reps_3745',['reps',['../structtvm_1_1relay_1_1TileAttrs.html#acac0351f86c23beb264227981424022e',1,'tvm::relay::TileAttrs']]],
+  ['requantizeattrs_3746',['RequantizeAttrs',['../structtvm_1_1relay_1_1qnn_1_1RequantizeAttrs.html',1,'tvm::relay::qnn']]],
+  ['require_5fblock_5fvar_5fbound_5fpredicate_3747',['require_block_var_bound_predicate',['../namespacetvm_1_1tir_1_1attr.html#af1b654a57098d16dd2d08efaa949ffe3',1,'tvm::tir::attr']]],
+  ['require_5ftype_3748',['require_type',['../classtvm_1_1relay_1_1DFPatternCallbackNode.html#acf0cbf5a96eb4c9000b770b7adbd9d32',1,'tvm::relay::DFPatternCallbackNode']]],
+  ['required_3749',['required',['../classtvm_1_1transform_1_1PassInfoNode.html#abc4fdfbc0e6db41ae6296d7b2816b534',1,'tvm::transform::PassInfoNode']]],
+  ['required_5fpass_3750',['required_pass',['../classtvm_1_1transform_1_1PassContextNode.html#a029074685b6cfcc0431098697f2bc927',1,'tvm::transform::PassContextNode']]],
+  ['reserve_3751',['reserve',['../classtvm_1_1runtime_1_1Array.html#a1a7727b86efaf35c58a5198ab1c139c8',1,'tvm::runtime::Array']]],
+  ['reserveglobalvar_3752',['ReserveGlobalVar',['../classtvm_1_1GlobalVarSupplyNode.html#a29185b94238fc62c928346a004c43b16',1,'tvm::GlobalVarSupplyNode']]],
+  ['reservename_3753',['ReserveName',['../classtvm_1_1NameSupplyNode.html#a9feb960ebeeee03fb9c5105655a8da17',1,'tvm::NameSupplyNode']]],
+  ['reset_3754',['Reset',['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#a44ff9650ecca8785e33c25c369d2570a',1,'tvm::runtime::micro_rpc::Framer::Reset()'],['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a73b14ea360a9902c291d5bf6e97636cd',1,'tvm::auto_scheduler::ProgramMeasurerNode::Reset()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html#ae6279154fe70e9eb85937b51e70a4bf8',1,'tvm::runtime::micro_rpc::Unframer::Reset()'],['../classtvm_1_1tir_1_1StmtSRefNode.html# [...]
+  ['reset_3755',['reset',['../classtvm_1_1runtime_1_1NDArray.html#af2a8ccab95d432d1ecad7a389e11bcd3',1,'tvm::runtime::NDArray::reset()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#ac4461465ba0e785794794e0405c96590',1,'tvm::runtime::ObjectPtr::reset()']]],
+  ['reset_5fattr_3756',['reset_attr',['../classtvm_1_1OpRegEntry.html#a67628f8d3d6dea5b0a47e462c06b7790',1,'tvm::OpRegEntry']]],
+  ['resetstatscounters_3757',['ResetStatsCounters',['../classtvm_1_1arith_1_1RewriteSimplifier.html#aef5b3e9793a5b459c9f457efef179e4f',1,'tvm::arith::RewriteSimplifier']]],
+  ['resetthreadpool_3758',['ResetThreadPool',['../namespacetvm_1_1runtime_1_1threading.html#aafdb21c00248ff146b614a7e888b4fd7',1,'tvm::runtime::threading']]],
+  ['reshape_3759',['reshape',['../namespacetvm_1_1topi.html#a3aad65f2505802109ba7d05359ce9005',1,'tvm::topi']]],
+  ['reshape_5ftensor_3760',['reshape_tensor',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a14372a27f134b259b569715aff8726ca',1,'tvm::runtime::vm::Instruction']]],
+  ['reshapeattrs_3761',['ReshapeAttrs',['../structtvm_1_1relay_1_1ReshapeAttrs.html',1,'tvm::relay']]],
+  ['reshapelikeattrs_3762',['ReshapeLikeAttrs',['../structtvm_1_1relay_1_1ReshapeLikeAttrs.html',1,'tvm::relay']]],
+  ['reshapetensor_3763',['ReshapeTensor',['../namespacetvm_1_1runtime_1_1vm.html#a8d8d95ce8d629c7213f2f595917870ecac6aefdb1a98b77723cb8cbd758d8bd30',1,'tvm::runtime::vm::ReshapeTensor()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a78291b55a638084d12269102ec6a2e90',1,'tvm::runtime::vm::Instruction::ReshapeTensor()']]],
+  ['reshapetensorattrs_3764',['ReshapeTensorAttrs',['../structtvm_1_1relay_1_1ReshapeTensorAttrs.html',1,'tvm::relay']]],
+  ['resize_3765',['resize',['../classtvm_1_1runtime_1_1Array.html#a8064044f8928cf3fed003507d65604c7',1,'tvm::runtime::Array']]],
+  ['resize1dattrs_3766',['Resize1DAttrs',['../structtvm_1_1relay_1_1Resize1DAttrs.html',1,'tvm::relay']]],
+  ['resize2dattrs_3767',['Resize2DAttrs',['../structtvm_1_1relay_1_1Resize2DAttrs.html',1,'tvm::relay']]],
+  ['resize3dattrs_3768',['Resize3DAttrs',['../structtvm_1_1relay_1_1Resize3DAttrs.html',1,'tvm::relay']]],
+  ['resolvedependency_3769',['ResolveDependency',['../classtvm_1_1transform_1_1SequentialNode.html#a5549edf77e0a64bd6fcb692603967b8e',1,'tvm::transform::SequentialNode']]],
+  ['result_3770',['Result',['../classtvm_1_1meta__schedule_1_1RunnerFutureNode.html#a1b5438c21c436ce7a864487583fd32b2',1,'tvm::meta_schedule::RunnerFutureNode']]],
+  ['result_3771',['result',['../classtvm_1_1tir_1_1CommReducerNode.html#a7030917568a088215da423fc56882814',1,'tvm::tir::CommReducerNode::result()'],['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderNode.html#ae9bab07b47a5fd7f27576cbcfddab953',1,'tvm::script::ir_builder::IRBuilderNode::result()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#ae0d33229af059c727db2abd3616660e0',1,'tvm::runtime::vm::Instruction::result()']]],
+  ['result_5f_3772',['result_',['../classtvm_1_1detail_1_1AttrsSEqualVisitor.html#aeda3a91f0b2d1a7a9a075828954ff77f',1,'tvm::detail::AttrsSEqualVisitor']]],
+  ['result_5ftype_3773',['result_type',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#ad3446527dc668d6e1b3e753378d4e4d6',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;::result_type()'],['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html#a24d4a3522ee6c4cdeed80dcdcc1424ad',1,'tvm::TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;::result_type()'],['../classtvm_1_1NodeFunctor_3_01R_07cons [...]
+  ['resulttype_3774',['ResultType',['../structtvm_1_1runtime_1_1Array_1_1ValueConverter.html#a0db77cfd8032391d76dffc88eae8e09b',1,'tvm::runtime::Array::ValueConverter']]],
+  ['ret_3775',['ret',['../namespacetvm.html#a0da40d3e210aa3b38a17982a7b7866b8',1,'tvm::ret()'],['../namespacetvm_1_1tir_1_1builtin.html#ae7816fdebd5d56f2145cdf371b756eb4',1,'tvm::tir::builtin::ret()']]],
+  ['ret_3776',['Ret',['../namespacetvm_1_1runtime_1_1vm.html#a8d8d95ce8d629c7213f2f595917870ecaa4228a09dd66155de8e93a39245768bd',1,'tvm::runtime::vm::Ret()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a25ec217ce2afe8decb3d92c716e31c83',1,'tvm::runtime::vm::Instruction::Ret()']]],
+  ['ret_5ftype_3777',['ret_type',['../classtvm_1_1tir_1_1PrimFuncNode.html#aa325068615c301abec6656416cab8e09',1,'tvm::tir::PrimFuncNode::ret_type()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1PrimFuncFrameNode.html#a716400f5d324044ca6b73fe7650eb395',1,'tvm::script::ir_builder::tir::PrimFuncFrameNode::ret_type()'],['../classtvm_1_1relay_1_1FunctionNode.html#a075bcae369d873c24b7887eb7c96da40',1,'tvm::relay::FunctionNode::ret_type()'],['../structtvm_1_1relay_1_1TopKAttrs.html#a5717df [...]
+  ['ret_5fvalue_3778',['ret_value',['../structTVMPackedFunc.html#a9e4f5b78551e27db970d3e5d48f92dcf',1,'TVMPackedFunc']]],
+  ['return_5fcounts_3779',['return_counts',['../structtvm_1_1relay_1_1UniqueAttrs.html#a5ada31d79efbeb340a0cd7d5ca7c1afb',1,'tvm::relay::UniqueAttrs']]],
+  ['return_5findices_3780',['return_indices',['../structtvm_1_1relay_1_1NonMaximumSuppressionAttrs.html#a595087194062b5cce8a36479fa82716b',1,'tvm::relay::NonMaximumSuppressionAttrs']]],
+  ['return_5fregister_5f_3781',['return_register_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#afd0505002da7e7f86f82b2f3325ff127',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['return_5ftype_3782',['return_type',['../classtvm_1_1script_1_1printer_1_1FunctionDocNode.html#a4df963355e51d3604a23b916715831d9',1,'tvm::script::printer::FunctionDocNode']]],
+  ['returndoc_3783',['ReturnDoc',['../classtvm_1_1script_1_1printer_1_1ReturnDoc.html#afcf99665a7639d31b82c6cacc498a49d',1,'tvm::script::printer::ReturnDoc::ReturnDoc()'],['../classtvm_1_1script_1_1printer_1_1ReturnDoc.html',1,'tvm::script::printer::ReturnDoc']]],
+  ['returndocnode_3784',['ReturnDocNode',['../classtvm_1_1script_1_1printer_1_1ReturnDocNode.html',1,'tvm::script::printer']]],
+  ['reverse_5fiterator_3785',['reverse_iterator',['../classtvm_1_1runtime_1_1Array.html#a4886f1509998e380f032896a5afb27b9',1,'tvm::runtime::Array']]],
+  ['reverse_5fsequence_3786',['reverse_sequence',['../namespacetvm_1_1topi.html#ab8ad5eed3079de21c92a7639ed370096',1,'tvm::topi']]],
+  ['reverseattrs_3787',['ReverseAttrs',['../structtvm_1_1relay_1_1ReverseAttrs.html',1,'tvm::relay']]],
+  ['reversecomputeat_3788',['ReverseComputeAt',['../classtvm_1_1tir_1_1ScheduleNode.html#ad75e0424902b06dca23d46807a9a47d5',1,'tvm::tir::ScheduleNode']]],
+  ['reversecomputeinline_3789',['ReverseComputeInline',['../classtvm_1_1tir_1_1ScheduleNode.html#a99c902d903680da14339842dd2fd29c7',1,'tvm::tir::ScheduleNode']]],
+  ['reverseiteradapter_3790',['ReverseIterAdapter',['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#a579235eb3691b76d29b4ae5f178318ef',1,'tvm::runtime::ReverseIterAdapter::ReverseIterAdapter()'],['../classtvm_1_1runtime_1_1ReverseIterAdapter.html',1,'tvm::runtime::ReverseIterAdapter&lt; Converter, TIter &gt;']]],
+  ['reversesequenceattrs_3791',['ReverseSequenceAttrs',['../structtvm_1_1relay_1_1ReverseSequenceAttrs.html',1,'tvm::relay']]],
+  ['rewrite_3792',['Rewrite',['../classtvm_1_1relay_1_1MixedModeMutator.html#a4c93a9094db80cace013ef02e6bcd724',1,'tvm::relay::MixedModeMutator::Rewrite()'],['../classtvm_1_1relay_1_1ExprRewriter.html#a28cebb8decbe035ff95683c45f69e53b',1,'tvm::relay::ExprRewriter::Rewrite()'],['../classtvm_1_1tir_1_1IndexDataTypeNormalizer.html#afc2a6d4cb922111b859d75e3ba43c150',1,'tvm::tir::IndexDataTypeNormalizer::Rewrite()']]],
+  ['rewrite_5f_3793',['Rewrite_',['../classtvm_1_1relay_1_1ExprRewriter.html#a956ed40ff5f64ecef5e6034056184cd7',1,'tvm::relay::ExprRewriter::Rewrite_(const FunctionNode *pre, const Expr &amp;post)'],['../classtvm_1_1relay_1_1ExprRewriter.html#a7f58ff2d4c2a9485d7e2beda28e0df95',1,'tvm::relay::ExprRewriter::Rewrite_(const CallNode *pre, const Expr &amp;post)'],['../classtvm_1_1relay_1_1ExprRewriter.html#a0ce4f1f1a3abf18ee99addd3de09e99e',1,'tvm::relay::ExprRewriter::Rewrite_(const MatchNod [...]
+  ['rewrite_5fonce_3794',['rewrite_once',['../classtvm_1_1relay_1_1DFPatternCallbackNode.html#a6e4c091ba92fee08251d29633da9b8b8',1,'tvm::relay::DFPatternCallbackNode']]],
+  ['rewrite_5fsimplify_3795',['rewrite_simplify',['../classtvm_1_1arith_1_1Analyzer.html#acc86c6e8c04cb0de4ff9d78e769924b2',1,'tvm::arith::Analyzer']]],
+  ['rewriteannotatedops_3796',['RewriteAnnotatedOps',['../namespacetvm_1_1relay_1_1transform.html#a03b053f3d99d5c420ddc8492e6b987bf',1,'tvm::relay::transform::RewriteAnnotatedOps()'],['../namespacetvm_1_1relay.html#a7917e50fbb560a15995e8a617d65d1ab',1,'tvm::relay::RewriteAnnotatedOps()']]],
+  ['rewritecooperativefetch_3797',['RewriteCooperativeFetch',['../classtvm_1_1meta__schedule_1_1Postproc.html#a8a8e8e047dcdcf89ad9d96eed47c293a',1,'tvm::meta_schedule::Postproc']]],
+  ['rewriteforpretransformed_3798',['RewriteForPreTransformed',['../namespacetvm_1_1auto__scheduler.html#a3e9480be0119338696abbe9c80193b9eabe8f9c447aade4de06bdb3332885303d',1,'tvm::auto_scheduler']]],
+  ['rewritelayout_3799',['RewriteLayout',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#ae36f2943628beb48fbf9b473bb350253',1,'tvm::auto_scheduler::ComputeDAG::RewriteLayout()'],['../classtvm_1_1meta__schedule_1_1Postproc.html#a855ed7567cf6af092d19b59ceea52426',1,'tvm::meta_schedule::Postproc::RewriteLayout()']]],
+  ['rewriteparallelvectorizeunroll_3800',['RewriteParallelVectorizeUnroll',['../classtvm_1_1meta__schedule_1_1Postproc.html#ad9ba0ccb7c8c2340ce64d8b0cb4d141c',1,'tvm::meta_schedule::Postproc']]],
+  ['rewritepatterns_3801',['RewritePatterns',['../namespacetvm_1_1relay.html#ad9fd478e0f590938f8eb15e1bc45dbec',1,'tvm::relay']]],
+  ['rewritereductionblock_3802',['RewriteReductionBlock',['../classtvm_1_1meta__schedule_1_1Postproc.html#a08348595d8c50afe0167a986e034d616',1,'tvm::meta_schedule::Postproc']]],
+  ['rewritesimplifier_3803',['RewriteSimplifier',['../classtvm_1_1arith_1_1RewriteSimplifier.html',1,'tvm::arith']]],
+  ['rewritetensorize_3804',['RewriteTensorize',['../classtvm_1_1meta__schedule_1_1Postproc.html#a95db036cfced4c2575367a26a41498ff',1,'tvm::meta_schedule::Postproc']]],
+  ['rewriteunboundblock_3805',['RewriteUnboundBlock',['../classtvm_1_1meta__schedule_1_1Postproc.html#a1836b2278bc24fdc227c490896d92980',1,'tvm::meta_schedule::Postproc']]],
+  ['rewriteunsafeselect_3806',['RewriteUnsafeSelect',['../namespacetvm_1_1tir_1_1transform.html#a4fe43327c4454dd05b6e925577443f49',1,'tvm::tir::transform']]],
+  ['rfactor_3807',['RFactor',['../classtvm_1_1tir_1_1ScheduleNode.html#ab185c8eac1065290d84d58e7f4617232',1,'tvm::tir::ScheduleNode']]],
+  ['rfactor_3808',['rfactor',['../classtvm_1_1auto__scheduler_1_1State.html#a21c27b06d439267f8b981fa05c5f48a0',1,'tvm::auto_scheduler::State::rfactor()'],['../classtvm_1_1te_1_1Schedule.html#a34ae85add41bbed0140726d024d08862',1,'tvm::te::Schedule::rfactor()']]],
+  ['rfactorstep_3809',['RfactorStep',['../classtvm_1_1auto__scheduler_1_1RfactorStep.html#a95575c21441177634178245ab562cb4f',1,'tvm::auto_scheduler::RfactorStep::RfactorStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1RfactorStep.html#a26e6f85b55307f18fab4469e3bd4be0c',1,'tvm::auto_scheduler::RfactorStep::RfactorStep(int stage_id, int iter_id, int factor_iter_id)'],['../classtvm_1_1auto__scheduler_1_1RfactorStep.html',1,'tvm::auto_scheduler::RfactorStep']]],
+  ['rfactorstepnode_3810',['RfactorStepNode',['../classtvm_1_1auto__scheduler_1_1RfactorStepNode.html',1,'tvm::auto_scheduler']]],
+  ['rhs_3811',['rhs',['../classtvm_1_1tir_1_1CommReducerNode.html#a2902b0d55dd823febc6941fae9f32337',1,'tvm::tir::CommReducerNode::rhs()'],['../classtvm_1_1script_1_1printer_1_1ScopeDocNode.html#abf3636ac2820118a3d48f2fea32b2b0b',1,'tvm::script::printer::ScopeDocNode::rhs()'],['../classtvm_1_1script_1_1printer_1_1ForDocNode.html#aa72614136675287310ea08520f596642',1,'tvm::script::printer::ForDocNode::rhs()'],['../classtvm_1_1script_1_1printer_1_1AssignDocNode.html#a436fcace00d445213fc367e [...]
+  ['rhs_5faxis_3812',['rhs_axis',['../structtvm_1_1relay_1_1qnn_1_1BroadcastAttrs.html#afd0dadf19b60022c3c8cc0b0f9b6c27a',1,'tvm::relay::qnn::BroadcastAttrs']]],
+  ['rhs_5fbegin_3813',['rhs_begin',['../structtvm_1_1relay_1_1ReshapeLikeAttrs.html#a8f25936511569c3ea754e9d065ccff81',1,'tvm::relay::ReshapeLikeAttrs']]],
+  ['rhs_5fend_3814',['rhs_end',['../structtvm_1_1relay_1_1ReshapeLikeAttrs.html#a6d8d5503c7880be0e179c0f54972afad',1,'tvm::relay::ReshapeLikeAttrs']]],
+  ['rhs_5fpath_3815',['rhs_path',['../classtvm_1_1ObjectPathPairNode.html#ab0b0e402bc6722eb21829a8520d0031c',1,'tvm::ObjectPathPairNode']]],
+  ['right_3816',['right',['../classtvm_1_1relay_1_1AltPatternNode.html#a01262756211205f8764d07f2372c5d36',1,'tvm::relay::AltPatternNode::right()'],['../structtvm_1_1relay_1_1SearchSortedAttrs.html#a97bbdca17a8d0657b76b892fa3b7777a',1,'tvm::relay::SearchSortedAttrs::right()']]],
+  ['right_5fshift_3817',['right_shift',['../namespacetvm.html#ae8ecc0382685a855187bede0c97d93e6',1,'tvm::right_shift()'],['../namespacetvm_1_1topi.html#a8d155306c648c5925352eca1d7b17a60',1,'tvm::topi::right_shift(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;right_shift&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#a4eaac2e77d097d643e0fce3db96e00d0',1,'tvm::topi::right_shift(const tvm::te::Tensor &amp;A, const tvm: [...]
+  ['rms_5fnorm_3818',['rms_norm',['../namespacetvm_1_1topi_1_1nn.html#af39dd2857acc6bb37c10738a01c90778',1,'tvm::topi::nn']]],
+  ['rms_5fnorm_2eh_3819',['rms_norm.h',['../rms__norm_8h.html',1,'']]],
+  ['rocblas_2eh_3820',['rocblas.h',['../rocblas_8h.html',1,'']]],
+  ['rocblas_5fbatch_5fmatmul_3821',['rocblas_batch_matmul',['../namespacetvm_1_1topi_1_1contrib.html#abf1113dd429e1285752b48f62fe12848',1,'tvm::topi::contrib']]],
+  ['rocblas_5fmatmul_3822',['rocblas_matmul',['../namespacetvm_1_1topi_1_1contrib.html#abefad1f2ad083fc038566a9ef6278dff',1,'tvm::topi::contrib']]],
+  ['roi_3823',['roi',['../structtvm_1_1relay_1_1Resize2DAttrs.html#afe8f8b7a65f4961743089b7119117d3b',1,'tvm::relay::Resize2DAttrs::roi()'],['../structtvm_1_1relay_1_1Resize3DAttrs.html#a528fd10df78a285eeb8e72d6476f66c2',1,'tvm::relay::Resize3DAttrs::roi()'],['../structtvm_1_1relay_1_1Resize1DAttrs.html#a99ab6ec83637788da75c2d717c40bc68',1,'tvm::relay::Resize1DAttrs::roi()']]],
+  ['roialignattrs_3824',['ROIAlignAttrs',['../structtvm_1_1relay_1_1ROIAlignAttrs.html',1,'tvm::relay']]],
+  ['roipoolattrs_3825',['ROIPoolAttrs',['../structtvm_1_1relay_1_1ROIPoolAttrs.html',1,'tvm::relay']]],
+  ['rolling_5fbuffer_3826',['rolling_buffer',['../classtvm_1_1te_1_1StageNode.html#a8a709edc806b64c606a12c703fab22e4',1,'tvm::te::StageNode::rolling_buffer()'],['../classtvm_1_1te_1_1Stage.html#aa2da6dafa58e8e7a1e251867791839d4',1,'tvm::te::Stage::rolling_buffer()']]],
+  ['rolling_5fbuffer_5fscope_3827',['rolling_buffer_scope',['../namespacetvm_1_1tir_1_1attr.html#a5d0392acd85eb3cd406315d3c02eadd8',1,'tvm::tir::attr']]],
+  ['rollingbuffer_3828',['RollingBuffer',['../classtvm_1_1tir_1_1ScheduleNode.html#ab1d1f70230fa5f01d406fc122e62b190',1,'tvm::tir::ScheduleNode']]],
+  ['root_3829',['Root',['../classtvm_1_1ObjectPath.html#a21bb9513031109b9a4145fd675033f8f',1,'tvm::ObjectPath']]],
+  ['root_5falloc_5fbuffers_3830',['root_alloc_buffers',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1PrimFuncFrameNode.html#a3f9592997636143b3ad1114d8f9070cc',1,'tvm::script::ir_builder::tir::PrimFuncFrameNode']]],
+  ['root_5fiter_5fvars_3831',['root_iter_vars',['../classtvm_1_1te_1_1BaseComputeOpNode.html#aab7b5b43122ee14bb00640906267361a',1,'tvm::te::BaseComputeOpNode::root_iter_vars()'],['../classtvm_1_1te_1_1HybridOpNode.html#ade3fee4bd8fd9a06d27b533cc6e09ca2',1,'tvm::te::HybridOpNode::root_iter_vars()'],['../classtvm_1_1te_1_1ExternOpNode.html#a4e7c08092b2941fddbc2ad5e9c9584fc',1,'tvm::te::ExternOpNode::root_iter_vars()'],['../classtvm_1_1te_1_1ScanOpNode.html#a7a2670bdbf28281b2a8d977e43bc0add [...]
+  ['rootmark_3832',['RootMark',['../classtvm_1_1tir_1_1StmtSRef.html#a755ffc34c02e5af0129c7d2eedc1cfb5',1,'tvm::tir::StmtSRef']]],
+  ['rootpath_3833',['RootPath',['../classtvm_1_1RootPath.html',1,'tvm']]],
+  ['rootpathnode_3834',['RootPathNode',['../classtvm_1_1RootPathNode.html#a1242d3ad94ca19e165c04528f7c8e24e',1,'tvm::RootPathNode::RootPathNode()'],['../classtvm_1_1RootPathNode.html',1,'tvm::RootPathNode']]],
+  ['round_3835',['round',['../namespacetvm.html#a54d9c399c82d7f384ee93f235496ab64',1,'tvm::round()'],['../namespacetvm_1_1topi.html#a11030c7cd076210bf364c6f70846de78',1,'tvm::topi::round()']]],
+  ['round_5fup_5fto_5fbyte_5falignment_3836',['round_up_to_byte_alignment',['../classtvm_1_1tir_1_1usmp_1_1algo_1_1GreedyBase.html#a3581ab0723c1ab1e74cf479c7c81a803',1,'tvm::tir::usmp::algo::GreedyBase']]],
+  ['rounding_3837',['rounding',['../structtvm_1_1relay_1_1qnn_1_1RequantizeAttrs.html#ae786b4706ed872d99ad26d6c42467f87',1,'tvm::relay::qnn::RequantizeAttrs']]],
+  ['rounding_5fmethod_3838',['rounding_method',['../structtvm_1_1relay_1_1Resize3DAttrs.html#a6e15ec45b5788ebaf2101e14e7a366fb',1,'tvm::relay::Resize3DAttrs::rounding_method()'],['../structtvm_1_1relay_1_1Resize2DAttrs.html#a08b8f79180e71137f2990c7123580d7a',1,'tvm::relay::Resize2DAttrs::rounding_method()'],['../structtvm_1_1relay_1_1Resize1DAttrs.html#a1133dc610787d50cc164dc9ed6319f0c',1,'tvm::relay::Resize1DAttrs::rounding_method()']]],
+  ['roundrobin_3839',['RoundRobin',['../classtvm_1_1meta__schedule_1_1TaskScheduler.html#add2fb132a9882d815d4dd617f18482f6',1,'tvm::meta_schedule::TaskScheduler']]],
+  ['rpcrunner_3840',['RPCRunner',['../classtvm_1_1auto__scheduler_1_1RPCRunner.html#a58f9c976e0b95dba69cf50b6fc284dca',1,'tvm::auto_scheduler::RPCRunner::RPCRunner()'],['../classtvm_1_1auto__scheduler_1_1RPCRunner.html',1,'tvm::auto_scheduler::RPCRunner']]],
+  ['rpcrunnernode_3841',['RPCRunnerNode',['../classtvm_1_1auto__scheduler_1_1RPCRunnerNode.html',1,'tvm::auto_scheduler']]],
+  ['rpcwrappedfunc_3842',['RPCWrappedFunc',['../classtvm_1_1runtime_1_1NDArray_1_1Container.html#a6ccaf80c7bc6037e59b208845b20db11',1,'tvm::runtime::NDArray::Container']]],
+  ['rpn_5fmin_5fsize_3843',['rpn_min_size',['../structtvm_1_1relay_1_1ProposalAttrs.html#abee4a0809679e2a5a4f00e07e9650b5e',1,'tvm::relay::ProposalAttrs']]],
+  ['rpn_5fpost_5fnms_5ftop_5fn_3844',['rpn_post_nms_top_n',['../structtvm_1_1relay_1_1ProposalAttrs.html#afbd367be5eda478b817075e70cc6edbc',1,'tvm::relay::ProposalAttrs']]],
+  ['rpn_5fpre_5fnms_5ftop_5fn_3845',['rpn_pre_nms_top_n',['../structtvm_1_1relay_1_1ProposalAttrs.html#a87c3a6d7d2e0225ebbab9353bc60af25',1,'tvm::relay::ProposalAttrs']]],
+  ['rr_5fpartitioner_3846',['rr_partitioner',['../namespacetvm_1_1support.html#a4dcfaef2dd891a956d23298c943a3fba',1,'tvm::support']]],
+  ['rsqrt_3847',['rsqrt',['../namespacetvm_1_1topi.html#a87781e08068ff16cbae1356a32331cdc',1,'tvm::topi::rsqrt()'],['../namespacetvm.html#a5196b8d7b5d5453e624ab22d0fefb652',1,'tvm::rsqrt()']]],
+  ['run_3848',['Run',['../classtvm_1_1auto__scheduler_1_1LocalRunnerNode.html#aca193b505718b9a16483929eb93de4a6',1,'tvm::auto_scheduler::LocalRunnerNode::Run()'],['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html#aa56cb976ad41b0d2b62a4184ee74b37f',1,'tvm::auto_scheduler::ProgramRunnerNode::Run()'],['../classtvm_1_1auto__scheduler_1_1RPCRunnerNode.html#a3f56b55d156fc2edce046ab3d6686c0b',1,'tvm::auto_scheduler::RPCRunnerNode::Run()'],['../classtvm_1_1meta__schedule_1_1RunnerNode.ht [...]
+  ['run_5ferror_5fcount_3849',['run_error_count',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html#a1482e1c157ec7e4d5e472125a692721a',1,'tvm::meta_schedule::TaskRecordNode']]],
+  ['run_5fsecs_3850',['run_secs',['../classtvm_1_1meta__schedule_1_1RunnerResultNode.html#a23d5a15c8d72c17c59ec4e7f2d3fabf3',1,'tvm::meta_schedule::RunnerResultNode::run_secs()'],['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html#a00aeeedbe4d1d6008224d98c62739581',1,'tvm::meta_schedule::TuningRecordNode::run_secs()']]],
+  ['runafterpass_3851',['RunAfterPass',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#a49332b9ab696afefa427b676dbaba1b0',1,'tvm::instrument::PassInstrumentNode']]],
+  ['runbeforepass_3852',['RunBeforePass',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#a4c5781489ab0113fbfcb9a61e6348b43',1,'tvm::instrument::PassInstrumentNode']]],
+  ['runcallbacks_3853',['RunCallbacks',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#a4bf8f2f816014b03ebea564d50afeba9',1,'tvm::auto_scheduler::SearchPolicyNode']]],
+  ['runloop_3854',['RunLoop',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a08164ef76393a4efb002c1ea6b8ae447',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['runner_3855',['runner',['../classtvm_1_1auto__scheduler_1_1TuningOptionsNode.html#a355d86b2c38f0827ae1b158753d1daa2',1,'tvm::auto_scheduler::TuningOptionsNode::runner()'],['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a0f7a4a84637fd0309b52f61b65bee158',1,'tvm::auto_scheduler::ProgramMeasurerNode::runner()']]],
+  ['runner_3856',['Runner',['../classtvm_1_1meta__schedule_1_1Runner.html',1,'tvm::meta_schedule']]],
+  ['runner_2eh_3857',['runner.h',['../runner_8h.html',1,'']]],
+  ['runner_5ffutures_3858',['runner_futures',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html#ad60e5f61144b13d33841c2364e2c611b',1,'tvm::meta_schedule::TaskRecordNode']]],
+  ['runnerfuture_3859',['RunnerFuture',['../classtvm_1_1meta__schedule_1_1RunnerFuture.html#a88baeedd7be0b5cc46083f75a318317f',1,'tvm::meta_schedule::RunnerFuture::RunnerFuture()'],['../classtvm_1_1meta__schedule_1_1RunnerFuture.html',1,'tvm::meta_schedule::RunnerFuture']]],
+  ['runnerfuturenode_3860',['RunnerFutureNode',['../classtvm_1_1meta__schedule_1_1RunnerFutureNode.html',1,'tvm::meta_schedule']]],
+  ['runnerinput_3861',['RunnerInput',['../classtvm_1_1meta__schedule_1_1RunnerInput.html#a46dcc62de7cbe021e381b8c0a5e6776f',1,'tvm::meta_schedule::RunnerInput::RunnerInput()'],['../classtvm_1_1meta__schedule_1_1RunnerInput.html',1,'tvm::meta_schedule::RunnerInput']]],
+  ['runnerinputnode_3862',['RunnerInputNode',['../classtvm_1_1meta__schedule_1_1RunnerInputNode.html',1,'tvm::meta_schedule']]],
+  ['runnernode_3863',['RunnerNode',['../classtvm_1_1meta__schedule_1_1RunnerNode.html',1,'tvm::meta_schedule']]],
+  ['runnerresult_3864',['RunnerResult',['../classtvm_1_1meta__schedule_1_1RunnerResult.html#ad15dac65a62952e661aa5dc639d6a958',1,'tvm::meta_schedule::RunnerResult::RunnerResult()'],['../classtvm_1_1meta__schedule_1_1RunnerResult.html',1,'tvm::meta_schedule::RunnerResult']]],
+  ['runnerresultnode_3865',['RunnerResultNode',['../classtvm_1_1meta__schedule_1_1RunnerResultNode.html',1,'tvm::meta_schedule']]],
+  ['runtime_3866',['Runtime',['../classtvm_1_1relay_1_1Runtime.html#a301dedc4aefb25ab3fd92cefcba975dd',1,'tvm::relay::Runtime::Runtime()'],['../classtvm_1_1relay_1_1RuntimeRegEntry.html#af3d14e26ba8af9e6cc5a32aad8446de7',1,'tvm::relay::RuntimeRegEntry::Runtime()'],['../classtvm_1_1relay_1_1Runtime.html',1,'tvm::relay::Runtime']]],
+  ['runtime_2eh_3867',['runtime.h',['../runtime_8h.html',1,'']]],
+  ['runtimeenabled_3868',['RuntimeEnabled',['../namespacetvm_1_1runtime.html#ae6d933eb1e7414afa9a9a62d22cd2522',1,'tvm::runtime']]],
+  ['runtimenode_3869',['RuntimeNode',['../classtvm_1_1relay_1_1RuntimeNode.html',1,'tvm::relay']]],
+  ['runtimeobject2string_3870',['RuntimeObject2String',['../namespacetvm_1_1runtime.html#a5e4682aef4c22170449389fa455ab434',1,'tvm::runtime']]],
+  ['runtimeregentry_3871',['RuntimeRegEntry',['../classtvm_1_1relay_1_1RuntimeRegEntry.html',1,'tvm::relay']]],
+  ['runtimetypeindex_3872',['RuntimeTypeIndex',['../classtvm_1_1runtime_1_1Object.html#ad94d79729ac85aa7c976e23d39066383',1,'tvm::runtime::Object']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_14.js b/docs/reference/api/doxygen/search/all_14.js
index 1a6e4b75a5..0447fdec71 100644
--- a/docs/reference/api/doxygen/search/all_14.js
+++ b/docs/reference/api/doxygen/search/all_14.js
@@ -1,486 +1,488 @@
 var searchData=
 [
-  ['same_5fas_3872',['same_as',['../classtvm_1_1runtime_1_1ObjectRef.html#ae31a5b9f40781d60a2901994ead700e8',1,'tvm::runtime::ObjectRef']]],
-  ['sample_5fratio_3873',['sample_ratio',['../structtvm_1_1relay_1_1ROIAlignAttrs.html#a44a199408088e3c6d2b0ae13e13eff40',1,'tvm::relay::ROIAlignAttrs']]],
-  ['samplecategorical_3874',['SampleCategorical',['../classtvm_1_1tir_1_1ScheduleNode.html#ab9d2b3a98335b88f168b69deed49eb19',1,'tvm::tir::ScheduleNode']]],
-  ['samplecomputelocation_3875',['SampleComputeLocation',['../classtvm_1_1tir_1_1ScheduleNode.html#abf9fbec94271b7512c24b6eced230c39',1,'tvm::tir::ScheduleNode']]],
-  ['samplepartitionedtile_3876',['SamplePartitionedTile',['../classtvm_1_1tir_1_1ScheduleNode.html#a6d5170f35f095f0a581ea48f477e2f08',1,'tvm::tir::ScheduleNode']]],
-  ['sampleperfecttile_3877',['SamplePerfectTile',['../classtvm_1_1tir_1_1ScheduleNode.html#a2c62b3f9486dd35714df50bc424d6698',1,'tvm::tir::ScheduleNode']]],
-  ['sanitizename_3878',['SanitizeName',['../namespacetvm_1_1runtime.html#abffd1371d92046a4129a4961ef40755c',1,'tvm::runtime']]],
-  ['save_3879',['Save',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#af949a66ffb86ea941dec43fe95f8d898',1,'tvm::runtime::vm::Executable::Save()'],['../classtvm_1_1meta__schedule_1_1CostModelNode.html#a09774a50dbf33a58b8bc33a182a95bce',1,'tvm::meta_schedule::CostModelNode::Save()'],['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#a41fc20e8506595fc41c44b69a67a6d93',1,'tvm::meta_schedule::PyCostModelNode::Save()'],['../classtvm_1_1runtime_1_1NDArray.html#ae6f82ad564a648d21e9a2e4d [...]
-  ['saved_5fdeleter_5f_3880',['saved_deleter_',['../classtvm_1_1relay_1_1CallNode.html#a918ffd45556abd8b476e8e5d701e95fc',1,'tvm::relay::CallNode::saved_deleter_()'],['../classtvm_1_1relay_1_1LetNode.html#af0689c200f81b39141564e667b435cd7',1,'tvm::relay::LetNode::saved_deleter_()']]],
-  ['savedltensor_3881',['SaveDLTensor',['../namespacetvm_1_1runtime.html#a8fb37910dcd9bb6899e6a3a47f006514',1,'tvm::runtime']]],
-  ['savejson_3882',['SaveJSON',['../namespacetvm.html#aad98af358976b1598430090b7db92823',1,'tvm']]],
-  ['savetobinary_3883',['SaveToBinary',['../classtvm_1_1runtime_1_1ModuleNode.html#acd613ea7faf2fc100d1e8b0fd80020c3',1,'tvm::runtime::ModuleNode::SaveToBinary()'],['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a6fa56dfff8df1b3c353f076ee02935b0',1,'tvm::runtime::vm::Executable::SaveToBinary()']]],
-  ['savetofile_3884',['SaveToFile',['../classtvm_1_1runtime_1_1ModuleNode.html#a031fdd218e368bc96e119ccdabf482ee',1,'tvm::runtime::ModuleNode::SaveToFile()'],['../classtvm_1_1runtime_1_1vm_1_1Executable.html#aa8bb96a33b7e540b169b2994ae75a400',1,'tvm::runtime::vm::Executable::SaveToFile()']]],
-  ['scalar_3885',['Scalar',['../classtvm_1_1TensorType.html#ab3d79794f932115e9ad71d616648d05d',1,'tvm::TensorType']]],
-  ['scalar_5finputs_3886',['scalar_inputs',['../classtvm_1_1te_1_1TensorIntrinCallNode.html#a862c22c7362a799b0f44de41920bffc8',1,'tvm::te::TensorIntrinCallNode::scalar_inputs()'],['../classtvm_1_1te_1_1TensorComputeOpNode.html#ae96efdee192da92765d2a64aff1f9999',1,'tvm::te::TensorComputeOpNode::scalar_inputs()']]],
-  ['scalar_5fparams_3887',['scalar_params',['../classtvm_1_1te_1_1TensorIntrinNode.html#a9bd674ec6c6a695ea81a3651e99a78f0',1,'tvm::te::TensorIntrinNode']]],
-  ['scale_3888',['scale',['../classtvm_1_1TensorAffineTypeNode.html#a8443f9693d6f3574b85ff0b6e37b298b',1,'tvm::TensorAffineTypeNode::scale()'],['../structtvm_1_1relay_1_1GroupNormAttrs.html#aafc02cdca5286cca8ee5c7f23cf091ba',1,'tvm::relay::GroupNormAttrs::scale()'],['../structtvm_1_1relay_1_1InstanceNormAttrs.html#a3c3ea1bc3de46864e1a355711ac7d2a1',1,'tvm::relay::InstanceNormAttrs::scale()'],['../classtvm_1_1arith_1_1IterSplitExprNode.html#a93c760bb7a5af0c7c442dc879d7bc55a',1,'tvm::arith [...]
-  ['scale_5fd_3889',['scale_d',['../structtvm_1_1relay_1_1UpSampling3DAttrs.html#a73f52d511b9d564724930bc40497cee7',1,'tvm::relay::UpSampling3DAttrs']]],
-  ['scale_5fh_3890',['scale_h',['../structtvm_1_1relay_1_1UpSampling3DAttrs.html#a8ce387249c84609450b32fd69d719366',1,'tvm::relay::UpSampling3DAttrs::scale_h()'],['../structtvm_1_1relay_1_1UpSamplingAttrs.html#a0783ffc51d1f90cf5c0762052c4eaf5c',1,'tvm::relay::UpSamplingAttrs::scale_h()']]],
-  ['scale_5fshift_5fnchw_3891',['scale_shift_nchw',['../namespacetvm_1_1topi_1_1nn.html#a07b8a04f27f17af58dd9f18218cfe081',1,'tvm::topi::nn']]],
-  ['scale_5fshift_5fnhwc_3892',['scale_shift_nhwc',['../namespacetvm_1_1topi_1_1nn.html#acaf3bc4e89fc55b8444d2550d25f4f22',1,'tvm::topi::nn']]],
-  ['scale_5fw_3893',['scale_w',['../structtvm_1_1relay_1_1UpSamplingAttrs.html#af6c8568dcaaf3106502660a74b5847dd',1,'tvm::relay::UpSamplingAttrs::scale_w()'],['../structtvm_1_1relay_1_1UpSampling3DAttrs.html#a270613b4109d2b24766b7bfbac2539c1',1,'tvm::relay::UpSampling3DAttrs::scale_w()']]],
-  ['scales_3894',['scales',['../structtvm_1_1relay_1_1ProposalAttrs.html#a0fbb2676833987768b1062753e2df1a3',1,'tvm::relay::ProposalAttrs']]],
-  ['scan_3895',['scan',['../namespacetvm_1_1te.html#a2211520c227ed5ec6fe220076a495eea',1,'tvm::te']]],
-  ['scan_3896',['Scan',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html#a9c92d0b33d1cf1e8c9a57eefc7b4430f',1,'tvm::script::ir_builder::tir::axis']]],
-  ['scan_5faxis_3897',['scan_axis',['../classtvm_1_1te_1_1ScanOpNode.html#a9c67e6383696efbba8e1ff9bd7470c8a',1,'tvm::te::ScanOpNode']]],
-  ['scan_5finit_5fscope_3898',['scan_init_scope',['../namespacetvm_1_1tir_1_1attr.html#af18e3a9ba11d436e02b55e420647b22b',1,'tvm::tir::attr']]],
-  ['scan_5fupdate_5fscope_3899',['scan_update_scope',['../namespacetvm_1_1tir_1_1attr.html#a84f5d42e968fd8f4cdd7a4aac7ba2137',1,'tvm::tir::attr']]],
-  ['scanop_3900',['ScanOp',['../classtvm_1_1te_1_1ScanOp.html#ac09bdcae5190ada19d18f1f77f2c8a65',1,'tvm::te::ScanOp::ScanOp()'],['../classtvm_1_1te_1_1ScanOp.html',1,'tvm::te::ScanOp']]],
-  ['scanopattrs_3901',['ScanopAttrs',['../structtvm_1_1relay_1_1ScanopAttrs.html',1,'tvm::relay']]],
-  ['scanopnode_3902',['ScanOpNode',['../classtvm_1_1te_1_1ScanOpNode.html#a1b681295f74cb94732ef167a15a8488f',1,'tvm::te::ScanOpNode::ScanOpNode()'],['../classtvm_1_1te_1_1ScanOpNode.html',1,'tvm::te::ScanOpNode']]],
-  ['scatterelementsattrs_3903',['ScatterElementsAttrs',['../structtvm_1_1relay_1_1ScatterElementsAttrs.html',1,'tvm::relay']]],
-  ['scatterndattrs_3904',['ScatterNDAttrs',['../structtvm_1_1relay_1_1ScatterNDAttrs.html',1,'tvm::relay']]],
-  ['sch_3905',['sch',['../classtvm_1_1meta__schedule_1_1MeasureCandidateNode.html#a09ca07d9214f494e04ea57972109c6d6',1,'tvm::meta_schedule::MeasureCandidateNode']]],
-  ['sch_5frules_3906',['sch_rules',['../classtvm_1_1meta__schedule_1_1SpaceGeneratorNode.html#a13d999ca33bcf255fb66cb466137a402',1,'tvm::meta_schedule::SpaceGeneratorNode']]],
-  ['schedulable_5fndim_3907',['schedulable_ndim',['../classtvm_1_1te_1_1TensorComputeOpNode.html#af9fbd1dbdccbfbef35a87137b6a1bee6',1,'tvm::te::TensorComputeOpNode']]],
-  ['schedule_3908',['Schedule',['../classtvm_1_1te_1_1Schedule.html#a1eb19ccb06835a11edc39ed1410f01af',1,'tvm::te::Schedule::Schedule()'],['../classtvm_1_1tir_1_1ScheduleNode.html#aae5808dc2e987bf17ef42196457a654d',1,'tvm::tir::ScheduleNode::Schedule()'],['../classtvm_1_1relay_1_1OpImplementation.html#a13a90107dc66983c53faf1620b022d28',1,'tvm::relay::OpImplementation::Schedule()'],['../classtvm_1_1te_1_1Schedule.html#a90fb6686f85d6a0be14fe52de8f48402',1,'tvm::te::Schedule::Schedule(Array [...]
-  ['schedule_2eh_3909',['schedule.h',['../tir_2schedule_2schedule_8h.html',1,'(Global Namespace)'],['../te_2schedule_8h.html',1,'(Global Namespace)']]],
-  ['schedule_5fbinarize_5fpack_3910',['schedule_binarize_pack',['../namespacetvm_1_1topi_1_1x86.html#a147c5543900d2577386840c2df896a42',1,'tvm::topi::x86']]],
-  ['schedule_5fbinary_5fdense_3911',['schedule_binary_dense',['../namespacetvm_1_1topi_1_1x86.html#a816e1819d7c26cb68aa858474bc97e46',1,'tvm::topi::x86']]],
-  ['schedule_5fdense_3912',['schedule_dense',['../namespacetvm_1_1topi_1_1cuda.html#a67def722e608bf15e836cec8181f75ff',1,'tvm::topi::cuda::schedule_dense()'],['../namespacetvm_1_1topi_1_1rocm.html#abe13cfee88cd67a15c064d16f4af46ad',1,'tvm::topi::rocm::schedule_dense()']]],
-  ['schedule_5fextern_3913',['schedule_extern',['../namespacetvm_1_1topi_1_1generic.html#a6ffba9caa2147c24b8f2fa53f6618e5b',1,'tvm::topi::generic']]],
-  ['schedule_5fglobal_5fpool_3914',['schedule_global_pool',['../namespacetvm_1_1topi_1_1cuda.html#ad29a3518671a48fab5b0eb18de35e787',1,'tvm::topi::cuda::schedule_global_pool()'],['../namespacetvm_1_1topi_1_1rocm.html#a45aee34b0000f98aafd958ffe9baebc0',1,'tvm::topi::rocm::schedule_global_pool()']]],
-  ['schedule_5finjective_3915',['schedule_injective',['../namespacetvm_1_1topi_1_1generic.html#a74857e4462b70fadef2d1761e2fbf514',1,'tvm::topi::generic::schedule_injective()'],['../namespacetvm_1_1topi_1_1cuda.html#a9a137fa03c26e87448d89697f344c9ce',1,'tvm::topi::cuda::schedule_injective()'],['../namespacetvm_1_1topi_1_1rocm.html#aecff022e332d1556f92d9606af40ccb1',1,'tvm::topi::rocm::schedule_injective()'],['../namespacetvm_1_1topi_1_1x86.html#a9ff21a27a270e187c985a93b565232c4',1,'tvm::t [...]
-  ['schedule_5finjective_5ffrom_5fexisting_3916',['schedule_injective_from_existing',['../namespacetvm_1_1topi_1_1generic.html#ac702cf597da4bd20ca41c12c9d6f339c',1,'tvm::topi::generic::schedule_injective_from_existing()'],['../namespacetvm_1_1topi_1_1cuda.html#acd6a96595f034560c78038c593dd9c3e',1,'tvm::topi::cuda::schedule_injective_from_existing()'],['../namespacetvm_1_1topi_1_1rocm.html#aade0f256897bf615640b06ce02171b14',1,'tvm::topi::rocm::schedule_injective_from_existing()'],['../nam [...]
-  ['schedule_5fpass_2eh_3917',['schedule_pass.h',['../schedule__pass_8h.html',1,'']]],
-  ['schedule_5fpool_3918',['schedule_pool',['../namespacetvm_1_1topi_1_1cuda.html#a8730c64578bca631c66bfde693730ca6',1,'tvm::topi::cuda::schedule_pool()'],['../namespacetvm_1_1topi_1_1rocm.html#a7d6d48e5cbcec1b7cc0a90c7399e2a8a',1,'tvm::topi::rocm::schedule_pool()']]],
-  ['schedule_5frecord_3919',['schedule_record',['../classtvm_1_1te_1_1ScheduleNode.html#a52983b1afd658ec3b885b3b076c6203d',1,'tvm::te::ScheduleNode']]],
-  ['schedule_5freduce_3920',['schedule_reduce',['../namespacetvm_1_1topi_1_1cuda.html#a674cabb64c0a45fd58c595389beb4919',1,'tvm::topi::cuda::schedule_reduce()'],['../namespacetvm_1_1topi_1_1rocm.html#aa4e0bacdd895904427bfc300ca9ace32',1,'tvm::topi::rocm::schedule_reduce()']]],
-  ['schedule_5frule_2eh_3921',['schedule_rule.h',['../schedule__rule_8h.html',1,'']]],
-  ['schedule_5fsoftmax_3922',['schedule_softmax',['../namespacetvm_1_1topi_1_1rocm.html#ab71ce2b3685f0ce5f30d2d661c5e799b',1,'tvm::topi::rocm::schedule_softmax()'],['../namespacetvm_1_1topi_1_1cuda.html#a4a2a33ae8186abc6af4dae2ffd12ff91',1,'tvm::topi::cuda::schedule_softmax()']]],
-  ['schedulecontext_3923',['ScheduleContext',['../classtvm_1_1te_1_1ScheduleContext.html',1,'tvm::te']]],
-  ['scheduledebugmask_3924',['ScheduleDebugMask',['../namespacetvm_1_1tir.html#a230fa4eb6152910f125f636dab3bd4e0',1,'tvm::tir']]],
-  ['scheduleerrorrenderlevel_3925',['ScheduleErrorRenderLevel',['../namespacetvm_1_1tir.html#a9ae244600a5e56c4adc9faf6d88f931e',1,'tvm::tir']]],
-  ['schedulefn_3926',['ScheduleFn',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a4a7bf04c99138534f38508157baf602c',1,'tvm::meta_schedule::SpaceGenerator']]],
-  ['schedulefndatabase_3927',['ScheduleFnDatabase',['../classtvm_1_1meta__schedule_1_1Database.html#a75f998ad3493c37b4ad2a1d93f454443',1,'tvm::meta_schedule::Database']]],
-  ['schedulenode_3928',['ScheduleNode',['../classtvm_1_1te_1_1ScheduleNode.html',1,'tvm::te::ScheduleNode'],['../classtvm_1_1tir_1_1ScheduleNode.html',1,'tvm::tir::ScheduleNode']]],
-  ['scheduleops_3929',['ScheduleOps',['../namespacetvm_1_1te.html#ac5f0fdd7c2d3deb15b7855c5b1ff1aff',1,'tvm::te']]],
-  ['schedulepostproctoprimfunc_3930',['SchedulePostProcToPrimFunc',['../namespacetvm_1_1te.html#a9eb39ad3aa5af348b1b27e755074f525',1,'tvm::te']]],
-  ['schedulereduce_3931',['ScheduleReduce',['../namespacetvm_1_1topi_1_1cuda.html#a3dbbf8bdb78533c15e62ab0e874eb360',1,'tvm::topi::cuda']]],
-  ['schedulerule_3932',['ScheduleRule',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html',1,'tvm::meta_schedule']]],
-  ['schedulerulenode_3933',['ScheduleRuleNode',['../classtvm_1_1meta__schedule_1_1ScheduleRuleNode.html',1,'tvm::meta_schedule']]],
-  ['schedulestate_3934',['ScheduleState',['../classtvm_1_1tir_1_1ScheduleState.html#a00cb7c3bda192835122e95de78925a7b',1,'tvm::tir::ScheduleState::ScheduleState()'],['../classtvm_1_1tir_1_1ScheduleState.html',1,'tvm::tir::ScheduleState']]],
-  ['schedulestatenode_3935',['ScheduleStateNode',['../classtvm_1_1tir_1_1ScheduleStateNode.html',1,'tvm::tir']]],
-  ['scheduletomodule_3936',['ScheduleToModule',['../namespacetvm.html#a16d68709766f3c808c5a8921d4f72274',1,'tvm']]],
-  ['scope_3937',['scope',['../classtvm_1_1tir_1_1Buffer.html#a27744860792f4dd5980ac62c0b9c4405',1,'tvm::tir::Buffer::scope()'],['../structtvm_1_1tir_1_1BlockInfo.html#a26f43b05e354b8e860a365c4c98c6f11',1,'tvm::tir::BlockInfo::scope()'],['../classtvm_1_1te_1_1StageNode.html#a55acf027a39738cd1ddd063b27086038',1,'tvm::te::StageNode::scope()']]],
-  ['scope_5fname_3938',['scope_name',['../classtvm_1_1auto__scheduler_1_1CacheReadStepNode.html#a3acb0811d66ee113a91c7c3501bbf01a',1,'tvm::auto_scheduler::CacheReadStepNode::scope_name()'],['../classtvm_1_1auto__scheduler_1_1CacheWriteStepNode.html#ae296d4462c2def1263a95a4c57ce9514',1,'tvm::auto_scheduler::CacheWriteStepNode::scope_name()']]],
-  ['scopedoc_3939',['ScopeDoc',['../classtvm_1_1script_1_1printer_1_1ScopeDoc.html#aec595b3a2a9e9fb8fbc22c3f58a9dec7',1,'tvm::script::printer::ScopeDoc::ScopeDoc(Optional&lt; ExprDoc &gt; lhs, ExprDoc rhs, Array&lt; StmtDoc &gt; body)'],['../classtvm_1_1script_1_1printer_1_1ScopeDoc.html#a04590cd32fa1ed52f376549be09c214e',1,'tvm::script::printer::ScopeDoc::ScopeDoc(ExprDoc rhs, Array&lt; StmtDoc &gt; body)'],['../classtvm_1_1script_1_1printer_1_1ScopeDoc.html',1,'tvm::script::printer::Sc [...]
-  ['scopedocnode_3940',['ScopeDocNode',['../classtvm_1_1script_1_1printer_1_1ScopeDocNode.html',1,'tvm::script::printer']]],
-  ['scopedtimer_3941',['ScopedTimer',['../classtvm_1_1meta__schedule_1_1ScopedTimer.html',1,'tvm::meta_schedule']]],
-  ['score_5findex_3942',['score_index',['../structtvm_1_1relay_1_1GetValidCountsAttrs.html#ac1f6c8ed8f47f543c20501af98cff87b',1,'tvm::relay::GetValidCountsAttrs::score_index()'],['../structtvm_1_1relay_1_1NonMaximumSuppressionAttrs.html#aef590e963d5af653e09e6327808c4723',1,'tvm::relay::NonMaximumSuppressionAttrs::score_index()']]],
-  ['score_5fthreshold_3943',['score_threshold',['../structtvm_1_1relay_1_1GetValidCountsAttrs.html#ad9cb7822369b93d0be7b2eeb01e2bcd5',1,'tvm::relay::GetValidCountsAttrs::score_threshold()'],['../structtvm_1_1relay_1_1RegularNonMaximumSuppressionAttrs.html#a8fb99d0720c0a115ce3165f9411022d8',1,'tvm::relay::RegularNonMaximumSuppressionAttrs::score_threshold()']]],
-  ['script_3944',['Script',['../classtvm_1_1TVMScriptPrinter.html#a703e7ac95c8a0672506323f6a0ea09f4',1,'tvm::TVMScriptPrinter']]],
-  ['script_5fparsing_5fdetect_5faccess_3945',['script_parsing_detect_access',['../namespacetvm_1_1tir_1_1attr.html#a3c66b2c968e985c3f4264979c18622e8',1,'tvm::tir::attr']]],
-  ['script_5fprinter_2eh_3946',['script_printer.h',['../script__printer_8h.html',1,'']]],
-  ['scriptdtypeprintlocation_3947',['ScriptDtypePrintLocation',['../namespacetvm_1_1tir.html#ae52a7f5a7dfc8ed07258b2f526df603e',1,'tvm::tir']]],
-  ['search_3948',['Search',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#aa118c6b76b8b70ef2ee614553532a49c',1,'tvm::auto_scheduler::SearchPolicyNode']]],
-  ['search_5fpolicy_2eh_3949',['search_policy.h',['../search__policy_8h.html',1,'']]],
-  ['search_5fstrategy_3950',['search_strategy',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#ac0030a1f3321be5cbc75226be5690b4b',1,'tvm::meta_schedule::TuneContextNode']]],
-  ['search_5fstrategy_2eh_3951',['search_strategy.h',['../search__strategy_8h.html',1,'']]],
-  ['search_5ftask_3952',['search_task',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#a47000a055992988ae674b5219ae5f607',1,'tvm::auto_scheduler::SearchPolicyNode']]],
-  ['search_5ftask_2eh_3953',['search_task.h',['../search__task_8h.html',1,'']]],
-  ['searchcallback_3954',['SearchCallback',['../classtvm_1_1auto__scheduler_1_1SearchCallback.html',1,'tvm::auto_scheduler']]],
-  ['searchcallbacknode_3955',['SearchCallbackNode',['../classtvm_1_1auto__scheduler_1_1SearchCallbackNode.html',1,'tvm::auto_scheduler']]],
-  ['searchpolicy_3956',['SearchPolicy',['../classtvm_1_1auto__scheduler_1_1SearchPolicy.html',1,'tvm::auto_scheduler']]],
-  ['searchpolicykey_3957',['SearchPolicyKey',['../structtvm_1_1auto__scheduler_1_1SearchPolicyKey.html',1,'tvm::auto_scheduler']]],
-  ['searchpolicynode_3958',['SearchPolicyNode',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html',1,'tvm::auto_scheduler']]],
-  ['searchsortedattrs_3959',['SearchSortedAttrs',['../structtvm_1_1relay_1_1SearchSortedAttrs.html',1,'tvm::relay']]],
-  ['searchstrategy_3960',['SearchStrategy',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html',1,'tvm::meta_schedule']]],
-  ['searchstrategynode_3961',['SearchStrategyNode',['../classtvm_1_1meta__schedule_1_1SearchStrategyNode.html',1,'tvm::meta_schedule']]],
-  ['searchtask_3962',['SearchTask',['../classtvm_1_1auto__scheduler_1_1SearchTask.html#ad222f58917a347f10ee27fd6afdab144',1,'tvm::auto_scheduler::SearchTask::SearchTask()'],['../classtvm_1_1auto__scheduler_1_1SearchTask.html',1,'tvm::auto_scheduler::SearchTask']]],
-  ['searchtasknode_3963',['SearchTaskNode',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html',1,'tvm::auto_scheduler']]],
-  ['seed_3964',['Seed',['../classtvm_1_1tir_1_1ScheduleNode.html#a3cb60d6112fe5a443ef39bc005c9fbf1',1,'tvm::tir::ScheduleNode::Seed()'],['../classtvm_1_1support_1_1LinearCongruentialEngine.html#a4cfd606560acb061fdf2681f7272c9df',1,'tvm::support::LinearCongruentialEngine::Seed()']]],
-  ['select_3965',['Select',['../classtvm_1_1tir_1_1Select.html#acd72de75a457efce463983c4ab01b07d',1,'tvm::tir::Select::Select()'],['../classtvm_1_1tir_1_1Select.html',1,'tvm::tir::Select']]],
-  ['select_5flast_5findex_3966',['select_last_index',['../structtvm_1_1relay_1_1ArgReduceAttrs.html#a8c2cc26237f538ad5fa20b0dc7bef0ed',1,'tvm::relay::ArgReduceAttrs']]],
-  ['selectnode_3967',['SelectNode',['../classtvm_1_1tir_1_1SelectNode.html',1,'tvm::tir']]],
-  ['selectplacementpool_3968',['SelectPlacementPool',['../classtvm_1_1tir_1_1usmp_1_1algo_1_1GreedyBase.html#a1b5b649e57b00a10336e4c192b00c294',1,'tvm::tir::usmp::algo::GreedyBase']]],
-  ['selectsequalreduce_3969',['SelectSEqualReduce',['../structtvm_1_1detail_1_1SelectSEqualReduce.html',1,'tvm::detail']]],
-  ['selectsequalreduce_3c_20t_2c_20traitname_2c_20false_20_3e_3970',['SelectSEqualReduce&lt; T, TraitName, false &gt;',['../structtvm_1_1detail_1_1SelectSEqualReduce_3_01T_00_01TraitName_00_01false_01_4.html',1,'tvm::detail']]],
-  ['selectshashreduce_3971',['SelectSHashReduce',['../structtvm_1_1detail_1_1SelectSHashReduce.html',1,'tvm::detail']]],
-  ['selectshashreduce_3c_20t_2c_20traitname_2c_20false_20_3e_3972',['SelectSHashReduce&lt; T, TraitName, false &gt;',['../structtvm_1_1detail_1_1SelectSHashReduce_3_01T_00_01TraitName_00_01false_01_4.html',1,'tvm::detail']]],
-  ['selectvisitattrs_3973',['SelectVisitAttrs',['../structtvm_1_1detail_1_1SelectVisitAttrs.html',1,'tvm::detail']]],
-  ['selectvisitattrs_3c_20t_2c_20traitname_2c_20false_20_3e_3974',['SelectVisitAttrs&lt; T, TraitName, false &gt;',['../structtvm_1_1detail_1_1SelectVisitAttrs_3_01T_00_01TraitName_00_01false_01_4.html',1,'tvm::detail']]],
-  ['self_3975',['self',['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#a5bac4439279428fb3c0d44aa6b1cc798',1,'tvm::runtime::MapNode::iterator']]],
-  ['self_3976',['Self',['../classtvm_1_1runtime_1_1InplaceArrayBase.html#ae447f7c7a742fb3f5613a632706509df',1,'tvm::runtime::InplaceArrayBase']]],
-  ['sendbodychunk_3977',['SendBodyChunk',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a37b77101825145283cced6cd05eb502c',1,'tvm::runtime::micro_rpc::Session']]],
-  ['sendmessage_3978',['SendMessage',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a6e540521a7e9188564da712c0641619c',1,'tvm::runtime::micro_rpc::Session']]],
-  ['seq_3979',['seq',['../classtvm_1_1tir_1_1SeqStmtNode.html#a0e548955529d35c56e646fcaac38f865',1,'tvm::tir::SeqStmtNode']]],
-  ['seq_5faxis_3980',['seq_axis',['../structtvm_1_1relay_1_1ReverseSequenceAttrs.html#ab107432fff56dfd9ef4a0600ee616ade',1,'tvm::relay::ReverseSequenceAttrs']]],
-  ['seq_5findex_3981',['seq_index',['../classtvm_1_1tir_1_1StmtSRefNode.html#a1ce9920064b0c2ed42be0e9412fd3939',1,'tvm::tir::StmtSRefNode']]],
-  ['seqstmt_3982',['SeqStmt',['../classtvm_1_1tir_1_1SeqStmt.html#afe971b51fb6990008a118d4aa16c0920',1,'tvm::tir::SeqStmt::SeqStmt()'],['../classtvm_1_1tir_1_1SeqStmt.html',1,'tvm::tir::SeqStmt']]],
-  ['seqstmtnode_3983',['SeqStmtNode',['../classtvm_1_1tir_1_1SeqStmtNode.html',1,'tvm::tir']]],
-  ['sequalhandlerdefault_3984',['SEqualHandlerDefault',['../classtvm_1_1SEqualHandlerDefault.html#a843bd92c063e719d7459228594fc9237',1,'tvm::SEqualHandlerDefault::SEqualHandlerDefault()'],['../classtvm_1_1SEqualHandlerDefault.html',1,'tvm::SEqualHandlerDefault']]],
-  ['sequalreduce_3985',['SEqualReduce',['../structtvm_1_1WorkspaceMemoryPoolsNode.html#a83c9882d87f8afdf241e727886651fe8',1,'tvm::WorkspaceMemoryPoolsNode::SEqualReduce()'],['../classtvm_1_1RangeNode.html#a53988be7b3181aa3b55eb991b615c48d',1,'tvm::RangeNode::SEqualReduce()'],['../classtvm_1_1PointerTypeNode.html#a6ebf93cad9d56332ee2d90ad21aee08c',1,'tvm::PointerTypeNode::SEqualReduce()'],['../structtvm_1_1ConstantMemoryPoolsNode.html#a298cff0d8167978183f155d4b28eaac7',1,'tvm::ConstantMem [...]
-  ['sequalreducer_3986',['SEqualReducer',['../classtvm_1_1SEqualReducer.html#abb85d3142af880fb96ae79423e5c382e',1,'tvm::SEqualReducer::SEqualReducer()=default'],['../classtvm_1_1SEqualReducer.html#a97eb296b45fee73c0ff6064c8eebdd7c',1,'tvm::SEqualReducer::SEqualReducer(Handler *handler, const PathTracingData *tracing_data, bool map_free_vars)'],['../classtvm_1_1SEqualReducer.html',1,'tvm::SEqualReducer']]],
-  ['sequence_5fmask_3987',['sequence_mask',['../namespacetvm_1_1topi.html#a037a112cc5c556107797e36e7feb0873',1,'tvm::topi']]],
-  ['sequencemaskattrs_3988',['SequenceMaskAttrs',['../structtvm_1_1relay_1_1SequenceMaskAttrs.html',1,'tvm::relay']]],
-  ['sequential_3989',['Sequential',['../namespacetvm_1_1relay_1_1transform.html#a3ae923037d22b4640b450c06e6c1e33e',1,'tvm::relay::transform::Sequential()'],['../classtvm_1_1transform_1_1Sequential.html#ad8a15a63b3d4a2b10518c7a61a761416',1,'tvm::transform::Sequential::Sequential(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1transform_1_1Sequential.html#abfea4507335788cb4d2cf0cfe605d3d6',1,'tvm::transform::Sequential::Sequential()=default'],['../classtvm_1_1transform_1_1Sequential.html#a [...]
-  ['sequentialnode_3990',['SequentialNode',['../classtvm_1_1transform_1_1SequentialNode.html',1,'tvm::transform']]],
-  ['sequentialspan_3991',['SequentialSpan',['../classtvm_1_1SequentialSpan.html#a271a8abb10ee43d964fc783955b337e0',1,'tvm::SequentialSpan::SequentialSpan(Array&lt; Span &gt; spans)'],['../classtvm_1_1SequentialSpan.html#aa5adb345b82e0be21d8fbc2a13573846',1,'tvm::SequentialSpan::SequentialSpan(std::initializer_list&lt; Span &gt; init)'],['../classtvm_1_1SequentialSpan.html',1,'tvm::SequentialSpan']]],
-  ['sequentialspannode_3992',['SequentialSpanNode',['../classtvm_1_1SequentialSpanNode.html',1,'tvm']]],
-  ['serial_3993',['Serial',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a4bc5b417d21541e3b45a9eaa5c438a96',1,'tvm::script::ir_builder::tir']]],
-  ['serialization_2eh_3994',['serialization.h',['../serialization_8h.html',1,'']]],
-  ['serializemoduletobytes_3995',['SerializeModuleToBytes',['../namespacetvm_1_1codegen.html#a45234a2d35685aa86c803ae4426e0315',1,'tvm::codegen']]],
-  ['serializer_2eh_3996',['serializer.h',['../serializer_8h.html',1,'']]],
-  ['session_3997',['Session',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#abaf0fe1fe09c268c7c44719dd0cbfa8c',1,'tvm::runtime::micro_rpc::Session::Session()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html',1,'tvm::runtime::micro_rpc::Session']]],
-  ['session_2eh_3998',['session.h',['../session_8h.html',1,'']]],
-  ['session_5fid_3999',['session_id',['../structtvm_1_1runtime_1_1micro__rpc_1_1SessionHeader.html#ae3a24ea6c4a1569d540f1d5330bf73d5',1,'tvm::runtime::micro_rpc::SessionHeader']]],
-  ['sessionheader_4000',['SessionHeader',['../namespacetvm_1_1runtime_1_1micro__rpc.html#aa46cb8bcbeecd0a410328ab51aa3b737',1,'tvm::runtime::micro_rpc::SessionHeader()'],['../structtvm_1_1runtime_1_1micro__rpc_1_1SessionHeader.html',1,'tvm::runtime::micro_rpc::SessionHeader']]],
-  ['set_4001',['Set',['../classtvm_1_1runtime_1_1Array.html#ab5db2ee9a8be71931324dac552be24c4',1,'tvm::runtime::Array::Set()'],['../classtvm_1_1runtime_1_1Map.html#ad3a78d88e3a9292d11ce04ff2dfe0702',1,'tvm::runtime::Map::Set()']]],
-  ['set_5fapply_5fto_5fschedule_4002',['set_apply_to_schedule',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#a672657caeb76b9f017a3e4e26638ed8e',1,'tvm::tir::InstructionKindRegEntry']]],
-  ['set_5fas_5fpython_4003',['set_as_python',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#ace9c0ce6dc6a0262db9e58e555baf316',1,'tvm::tir::InstructionKindRegEntry']]],
-  ['set_5fattr_4004',['set_attr',['../classtvm_1_1OpRegEntry.html#adc8816f7e131c9a2fd1935265c8af783',1,'tvm::OpRegEntry::set_attr()'],['../classtvm_1_1TargetKindRegEntry.html#a4fa4f8e5fa280ddf3dc71310afd467a5',1,'tvm::TargetKindRegEntry::set_attr()']]],
-  ['set_5fattrs_5fas_5fjson_4005',['set_attrs_as_json',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#a42abbb999a4b1ae4201da5b89a84ec37',1,'tvm::tir::InstructionKindRegEntry']]],
-  ['set_5fattrs_5ffrom_5fjson_4006',['set_attrs_from_json',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#a2f0749de5261c72cbf8bdac2bd22b31e',1,'tvm::tir::InstructionKindRegEntry']]],
-  ['set_5fattrs_5fpreprocessor_4007',['set_attrs_preprocessor',['../classtvm_1_1TargetKindRegEntry.html#a00b1eb0ab1927210a6a519baecb3085e',1,'tvm::TargetKindRegEntry']]],
-  ['set_5fattrs_5ftype_4008',['set_attrs_type',['../classtvm_1_1OpRegEntry.html#aa86816470fa8482a20558739f2691c23',1,'tvm::OpRegEntry']]],
-  ['set_5fattrs_5ftype_5fkey_4009',['set_attrs_type_key',['../classtvm_1_1OpRegEntry.html#a0c6a92638cb8252abb4ddd55e7c9380f',1,'tvm::OpRegEntry']]],
-  ['set_5faxis_5fseparators_4010',['set_axis_separators',['../classtvm_1_1te_1_1Stage.html#af550fb58b5827bb536a3f5f68354a8e5',1,'tvm::te::Stage']]],
-  ['set_5fbody_4011',['set_body',['../classtvm_1_1runtime_1_1Registry.html#a4070955dda6e6127dcc9d418c79cc9bd',1,'tvm::runtime::Registry::set_body(TCallable f)'],['../classtvm_1_1runtime_1_1Registry.html#aed802ed365a13a9cef9de4e9f601b62a',1,'tvm::runtime::Registry::set_body(PackedFunc f)']]],
-  ['set_5fbody_5fmethod_4012',['set_body_method',['../classtvm_1_1runtime_1_1Registry.html#a106607f2535dc7c838646aabd029aa60',1,'tvm::runtime::Registry::set_body_method(R(TNode::*f)(Args...) const)'],['../classtvm_1_1runtime_1_1Registry.html#ae5fcbc18ff9a33ad700592dc8e46bd90',1,'tvm::runtime::Registry::set_body_method(R(TNode::*f)(Args...))'],['../classtvm_1_1runtime_1_1Registry.html#ae5f7cc19067b609a79e1bb32b7b6f086',1,'tvm::runtime::Registry::set_body_method(R(T::*f)(Args...))'],['../c [...]
-  ['set_5fbody_5ftyped_4013',['set_body_typed',['../classtvm_1_1runtime_1_1Registry.html#a607848df01d8c33d7e2cf40e7dae42b1',1,'tvm::runtime::Registry']]],
-  ['set_5fconfig_4014',['set_config',['../classtvm_1_1TargetTagRegEntry.html#a3c1b66885a103360f56a17ef1e4dde2e',1,'tvm::TargetTagRegEntry']]],
-  ['set_5fcreator_4015',['set_creator',['../classtvm_1_1ReflectionVTable_1_1Registry.html#a33948eae2c61e1c80c637f08b516594a',1,'tvm::ReflectionVTable::Registry']]],
-  ['set_5fdefault_4016',['set_default',['../structtvm_1_1detail_1_1AttrNopEntry.html#a370e92bafbada9ba805a52e72881f98b',1,'tvm::detail::AttrNopEntry::set_default()'],['../structtvm_1_1detail_1_1AttrInitEntry.html#ae6f6e6264a5b6373b2daada1f55a1dca',1,'tvm::detail::AttrInitEntry::set_default()'],['../classtvm_1_1detail_1_1AttrDocEntry.html#a2a0d680fbaaef688f3ffb9e5d897e417',1,'tvm::detail::AttrDocEntry::set_default()'],['../structtvm_1_1detail_1_1AttrTriggerNonDefaultEntry.html#ae88a65b8d9 [...]
-  ['set_5fdefault_5fdevice_5ftype_4017',['set_default_device_type',['../classtvm_1_1TargetKindRegEntry.html#aa34789ae275e36dcd6696aa3881bbc92',1,'tvm::TargetKindRegEntry']]],
-  ['set_5fdefault_5fkeys_4018',['set_default_keys',['../classtvm_1_1TargetKindRegEntry.html#a2995c32e12246e892f7f4cb621a2819c',1,'tvm::TargetKindRegEntry']]],
-  ['set_5fdispatch_4019',['set_dispatch',['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#ae278c90765380fdcb96043f403006420',1,'tvm::script::printer::IRDocsifierFunctor::set_dispatch(String token, TCallable f)'],['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#ac8f03bc06fa0f52d9f0d22fcc94d9f9c',1,'tvm::script::printer::IRDocsifierFunctor::set_dispatch(String token, uint32_t type_index, runtime::PackedFunc f)'],['../classtvm_1_1NodeFunctor_3_01R_07const_01ObjectR [...]
-  ['set_5ffallback_4020',['set_fallback',['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#a89cc270c31e8d39d38fabc8b92b35cc8',1,'tvm::script::printer::IRDocsifierFunctor::set_fallback(runtime::PackedFunc f)'],['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#a27680aed8d4a7014870951bc3c53d7b4',1,'tvm::script::printer::IRDocsifierFunctor::set_fallback(TCallable f)']]],
-  ['set_5fis_5fpure_4021',['set_is_pure',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#ade332453b008e4fce49a3e3ebb4721c5',1,'tvm::tir::InstructionKindRegEntry']]],
-  ['set_5flower_5fbound_4022',['set_lower_bound',['../structtvm_1_1detail_1_1AttrNopEntry.html#a36da34fc54009d63283d07e9d41657f7',1,'tvm::detail::AttrNopEntry::set_lower_bound()'],['../structtvm_1_1detail_1_1AttrInitEntry.html#a5608a2a457a397bf11f2be2776ec0653',1,'tvm::detail::AttrInitEntry::set_lower_bound()'],['../classtvm_1_1detail_1_1AttrDocEntry.html#a201e9d6c937d2f444d91fcc8185f8309',1,'tvm::detail::AttrDocEntry::set_lower_bound()'],['../structtvm_1_1detail_1_1AttrTriggerNonDefault [...]
-  ['set_5fname_4023',['set_name',['../classtvm_1_1OpRegEntry.html#a655190541a44ee287902ae1fead3ff94',1,'tvm::OpRegEntry::set_name()'],['../classtvm_1_1TargetTagRegEntry.html#a2bf53ad591587d2b3f710d01a0b6d5bb',1,'tvm::TargetTagRegEntry::set_name()'],['../classtvm_1_1TargetKindRegEntry.html#a36f21402bccb03300478d6c85bd05512',1,'tvm::TargetKindRegEntry::set_name()'],['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#ac2c87342f8a017e55474e0094561f79c',1,'tvm::tir::InstructionKindRegEntry:: [...]
-  ['set_5fnum_5finputs_4024',['set_num_inputs',['../classtvm_1_1OpRegEntry.html#af3bbb881b17dcc8c19ddb8ec9b40e462',1,'tvm::OpRegEntry']]],
-  ['set_5foutputs_5fenabled_5f_4025',['set_outputs_enabled_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a6a3eb3eb9362ee6567e9ee6a6bc7ccf9',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['set_5frepr_5fbytes_4026',['set_repr_bytes',['../classtvm_1_1ReflectionVTable_1_1Registry.html#a27f62397ab10a8468c7de8350a6d1cee',1,'tvm::ReflectionVTable::Registry']]],
-  ['set_5fscope_4027',['set_scope',['../classtvm_1_1te_1_1Stage.html#a0dc42f190125f0cf63e8d749ae66af7c',1,'tvm::te::Stage']]],
-  ['set_5fstore_5fpredicate_4028',['set_store_predicate',['../classtvm_1_1te_1_1Stage.html#a0056636228aed23f71eecc0810731436',1,'tvm::te::Stage']]],
-  ['set_5fsupport_5flevel_4029',['set_support_level',['../classtvm_1_1OpRegEntry.html#ab4f7e0f99c8acf2153e15f7cbb6c3c97',1,'tvm::OpRegEntry']]],
-  ['set_5ftarget_5fparser_4030',['set_target_parser',['../classtvm_1_1TargetKindRegEntry.html#a21152c83f61180dcb6293226a98025a8',1,'tvm::TargetKindRegEntry']]],
-  ['set_5fupper_5fbound_4031',['set_upper_bound',['../structtvm_1_1detail_1_1AttrNopEntry.html#add2843b725ee43be26672a8d2d641cce',1,'tvm::detail::AttrNopEntry::set_upper_bound()'],['../structtvm_1_1detail_1_1AttrInitEntry.html#a69e876dfc10eed9573c3043ea5ef2013',1,'tvm::detail::AttrInitEntry::set_upper_bound()'],['../classtvm_1_1detail_1_1AttrDocEntry.html#aec039b071d826ab164c5abe123aefaa3',1,'tvm::detail::AttrDocEntry::set_upper_bound()'],['../structtvm_1_1detail_1_1AttrTriggerNonDefault [...]
-  ['setargs_4032',['SetArgs',['../structTVMPackedFunc.html#a455396ff85d8e8cff95e39ae15ad5cc6',1,'TVMPackedFunc']]],
-  ['setaxisseparator_4033',['SetAxisSeparator',['../classtvm_1_1tir_1_1ScheduleNode.html#a025b5eef0c2516fc1f72eed9ced88807',1,'tvm::tir::ScheduleNode']]],
-  ['setcommonprefix_4034',['SetCommonPrefix',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#adb1e2b064e6c76df9fa2cc8656a73311',1,'tvm::script::printer::IRDocsifierNode']]],
-  ['setcomputeatiter_4035',['SetComputeAtIter',['../classtvm_1_1auto__scheduler_1_1AttachMap.html#af29900c08d7d6a108bb79eaf8325e8a1',1,'tvm::auto_scheduler::AttachMap']]],
-  ['setconflicts_4036',['SetConflicts',['../structtvm_1_1tir_1_1usmp_1_1BufferInfoNode.html#a71406cb97aa80ff7e870bd4afaf24c84',1,'tvm::tir::usmp::BufferInfoNode']]],
-  ['setdeleter_4037',['SetDeleter',['../classtvm_1_1runtime_1_1NDArray_1_1Container.html#a56109cfc826b26172f084c3790144351',1,'tvm::runtime::NDArray::Container']]],
-  ['setdevice_4038',['SetDevice',['../classtvm_1_1runtime_1_1DeviceAPI.html#a58c818adae878c1c5dca759ee59ad3e3',1,'tvm::runtime::DeviceAPI']]],
-  ['setenabledextensions_4039',['SetEnabledExtensions',['../classtvm_1_1arith_1_1RewriteSimplifier.html#a44b7c12d6a5d9adfc4ee4cee8d935e38',1,'tvm::arith::RewriteSimplifier']]],
-  ['setinput_4040',['SetInput',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a0594113c83505e3368a7f99394a14595',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['setintvalue_4041',['SetIntValue',['../namespacetvm_1_1detail.html#af7e68b984c27ffe1726578bc9cc59322',1,'tvm::detail']]],
-  ['setitem_4042',['SetItem',['../classtvm_1_1runtime_1_1ArrayNode.html#a22cafc90ebeb8d6a50ce3bc4e183a403',1,'tvm::runtime::ArrayNode']]],
-  ['setlib_4043',['SetLib',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a04183f3e1f3de5dc36bc587e27f00aa0',1,'tvm::runtime::vm::Executable']]],
-  ['setmaxconcurrency_4044',['SetMaxConcurrency',['../namespacetvm_1_1runtime_1_1threading.html#ad66f7fc304b915bebdcfc5c7004016c7',1,'tvm::runtime::threading']]],
-  ['setmaximumrewritesteps_4045',['SetMaximumRewriteSteps',['../classtvm_1_1arith_1_1RewriteSimplifier.html#a95dd28018de6b7aa1a5a7ee76f3ba178',1,'tvm::arith::RewriteSimplifier']]],
-  ['setobject_4046',['SetObject',['../classtvm_1_1runtime_1_1TVMArgsSetter.html#adfc052b0786ef1296d29e0b87f71a03e',1,'tvm::runtime::TVMArgsSetter']]],
-  ['setoneinput_4047',['SetOneInput',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#ab66c1484cfb630914dd41da36ab91db1',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['setoutputs_4048',['SetOutputs',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a2ee3a67f145ed44cabfb4d456c048445',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['setoutputtensorstoregister_4049',['SetOutputTensorsToRegister',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a95a0552177a93f4839ab431756efeaf3',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['setscope_4050',['SetScope',['../classtvm_1_1tir_1_1ScheduleNode.html#aa4760135d373af488a08aaeba7114c48',1,'tvm::tir::ScheduleNode']]],
-  ['setseqindex_4051',['SetSeqIndex',['../namespacetvm_1_1tir.html#a5f6070cccf4bb454e7a87bbb6d066c55',1,'tvm::tir']]],
-  ['setseqindexinchildren_4052',['SetSeqIndexInChildren',['../namespacetvm_1_1tir.html#a4dd0feb99db08fa0ae2ddb4f47f64dca',1,'tvm::tir']]],
-  ['setspan_4053',['SetSpan',['../classtvm_1_1TypeReporterNode.html#ade00cc26624883a064e9ed2097133cea',1,'tvm::TypeReporterNode']]],
-  ['setstream_4054',['SetStream',['../classtvm_1_1runtime_1_1DeviceAPI.html#adeff47304ec4145d12e0dd20004c7a3d',1,'tvm::runtime::DeviceAPI']]],
-  ['setvalue_4055',['SetValue',['../namespacetvm_1_1detail.html#ae91709b0cb7daf1bca69432bee0a3568',1,'tvm::detail']]],
-  ['setvalue_3c_20bool_20_3e_4056',['SetValue&lt; bool &gt;',['../namespacetvm_1_1detail.html#addd17cedbd26f5b4b257d7651ca5b6fe',1,'tvm::detail']]],
-  ['setvalue_3c_20datatype_20_3e_4057',['SetValue&lt; DataType &gt;',['../namespacetvm_1_1detail.html#a30d53b5033faeae26ad5ec6443376723',1,'tvm::detail']]],
-  ['setvalue_3c_20double_20_3e_4058',['SetValue&lt; double &gt;',['../namespacetvm_1_1detail.html#a2565d82719660de2ab39178c917d6628',1,'tvm::detail']]],
-  ['setvalue_3c_20int_20_3e_4059',['SetValue&lt; int &gt;',['../namespacetvm_1_1detail.html#a107ebbb0ef4a94f47cd25cb2213dcd96',1,'tvm::detail']]],
-  ['setvalue_3c_20int64_5ft_20_3e_4060',['SetValue&lt; int64_t &gt;',['../namespacetvm_1_1detail.html#ad20586749a52e831a52c20984a926d67',1,'tvm::detail']]],
-  ['setvalue_3c_20uint64_5ft_20_3e_4061',['SetValue&lt; uint64_t &gt;',['../namespacetvm_1_1detail.html#acb3382242cbf538f64edae13e4ec5a84',1,'tvm::detail']]],
-  ['shallowcopy_4062',['ShallowCopy',['../classtvm_1_1IRModuleNode.html#a86bbdc4b857ce5958a2b5f29e1d6fcb6',1,'tvm::IRModuleNode']]],
-  ['shallowcopyirmodule_4063',['ShallowCopyIRModule',['../classtvm_1_1IRModule.html#aea8b821cf92cf525bd87bf15f5d31889',1,'tvm::IRModule']]],
-  ['shape_4064',['Shape',['../classtvm_1_1runtime_1_1NDArray.html#ad273c7bc59b73fb026fd64fc764cbebc',1,'tvm::runtime::NDArray::Shape()'],['../namespacetvm_1_1relay.html#a4d64348ae2b75977e167109fb4af4409',1,'tvm::relay::Shape()']]],
-  ['shape_4065',['shape',['../namespacetvm_1_1topi.html#af30c02f3a3f37c7963b3af60fb9c72a1',1,'tvm::topi::shape()'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfoNode.html#a5ddcd966b82c4df89084dbdf92d3108e',1,'tvm::runtime::metadata::TensorInfoNode::shape()'],['../classtvm_1_1tir_1_1BufferNode.html#a5372baafb343f5fb263f5507b079412e',1,'tvm::tir::BufferNode::shape()'],['../classtvm_1_1te_1_1TensorNode.html#a0ba732bc2def0d467854585752911351',1,'tvm::te::TensorNode::shape()'],['../classt [...]
-  ['shape_5f_4066',['shape_',['../classtvm_1_1runtime_1_1NDArray_1_1ContainerBase.html#aa5597a1760c9f8c9d1fd51584b1283fb',1,'tvm::runtime::NDArray::ContainerBase']]],
-  ['shape_5fbackward_5frule_4067',['shape_backward_rule',['../classtvm_1_1tir_1_1BijectiveLayoutNode.html#a0befdd0a2371c0d12970e8ac6623b59b',1,'tvm::tir::BijectiveLayoutNode']]],
-  ['shape_5fcount_4068',['shape_count',['../structTVMGraphExecutorGraphAttr.html#a182b228582f1186f2a15de50a25b3375',1,'TVMGraphExecutorGraphAttr']]],
-  ['shape_5fforward_5frule_4069',['shape_forward_rule',['../classtvm_1_1tir_1_1BijectiveLayoutNode.html#aa566842624cdd7eaebcb019b0d0d4a7c',1,'tvm::tir::BijectiveLayoutNode']]],
-  ['shape_5fof_4070',['shape_of',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a4f852208b807032439294e197377121e',1,'tvm::runtime::vm::Instruction']]],
-  ['shape_5fregister_4071',['shape_register',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a0a650382fcb2cacf9d6de7007aecf3c5',1,'tvm::runtime::vm::Instruction']]],
-  ['shape_5ftuple_2eh_4072',['shape_tuple.h',['../shape__tuple_8h.html',1,'']]],
-  ['shapediv_4073',['shapediv',['../namespacetvm.html#a15f25703cfce73c75cb4cd33c74ea8f0',1,'tvm']]],
-  ['shapefuncattrs_4074',['ShapeFuncAttrs',['../structtvm_1_1relay_1_1ShapeFuncAttrs.html',1,'tvm::relay']]],
-  ['shapeindex_4075',['ShapeIndex',['../classtvm_1_1runtime_1_1DataType.html#a04f0e069017af3f0da47bc0c1fd80916',1,'tvm::runtime::DataType']]],
-  ['shapeof_4076',['ShapeOf',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a5f278c637580946bc06b020f5852e44a',1,'tvm::runtime::vm::Instruction::ShapeOf()'],['../namespacetvm_1_1runtime_1_1vm.html#a8d8d95ce8d629c7213f2f595917870ecaf5c9bcc39a24e338f1837a43c549a855',1,'tvm::runtime::vm::ShapeOf()']]],
-  ['shapeofattrs_4077',['ShapeOfAttrs',['../structtvm_1_1relay_1_1ShapeOfAttrs.html',1,'tvm::relay']]],
-  ['shapepattern_4078',['ShapePattern',['../classtvm_1_1relay_1_1ShapePattern.html#a853201a9d5df8de96713a58c292e8698',1,'tvm::relay::ShapePattern::ShapePattern()'],['../classtvm_1_1relay_1_1ShapePattern.html',1,'tvm::relay::ShapePattern']]],
-  ['shapepatternnode_4079',['ShapePatternNode',['../classtvm_1_1relay_1_1ShapePatternNode.html',1,'tvm::relay']]],
-  ['shapestring_4080',['ShapeString',['../namespacetvm_1_1runtime_1_1profiling.html#ad7ca3a291b9d8d5ec27e5367cd2d0edf',1,'tvm::runtime::profiling::ShapeString(NDArray shape, DLDataType dtype)'],['../namespacetvm_1_1runtime_1_1profiling.html#a0db7b8aaaf50308b175b79c8fee98f13',1,'tvm::runtime::profiling::ShapeString(const std::vector&lt; NDArray &gt; &amp;shapes)'],['../namespacetvm_1_1runtime_1_1profiling.html#ad031e70431cc716c8ff9c36aaad18197',1,'tvm::runtime::profiling::ShapeString(cons [...]
-  ['shapetuple_4081',['ShapeTuple',['../classtvm_1_1runtime_1_1ShapeTuple.html#a6836ad757cbcac207ddf025a74ac2ef2',1,'tvm::runtime::ShapeTuple::ShapeTuple(IterType begin, IterType end)'],['../classtvm_1_1runtime_1_1ShapeTuple.html#aa54548a384457ae73d758886881b6136',1,'tvm::runtime::ShapeTuple::ShapeTuple()'],['../classtvm_1_1runtime_1_1ShapeTupleObj_1_1FromStd.html#a1233caa001a4dd2bebeef49633724641',1,'tvm::runtime::ShapeTupleObj::FromStd::ShapeTuple()'],['../classtvm_1_1runtime_1_1ShapeT [...]
-  ['shapetupleobj_4082',['ShapeTupleObj',['../classtvm_1_1runtime_1_1ShapeTupleObj.html',1,'tvm::runtime']]],
-  ['shash_4083',['shash',['../classtvm_1_1meta__schedule_1_1WorkloadNode.html#a96812f1418c68d5aeb11331fd76aab1c',1,'tvm::meta_schedule::WorkloadNode']]],
-  ['shashhandlerdefault_4084',['SHashHandlerDefault',['../classtvm_1_1SHashHandlerDefault.html#a99557165284bc9ccdae707acf4bbad9c',1,'tvm::SHashHandlerDefault::SHashHandlerDefault()'],['../classtvm_1_1SHashHandlerDefault.html',1,'tvm::SHashHandlerDefault']]],
-  ['shashreduce_4085',['SHashReduce',['../classtvm_1_1relay_1_1PatternVarNode.html#a0247a0e67c79f873f8c5e38768867e27',1,'tvm::relay::PatternVarNode::SHashReduce()'],['../classtvm_1_1relay_1_1VarNode.html#a85f049a3d23ebc9d32016d8e59643444',1,'tvm::relay::VarNode::SHashReduce()'],['../classtvm_1_1relay_1_1TupleNode.html#a230f0b6982c424992a1aaf4bb130ef4b',1,'tvm::relay::TupleNode::SHashReduce()'],['../classtvm_1_1relay_1_1ConstantNode.html#a2d79295886e49572205c576a33d6ef25',1,'tvm::relay::C [...]
-  ['shashreducefreevar_4086',['SHashReduceFreeVar',['../classtvm_1_1SHashHandlerDefault.html#ae78eec7dceb80b34105b11804d833e07',1,'tvm::SHashHandlerDefault::SHashReduceFreeVar()'],['../classtvm_1_1SHashReducer_1_1Handler.html#ab2fe484814770615ef9ddb1f6a6f2dfb',1,'tvm::SHashReducer::Handler::SHashReduceFreeVar()']]],
-  ['shashreducehashedvalue_4087',['SHashReduceHashedValue',['../classtvm_1_1SHashHandlerDefault.html#ab0a21453a43353dccf16512730439a98',1,'tvm::SHashHandlerDefault::SHashReduceHashedValue()'],['../classtvm_1_1SHashReducer_1_1Handler.html#a7b84ae4df5ab177758105f382395aeb2',1,'tvm::SHashReducer::Handler::SHashReduceHashedValue()']]],
-  ['shashreducer_4088',['SHashReducer',['../classtvm_1_1SHashReducer.html#acb4d90cb74f617620d0027649adf8f17',1,'tvm::SHashReducer::SHashReducer(Handler *handler, bool map_free_vars)'],['../classtvm_1_1SHashReducer.html#af7eb7ccc3e5863fe580f1b8dceac5d88',1,'tvm::SHashReducer::SHashReducer()=default'],['../classtvm_1_1SHashReducer.html',1,'tvm::SHashReducer']]],
-  ['shift_4089',['shift',['../structtvm_1_1relay_1_1FixedPointMultiplyAttrs.html#ac5095656867b0bad8cd53d0f957a1d9d',1,'tvm::relay::FixedPointMultiplyAttrs']]],
-  ['shift_5fleft_4090',['shift_left',['../namespacetvm_1_1tir_1_1builtin.html#a26472adf05d821f1929cfbc02bc3c231',1,'tvm::tir::builtin']]],
-  ['shift_5fright_4091',['shift_right',['../namespacetvm_1_1tir_1_1builtin.html#af103ae0715d4ebcbaccd49d2b6a12afe',1,'tvm::tir::builtin']]],
-  ['shouldlinkparameters_4092',['ShouldLinkParameters',['../classtvm_1_1relay_1_1ExecutorNode.html#a8e3cabcfef4e40924bd4182c613a71f9',1,'tvm::relay::ExecutorNode']]],
-  ['shouldrun_4093',['ShouldRun',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#a2b21cac2b6f389c98b359a9a0d640de5',1,'tvm::instrument::PassInstrumentNode']]],
-  ['show_5fmeta_4094',['show_meta',['../classtvm_1_1PrinterConfigNode.html#aa11acd5d0e720eda7619ae1c7baa8c2c',1,'tvm::PrinterConfigNode']]],
-  ['show_5fobject_5faddress_4095',['show_object_address',['../classtvm_1_1PrinterConfigNode.html#a895ea453f71467ba1ff0f0febbec410f',1,'tvm::PrinterConfigNode']]],
-  ['shuffle_4096',['Shuffle',['../classtvm_1_1tir_1_1Shuffle.html#a2d37f2f8afe5357f1a6ffc4c2b147ac4',1,'tvm::tir::Shuffle::Shuffle()'],['../classtvm_1_1tir_1_1Shuffle.html',1,'tvm::tir::Shuffle']]],
-  ['shufflenode_4097',['ShuffleNode',['../classtvm_1_1tir_1_1ShuffleNode.html',1,'tvm::tir']]],
-  ['si_5fbuilder_2eh_4098',['si_builder.h',['../si__builder_8h.html',1,'']]],
-  ['sibuilder_4099',['SIBuilder',['../classtvm_1_1SIBuilder.html#a3c16b413d12243d3355a347e03fd778a',1,'tvm::SIBuilder::SIBuilder(const Span &amp;span=Span())'],['../classtvm_1_1SIBuilder.html#a3f282d145f481e9a74648ae8625bceb3',1,'tvm::SIBuilder::SIBuilder(const Array&lt; Span &gt; &amp;spans=Array&lt; Span &gt;())'],['../classtvm_1_1SIBuilder.html#acaa034873f5dfea8fc9c0f7881f91f59',1,'tvm::SIBuilder::SIBuilder(const std::initializer_list&lt; Span &gt; &amp;init)'],['../classtvm_1_1SIBuil [...]
-  ['sideeffect_4100',['SideEffect',['../namespacetvm_1_1tir.html#aeb03afda344eb4d3a5d2d3fd4e1d266d',1,'tvm::tir']]],
-  ['sigmoid_4101',['sigmoid',['../namespacetvm_1_1topi.html#aa5367be5a614c34937a676655ca53075',1,'tvm::topi::sigmoid()'],['../namespacetvm.html#aa048961a5d19e9f32071c1372809ecbd',1,'tvm::sigmoid()']]],
-  ['sign_4102',['sign',['../namespacetvm_1_1topi.html#af580cd1bea6e862f41c7fad4c4c7eea3',1,'tvm::topi']]],
-  ['signatureprinter_4103',['SignaturePrinter',['../structtvm_1_1runtime_1_1SignaturePrinter.html',1,'tvm::runtime']]],
-  ['signtype_4104',['SignType',['../namespacetvm_1_1arith.html#aca8806e355ad3dd5f1df9c1eca9aac9d',1,'tvm::arith']]],
-  ['silentmeasure_4105',['SilentMeasure',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a4beac97e57e780b2f41539403fbcaf38',1,'tvm::auto_scheduler::ProgramMeasurerNode']]],
-  ['simpleobjallocator_4106',['SimpleObjAllocator',['../classtvm_1_1runtime_1_1SimpleObjAllocator.html',1,'tvm::runtime']]],
-  ['simplified_4107',['Simplified',['../classtvm_1_1tir_1_1TraceNode.html#abc2556f52aedab72ecd38a5c0ab0b7da',1,'tvm::tir::TraceNode']]],
-  ['simplify_4108',['Simplify',['../namespacetvm_1_1tir_1_1transform.html#a817801e8c9488f712804d2d0b821acf0',1,'tvm::tir::transform::Simplify()'],['../classtvm_1_1arith_1_1Analyzer.html#a9b440f852f12ad0a4d8ed5ed97054425',1,'tvm::arith::Analyzer::Simplify()']]],
-  ['simplify_5fconst_5ftensor_5findices_4109',['simplify_const_tensor_indices',['../structtvm_1_1auto__scheduler_1_1SearchPolicyKey.html#a51408f2c5791a7bc90a32be3f8b06055',1,'tvm::auto_scheduler::SearchPolicyKey']]],
-  ['simplifyexpr_4110',['SimplifyExpr',['../namespacetvm_1_1relay_1_1transform.html#a42d4de119382b9457742433bc7109ffe',1,'tvm::relay::transform']]],
-  ['simplifyexprpostalterop_4111',['SimplifyExprPostAlterOp',['../namespacetvm_1_1relay_1_1transform.html#a5d53204853b9d77afcda3d83756a11aa',1,'tvm::relay::transform']]],
-  ['simplifyinference_4112',['SimplifyInference',['../namespacetvm_1_1relay_1_1transform.html#add13a2ad7b216ad4683141bbbb4f6943',1,'tvm::relay::transform']]],
-  ['simulatedquantizeattrs_4113',['SimulatedQuantizeAttrs',['../structtvm_1_1relay_1_1qnn_1_1SimulatedQuantizeAttrs.html',1,'tvm::relay::qnn']]],
-  ['sin_4114',['sin',['../namespacetvm_1_1topi.html#ae1d58f6cabd998f16f74cd1b1dc6f5a6',1,'tvm::topi::sin()'],['../namespacetvm.html#a532ceddde4b8c713b0b1d7e737fcf5fb',1,'tvm::sin()']]],
-  ['singlepoint_4115',['SinglePoint',['../classtvm_1_1arith_1_1IntSet.html#a58aeb0d34656b1b43ac2532e4dfa12ed',1,'tvm::arith::IntSet']]],
-  ['singleton_4116',['Singleton',['../classtvm_1_1te_1_1Singleton.html#a94450b853dcd5e9865546d8c8fe351a1',1,'tvm::te::Singleton::Singleton()'],['../classtvm_1_1te_1_1Singleton.html',1,'tvm::te::Singleton']]],
-  ['singletonnode_4117',['SingletonNode',['../classtvm_1_1te_1_1SingletonNode.html',1,'tvm::te']]],
-  ['sinh_4118',['sinh',['../namespacetvm.html#ad828bc801c73df761c58d9f8877d52ee',1,'tvm::sinh()'],['../namespacetvm_1_1topi.html#af9694f5470ba2cabc19866be3b00fe8d',1,'tvm::topi::sinh()']]],
-  ['size_4119',['Size',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#aae5b9ab9f7e497654b90c23a2159a5cc',1,'tvm::meta_schedule::DatabaseNode']]],
-  ['size_4120',['size',['../structtvm_1_1runtime_1_1vm_1_1Buffer.html#a34dcbc2fe68fe327932ee4b071505cac',1,'tvm::runtime::vm::Buffer::size()'],['../classtvm_1_1runtime_1_1ADT.html#af51613add20f67643684b1c7fdd5569a',1,'tvm::runtime::ADT::size()'],['../classtvm_1_1runtime_1_1ArrayNode.html#a3e88cee6eb31d0e495f7debd94b7573d',1,'tvm::runtime::ArrayNode::size()'],['../classtvm_1_1runtime_1_1Array.html#aed6387e67d18b9d5ad18f510fd600a25',1,'tvm::runtime::Array::size()'],['../classtvm_1_1runtime [...]
-  ['size_4121',['Size',['../classtvm_1_1TensorTypeNode.html#a1f08dac86ae8aea81d058ef64cfd38b4',1,'tvm::TensorTypeNode::Size()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a36817d04978253571fef7d01427ce9c0',1,'tvm::meta_schedule::PyDatabaseNode::Size()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#ae395a0f1c6e79e825aa7a244c74a5d7b',1,'tvm::runtime::micro_rpc::FrameBuffer::Size()']]],
-  ['size_4122',['size',['../classtvm_1_1runtime_1_1ADTObj.html#a699d898a036382a0c86fba219bcf8102',1,'tvm::runtime::ADTObj::size()'],['../structTVMByteArray.html#a86d8e8341ce407b7d9374d887143e476',1,'TVMByteArray::size()'],['../structtvm_1_1relay_1_1LRNAttrs.html#a3758ed1f8a8bcf73008ae1dd2bfa148e',1,'tvm::relay::LRNAttrs::size()'],['../structtvm_1_1relay_1_1Resize3DAttrs.html#aab61649fe8417a8a7fbc849090bac083',1,'tvm::relay::Resize3DAttrs::size()'],['../structtvm_1_1relay_1_1Resize2DAttrs [...]
-  ['size_5f_4123',['size_',['../classtvm_1_1runtime_1_1MapNode.html#a2285f106f6afa29f512a7818ad59e9e5',1,'tvm::runtime::MapNode']]],
-  ['size_5fbytes_4124',['size_bytes',['../structtvm_1_1tir_1_1usmp_1_1BufferInfoNode.html#a0a5d4bd6072c268df05b90d267b4c0a0',1,'tvm::tir::usmp::BufferInfoNode']]],
-  ['size_5fhint_5fbytes_4125',['size_hint_bytes',['../structtvm_1_1PoolInfoNode.html#ac073aeb75bf031ff8687e132bc112f92',1,'tvm::PoolInfoNode::size_hint_bytes()'],['../structtvm_1_1PoolInfoPropertiesNode.html#aed7c5573ffc8db9424e77e3a85cad120',1,'tvm::PoolInfoPropertiesNode::size_hint_bytes()']]],
-  ['sizes_4126',['sizes',['../structtvm_1_1relay_1_1MultiBoxPriorAttrs.html#ad6d089344fa741021584222ffa70a451',1,'tvm::relay::MultiBoxPriorAttrs']]],
-  ['sizevar_4127',['SizeVar',['../classtvm_1_1tir_1_1SizeVar.html#ab089bab85206d8e306cc61e879e525be',1,'tvm::tir::SizeVar::SizeVar(String name_hint, Type type_annotation, Span span=Span())'],['../classtvm_1_1tir_1_1SizeVar.html#a0f8cb8a92feb96343939d223db90f7cd',1,'tvm::tir::SizeVar::SizeVar(String name_hint=&quot;s&quot;, DataType t=DataType::Int(32), Span span=Span())'],['../classtvm_1_1tir_1_1SizeVar.html#ac470249315d9e395ad581d35dd5dcb05',1,'tvm::tir::SizeVar::SizeVar(ObjectPtr&lt; O [...]
-  ['sizevarnode_4128',['SizeVarNode',['../classtvm_1_1tir_1_1SizeVarNode.html',1,'tvm::tir']]],
-  ['skipassert_4129',['SkipAssert',['../namespacetvm_1_1tir_1_1transform.html#a6fdd5910b00af823071dcdddd21cd2d3',1,'tvm::tir::transform']]],
-  ['slice_4130',['Slice',['../classtvm_1_1te_1_1Tensor_1_1Slice.html#ab314819e8bcca6421e9a4f33e48578c3',1,'tvm::te::Tensor::Slice::Slice()'],['../classtvm_1_1te_1_1Tensor_1_1Slice.html',1,'tvm::te::Tensor::Slice']]],
-  ['slice_5fmode_4131',['slice_mode',['../structtvm_1_1relay_1_1StridedSliceAttrs.html#ab3a282ef18dc4fa3a69917bfbcddce55',1,'tvm::relay::StridedSliceAttrs']]],
-  ['slicedoc_4132',['SliceDoc',['../classtvm_1_1script_1_1printer_1_1SliceDoc.html#a155b7f646934b0baf23aabf4475f8c96',1,'tvm::script::printer::SliceDoc::SliceDoc()'],['../classtvm_1_1script_1_1printer_1_1SliceDoc.html',1,'tvm::script::printer::SliceDoc']]],
-  ['slicedocnode_4133',['SliceDocNode',['../classtvm_1_1script_1_1printer_1_1SliceDocNode.html',1,'tvm::script::printer']]],
-  ['slicelikeattrs_4134',['SliceLikeAttrs',['../structtvm_1_1relay_1_1SliceLikeAttrs.html',1,'tvm::relay']]],
-  ['sliding_5fwindow_4135',['sliding_window',['../namespacetvm_1_1topi.html#a3230e1735957c2045c89cf190e0f8c34',1,'tvm::topi']]],
-  ['slidingwindowattrs_4136',['SlidingWindowAttrs',['../structtvm_1_1relay_1_1SlidingWindowAttrs.html',1,'tvm::relay']]],
-  ['slots_5f_4137',['slots_',['../classtvm_1_1runtime_1_1MapNode.html#ab7ea406f099e235de4944fa94c43812e',1,'tvm::runtime::MapNode']]],
-  ['smallmapnode_4138',['SmallMapNode',['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#aa0828044326a83e6aa287a1cf03477ce',1,'tvm::runtime::MapNode::iterator::SmallMapNode()'],['../classtvm_1_1runtime_1_1SmallMapNode.html',1,'tvm::runtime::SmallMapNode']]],
-  ['smithnormalformdiag_4139',['SmithNormalFormDiag',['../namespacetvm_1_1arith.html#a5f821e7f25de9769c5733cfacbe132d6',1,'tvm::arith']]],
-  ['softmax_4140',['softmax',['../namespacetvm_1_1topi_1_1nn.html#aa2cb22c64412c3eacb351c12b883333b',1,'tvm::topi::nn']]],
-  ['softmax_2eh_4141',['softmax.h',['../nn_2softmax_8h.html',1,'(Global Namespace)'],['../cuda_2softmax_8h.html',1,'(Global Namespace)'],['../rocm_2softmax_8h.html',1,'(Global Namespace)']]],
-  ['softmaxattrs_4142',['SoftmaxAttrs',['../structtvm_1_1relay_1_1SoftmaxAttrs.html',1,'tvm::relay']]],
-  ['software_5fpipeline_5fasync_5fstages_4143',['software_pipeline_async_stages',['../namespacetvm_1_1tir_1_1attr.html#a7ff3ec02ce6acef9dd5c20f1aacc19bc',1,'tvm::tir::attr']]],
-  ['software_5fpipeline_5forder_4144',['software_pipeline_order',['../namespacetvm_1_1tir_1_1attr.html#a064b547bf5b0579f9b42906c6a9c581d',1,'tvm::tir::attr']]],
-  ['software_5fpipeline_5fstage_4145',['software_pipeline_stage',['../namespacetvm_1_1tir_1_1attr.html#a2e695603b89f78f4a481817dbaf7a082',1,'tvm::tir::attr']]],
-  ['solveinequalitiesdeskewrange_4146',['SolveInequalitiesDeskewRange',['../namespacetvm_1_1arith.html#ab667739c074bb7bf1e63302904c78176',1,'tvm::arith']]],
-  ['solveinequalitiestorange_4147',['SolveInequalitiesToRange',['../namespacetvm_1_1arith.html#a6277cb1deea497e60474e5bf83dfc636',1,'tvm::arith']]],
-  ['solvelinearequations_4148',['SolveLinearEquations',['../namespacetvm_1_1arith.html#ae0290f04432523ab8e5f76edde80071a',1,'tvm::arith']]],
-  ['solvelinearinequalities_4149',['SolveLinearInequalities',['../namespacetvm_1_1arith.html#ac59d63560e04431f108e81457b212fdc',1,'tvm::arith']]],
-  ['sorted_4150',['sorted',['../structtvm_1_1relay_1_1UniqueAttrs.html#aef434799646533ec9d796393ba01db44',1,'tvm::relay::UniqueAttrs']]],
-  ['source_4151',['source',['../classtvm_1_1tir_1_1ReduceNode.html#ab1b5e256aabba3307ccfeb291bb58771',1,'tvm::tir::ReduceNode']]],
-  ['source_4152',['Source',['../classtvm_1_1Source.html#a794f5989e4e89cb39b638a5cd837eaa9',1,'tvm::Source']]],
-  ['source_4153',['source',['../classtvm_1_1arith_1_1IterMarkNode.html#a8b885a675c88e5a5d142fa68bcba048a',1,'tvm::arith::IterMarkNode::source()'],['../classtvm_1_1arith_1_1IterSplitExprNode.html#a7a129dc9b432359a07c1a1e286c3c66f',1,'tvm::arith::IterSplitExprNode::source()'],['../classtvm_1_1SourceNode.html#a6a31f8a2bf412edf7a529c5931185529',1,'tvm::SourceNode::source()'],['../structtvm_1_1tir_1_1MemCpyDetails.html#adf01e30a65e219e5303f535f793dbaf5',1,'tvm::tir::MemCpyDetails::source()'], [...]
-  ['source_4154',['Source',['../classtvm_1_1Source.html',1,'tvm']]],
-  ['source_5fmap_4155',['source_map',['../classtvm_1_1SourceMapNode.html#abd44bcd7252397ade5584c0387845342',1,'tvm::SourceMapNode::source_map()'],['../classtvm_1_1IRModuleNode.html#abc7bd8d734f093ecb6abe8969d6dbcba',1,'tvm::IRModuleNode::source_map()']]],
-  ['source_5fmap_2eh_4156',['source_map.h',['../source__map_8h.html',1,'']]],
-  ['source_5fname_4157',['source_name',['../classtvm_1_1SourceNode.html#ad4002d5ddb822b7340fd16c460a1ec6f',1,'tvm::SourceNode::source_name()'],['../classtvm_1_1SpanNode.html#ad573167f93facbfbee19983b08bbba3d',1,'tvm::SpanNode::source_name()'],['../classtvm_1_1DiagnosticBuilder.html#a92d320e1ede24fe5ff47862365002691',1,'tvm::DiagnosticBuilder::source_name()']]],
-  ['source_5fpaths_4158',['source_paths',['../classtvm_1_1script_1_1printer_1_1DocNode.html#a29e21c8f39639d1d30697971267847a8',1,'tvm::script::printer::DocNode']]],
-  ['sourcemap_4159',['SourceMap',['../classtvm_1_1SourceMap.html#a9f10049893326844c3f01daad7c121e9',1,'tvm::SourceMap::SourceMap()'],['../classtvm_1_1SourceMap.html#ad4517cedaea581d34c28cb9903205eeb',1,'tvm::SourceMap::SourceMap(std::initializer_list&lt; std::pair&lt; SourceName, Source &gt;&gt; source_map)'],['../classtvm_1_1SourceMap.html#aba19719f4f2d32431ff1dfb80f5a0dfc',1,'tvm::SourceMap::SourceMap(Map&lt; SourceName, Source &gt; source_map)'],['../classtvm_1_1SourceMap.html',1,'tvm [...]
-  ['sourcemapnode_4160',['SourceMapNode',['../classtvm_1_1SourceMapNode.html',1,'tvm']]],
-  ['sourcename_4161',['SourceName',['../namespacetvm_1_1relay.html#a14eacafcb803b0757e48654bb1bac655',1,'tvm::relay::SourceName()'],['../classtvm_1_1SourceName.html',1,'tvm::SourceName']]],
-  ['sourcenamenode_4162',['SourceNameNode',['../classtvm_1_1SourceNameNode.html',1,'tvm']]],
-  ['sourcenode_4163',['SourceNode',['../classtvm_1_1SourceNode.html',1,'tvm']]],
-  ['space_5fgenerator_4164',['space_generator',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#a7bdfdd48530bfe380c5f6c143158a07f',1,'tvm::meta_schedule::TuneContextNode']]],
-  ['space_5fgenerator_2eh_4165',['space_generator.h',['../space__generator_8h.html',1,'']]],
-  ['space_5fto_5fbatch_5fnd_4166',['space_to_batch_nd',['../namespacetvm_1_1topi.html#a8bca55122fbfcf38079f4d4bc22ce572',1,'tvm::topi']]],
-  ['spacegenerator_4167',['SpaceGenerator',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a4f11a841acdf7a66893eeefc1feb04ae',1,'tvm::meta_schedule::SpaceGenerator::SpaceGenerator()'],['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html',1,'tvm::meta_schedule::SpaceGenerator']]],
-  ['spacegeneratornode_4168',['SpaceGeneratorNode',['../classtvm_1_1meta__schedule_1_1SpaceGeneratorNode.html',1,'tvm::meta_schedule']]],
-  ['spacegeneratorunion_4169',['SpaceGeneratorUnion',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a44828204c6ae3b7f390b9a9c3fdb9aa7',1,'tvm::meta_schedule::SpaceGenerator']]],
-  ['spacetobatchndattrs_4170',['SpaceToBatchNDAttrs',['../structtvm_1_1relay_1_1SpaceToBatchNDAttrs.html',1,'tvm::relay']]],
-  ['span_4171',['span',['../classtvm_1_1AffineTypeNode.html#aa45c91e3c8ebcff609d10f6a921f3fa2',1,'tvm::AffineTypeNode']]],
-  ['span_4172',['Span',['../namespacetvm_1_1relay.html#af40ca6124bc2e88f2323eeb79d326cc0',1,'tvm::relay::Span()'],['../classtvm_1_1support_1_1Span.html#a3c22dd06856e7029e7107adf38eb72f5',1,'tvm::support::Span::Span()']]],
-  ['span_4173',['span',['../classtvm_1_1DiagnosticBuilder.html#a52d9cc3cb33e655c5d82af47daa74c66',1,'tvm::DiagnosticBuilder::span()'],['../classtvm_1_1DiagnosticNode.html#af5469f228f87711ad8bd3f4f78f3bb54',1,'tvm::DiagnosticNode::span()'],['../classtvm_1_1BaseExprNode.html#ae3a0760a9f8b1379bc86f13e8bb3a22e',1,'tvm::BaseExprNode::span()'],['../classtvm_1_1RangeNode.html#aee167e954efc66eec2b2fecfcfc7e3d5',1,'tvm::RangeNode::span()'],['../classtvm_1_1TypeNode.html#a7b06d502a5162d51bb205691b [...]
-  ['span_4174',['Span',['../classtvm_1_1Span.html#a5216631b639e8c802263d87d3fe9e5f6',1,'tvm::Span::Span()'],['../classtvm_1_1support_1_1Span.html#a77653730a2542edf93b7c4413a72f3ec',1,'tvm::support::Span::Span()'],['../classtvm_1_1Span.html',1,'tvm::Span'],['../classtvm_1_1support_1_1Span.html',1,'tvm::support::Span&lt; T, W &gt;']]],
-  ['span_2eh_4175',['span.h',['../span_8h.html',1,'']]],
-  ['spannode_4176',['SpanNode',['../namespacetvm_1_1relay.html#a7d0fa6578e97d0d64b08865f94f04827',1,'tvm::relay::SpanNode()'],['../classtvm_1_1SpanNode.html',1,'tvm::SpanNode']]],
-  ['spans_4177',['spans',['../classtvm_1_1SequentialSpanNode.html#a888a0972d2373cef6ad941ff9d8acc1c',1,'tvm::SequentialSpanNode']]],
-  ['sparse_5flhs_4178',['sparse_lhs',['../structtvm_1_1relay_1_1SparseDenseAttrs.html#ae52d5465cb3421f342607abcc1cb1d5c',1,'tvm::relay::SparseDenseAttrs']]],
-  ['sparse_5fto_5fdense_4179',['sparse_to_dense',['../namespacetvm_1_1topi.html#a877e6fdffb6b6c051c29602ec6fe995c',1,'tvm::topi']]],
-  ['sparseconv2dattrs_4180',['SparseConv2DAttrs',['../structtvm_1_1relay_1_1SparseConv2DAttrs.html',1,'tvm::relay']]],
-  ['sparsedenseattrs_4181',['SparseDenseAttrs',['../structtvm_1_1relay_1_1SparseDenseAttrs.html',1,'tvm::relay']]],
-  ['sparsetodenseattrs_4182',['SparseToDenseAttrs',['../structtvm_1_1relay_1_1SparseToDenseAttrs.html',1,'tvm::relay']]],
-  ['sparsetransposeattrs_4183',['SparseTransposeAttrs',['../structtvm_1_1relay_1_1SparseTransposeAttrs.html',1,'tvm::relay']]],
-  ['spatial_4184',['Spatial',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html#ac6de6454b9002f401977546aa9385301',1,'tvm::script::ir_builder::tir::axis']]],
-  ['spatial_5faxis_5f_4185',['spatial_axis_',['../classtvm_1_1te_1_1ScanOpNode.html#ab52af0e689bd723c0a9c853c78a8bc78',1,'tvm::te::ScanOpNode']]],
-  ['spatial_5fscale_4186',['spatial_scale',['../structtvm_1_1relay_1_1ROIPoolAttrs.html#ae51a330f9c855c0370bfb9c48ef6a977',1,'tvm::relay::ROIPoolAttrs::spatial_scale()'],['../structtvm_1_1relay_1_1ROIAlignAttrs.html#a11d752e35f8fdefad7d6243e2b746e19',1,'tvm::relay::ROIAlignAttrs::spatial_scale()']]],
-  ['specializations_4187',['specializations',['../classtvm_1_1relay_1_1OpStrategyNode.html#a32d7610bdfd32c485b5f579daac2aeff',1,'tvm::relay::OpStrategyNode']]],
-  ['specialize_4188',['Specialize',['../namespacetvm_1_1tir.html#a69b6f1b0014dc6e7dd390cff746e9782',1,'tvm::tir']]],
-  ['specializedcondition_4189',['SpecializedCondition',['../classtvm_1_1te_1_1SpecializedCondition.html#a48d119ee1c6033929a5592cfc2592e60',1,'tvm::te::SpecializedCondition::SpecializedCondition()'],['../classtvm_1_1te_1_1SpecializedCondition.html',1,'tvm::te::SpecializedCondition']]],
-  ['specializedconditionnode_4190',['SpecializedConditionNode',['../classtvm_1_1te_1_1SpecializedConditionNode.html',1,'tvm::te']]],
-  ['split_4191',['split',['../namespacetvm_1_1topi.html#af4e59b01a5842baf6b47ad3f83731f53',1,'tvm::topi']]],
-  ['split_4192',['Split',['../classtvm_1_1tir_1_1ScheduleNode.html#ac190a0ab76d8754a35209479bcc6dfa2',1,'tvm::tir::ScheduleNode']]],
-  ['split_4193',['split',['../classtvm_1_1auto__scheduler_1_1State.html#a5815f21fc90ba7cc379c2410c05ab54c',1,'tvm::auto_scheduler::State::split()'],['../classtvm_1_1te_1_1Stage.html#a5a7cd562be59b68a187ad97085a3425d',1,'tvm::te::Stage::split()']]],
-  ['split_4194',['Split',['../classtvm_1_1te_1_1Split.html#a328e0c093ce5b41ebaf33e0e80592764',1,'tvm::te::Split::Split()'],['../classtvm_1_1tir_1_1Layout.html#ad7657af7789fe040d3224c0149976bb4',1,'tvm::tir::Layout::Split()'],['../classtvm_1_1te_1_1Split.html',1,'tvm::te::Split']]],
-  ['split_5fby_5fnparts_4195',['split_by_nparts',['../classtvm_1_1te_1_1Stage.html#a51432f38d9ec4792a2525023179ae604',1,'tvm::te::Stage']]],
-  ['split_5fsections_4196',['split_sections',['../namespacetvm_1_1topi.html#acc643e2ed166fa2ed82a95853e145619',1,'tvm::topi']]],
-  ['splitargs_4197',['SplitArgs',['../namespacetvm_1_1relay_1_1transform.html#af8503ba932c35107c023daf993d6e74c',1,'tvm::relay::transform']]],
-  ['splitattrs_4198',['SplitAttrs',['../structtvm_1_1relay_1_1SplitAttrs.html',1,'tvm::relay']]],
-  ['splithostdevice_4199',['SplitHostDevice',['../namespacetvm_1_1tir_1_1transform.html#a4ec5dffb2a177bfd7548be4d974cba71',1,'tvm::tir::transform']]],
-  ['splitnode_4200',['SplitNode',['../classtvm_1_1te_1_1SplitNode.html',1,'tvm::te']]],
-  ['splitstep_4201',['SplitStep',['../classtvm_1_1auto__scheduler_1_1SplitStep.html#a64ed86582a56a2645b3e4eb44ecb31af',1,'tvm::auto_scheduler::SplitStep::SplitStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1SplitStep.html#a184575a8029d77f7a3bee23d81141df5',1,'tvm::auto_scheduler::SplitStep::SplitStep(int stage_id, int iter_id, Optional&lt; PrimExpr &gt; extent, const Array&lt; Optional&lt; Integer &gt;&gt; &amp;lengths, bool inner_to_outer)'],['../classtvm_1_1auto__s [...]
-  ['splitstepnode_4202',['SplitStepNode',['../classtvm_1_1auto__scheduler_1_1SplitStepNode.html',1,'tvm::auto_scheduler']]],
-  ['sqrt_4203',['sqrt',['../namespacetvm_1_1topi.html#a7e7506fced8d921ca7e1a10ae27b1b5b',1,'tvm::topi::sqrt()'],['../namespacetvm.html#abf978d3e6abd0e3754b853dc4fa9869e',1,'tvm::sqrt()']]],
-  ['squeeze_4204',['squeeze',['../namespacetvm_1_1topi.html#a845e38c0f34017d45ec318935b6ddf17',1,'tvm::topi']]],
-  ['squeezeattrs_4205',['SqueezeAttrs',['../structtvm_1_1relay_1_1SqueezeAttrs.html',1,'tvm::relay']]],
-  ['src_4206',['src',['../classtvm_1_1tir_1_1DependencyNode.html#ab334c31b5f17026cd64c0a76db6dd7a4',1,'tvm::tir::DependencyNode::src()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a700062eb45026152661233661a1f24bb',1,'tvm::runtime::vm::Instruction::src()'],['../classtvm_1_1arith_1_1IntConstraintsTransformNode.html#a8ce159fc6db748e5092fa937de3fde53',1,'tvm::arith::IntConstraintsTransformNode::src()']]],
-  ['src2deps_4207',['src2deps',['../classtvm_1_1tir_1_1BlockScopeNode.html#ab53bbd3de33008374182579b2a5923e4',1,'tvm::tir::BlockScopeNode']]],
-  ['src_5fdevice_5findex_4208',['src_device_index',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a65ae693f4e01bc8b4d747a81f055a53f',1,'tvm::runtime::vm::Instruction']]],
-  ['src_5flayout_4209',['src_layout',['../structtvm_1_1relay_1_1LayoutTransformAttrs.html#ad0f658bf9e6ac538840fc71b1d312f3b',1,'tvm::relay::LayoutTransformAttrs::src_layout()'],['../structtvm_1_1relay_1_1AutoSchedulerLayoutTransformAttrs.html#a6c2ed7bdf83375c58a687b7698f4cc05',1,'tvm::relay::AutoSchedulerLayoutTransformAttrs::src_layout()'],['../classtvm_1_1tir_1_1BijectiveLayoutNode.html#a265fb704868822f0cdd00146353020d0',1,'tvm::tir::BijectiveLayoutNode::src_layout()']]],
-  ['src_5fstep_5fid_4210',['src_step_id',['../classtvm_1_1auto__scheduler_1_1FollowSplitStepNode.html#a01554b8dc5b2a76b0a0a1d968ee6017f',1,'tvm::auto_scheduler::FollowSplitStepNode']]],
-  ['src_5fstep_5fids_4211',['src_step_ids',['../classtvm_1_1auto__scheduler_1_1FollowFusedSplitStepNode.html#af0788931d02c86d4b2be1befd00b92d3',1,'tvm::auto_scheduler::FollowFusedSplitStepNode']]],
-  ['src_5fto_5fdst_4212',['src_to_dst',['../classtvm_1_1arith_1_1IntConstraintsTransformNode.html#a05ddc831df1d376f256ec97af966e6be',1,'tvm::arith::IntConstraintsTransformNode']]],
-  ['src_5fvirtual_5fdevice_4213',['src_virtual_device',['../structtvm_1_1relay_1_1DeviceCopyAttrs.html#aac5b2c76325a587bbefaa5af87b4138f',1,'tvm::relay::DeviceCopyAttrs']]],
-  ['sref2scope_4214',['sref2scope',['../classtvm_1_1tir_1_1BlockDependenceInfoNode.html#ac83f06f7c59be79c0ce58a31a4a7b05d',1,'tvm::tir::BlockDependenceInfoNode']]],
-  ['sreftreecreator_4215',['SRefTreeCreator',['../classtvm_1_1tir_1_1SRefTreeCreator.html',1,'tvm::tir']]],
-  ['stablehashbytes_4216',['StableHashBytes',['../classtvm_1_1runtime_1_1String.html#a88aa19338db83ae838183e7478f09e60',1,'tvm::runtime::String']]],
-  ['stack_4217',['stack',['../namespacetvm_1_1topi.html#acb438962b08475a05e086907bf8eb26a',1,'tvm::topi']]],
-  ['stack_5fallocator_2eh_4218',['stack_allocator.h',['../stack__allocator_8h.html',1,'']]],
-  ['stack_5fallocator_5ftag_4219',['STACK_ALLOCATOR_TAG',['../stack__allocator_8h.html#a34806abbacd8db7a84e90c09eec6867b',1,'stack_allocator.h']]],
-  ['stack_5fallocator_5ftag_5fsize_5fbytes_4220',['STACK_ALLOCATOR_TAG_SIZE_BYTES',['../stack__allocator_8h.html#a2578295f2612c628325474d350a4cc7f',1,'stack_allocator.h']]],
-  ['stackattrs_4221',['StackAttrs',['../structtvm_1_1relay_1_1StackAttrs.html',1,'tvm::relay']]],
-  ['stackmemorymanager_5fallocate_4222',['StackMemoryManager_Allocate',['../stack__allocator_8h.html#a781f68648d93b376892ab84bfdd997e5',1,'stack_allocator.h']]],
-  ['stackmemorymanager_5fallocate_5fbody_4223',['StackMemoryManager_Allocate_Body',['../stack__allocator_8h.html#a87b2500d1e1075b0e4297ab6b0b7448b',1,'stack_allocator.h']]],
-  ['stackmemorymanager_5ffree_4224',['StackMemoryManager_Free',['../stack__allocator_8h.html#a1e5d35061f8f72d784a5792086f611db',1,'stack_allocator.h']]],
-  ['stackmemorymanager_5ffree_5fbody_4225',['StackMemoryManager_Free_Body',['../stack__allocator_8h.html#ac30e6df6e876d5d65a7e86e4dd0e0e95',1,'stack_allocator.h']]],
-  ['stackmemorymanager_5finit_4226',['StackMemoryManager_Init',['../stack__allocator_8h.html#a3fd459f5167dacf85850c929d1b38882',1,'stack_allocator.h']]],
-  ['stage_4227',['Stage',['../classtvm_1_1te_1_1Stage.html#aa6ace38b6312e42aaf9389c8749ae0a4',1,'tvm::te::Stage::Stage()'],['../classtvm_1_1auto__scheduler_1_1Stage.html#af0643fe8c1298451c9a322f915c48843',1,'tvm::auto_scheduler::Stage::Stage()'],['../classtvm_1_1te_1_1Stage.html#afec82602b9321c489b88632a005335f8',1,'tvm::te::Stage::Stage()'],['../classtvm_1_1te_1_1Stage.html#a510049e03f2152d5934cd3bd75033bab',1,'tvm::te::Stage::Stage(Operation op, const ScheduleNode *sch)'],['../classtvm [...]
-  ['stage_5fid_4228',['stage_id',['../classtvm_1_1auto__scheduler_1_1StepNode.html#afcc7aaf263348f66139307affbfcee09',1,'tvm::auto_scheduler::StepNode']]],
-  ['stage_5fmap_4229',['stage_map',['../classtvm_1_1te_1_1ScheduleNode.html#a612223aec2751cbd035a18c9e5453085',1,'tvm::te::ScheduleNode']]],
-  ['stage_5fpipeline_4230',['stage_pipeline',['../structtvm_1_1tir_1_1BlockInfo.html#a63e54dda904b3ec4f2b5f1a052fbd5a2',1,'tvm::tir::BlockInfo']]],
-  ['stage_5fto_5fattach_5fiter_4231',['stage_to_attach_iter',['../classtvm_1_1auto__scheduler_1_1AttachMapNode.html#ac5780da6b91313c1a5b1fc5379d043f8',1,'tvm::auto_scheduler::AttachMapNode']]],
-  ['stageattributes_4232',['StageAttributes',['../structtvm_1_1auto__scheduler_1_1StageAttributes.html',1,'tvm::auto_scheduler']]],
-  ['stagekey_4233',['StageKey',['../namespacetvm_1_1auto__scheduler.html#afc2e977e1bb9b11fc3b78758d997eb60',1,'tvm::auto_scheduler']]],
-  ['stagekind_4234',['StageKind',['../namespacetvm_1_1auto__scheduler.html#af6533a065c0157391331e89a0e95f35a',1,'tvm::auto_scheduler']]],
-  ['stagenode_4235',['StageNode',['../classtvm_1_1auto__scheduler_1_1StageNode.html',1,'tvm::auto_scheduler::StageNode'],['../classtvm_1_1te_1_1StageNode.html',1,'tvm::te::StageNode']]],
-  ['stages_4236',['stages',['../classtvm_1_1auto__scheduler_1_1StateNode.html#a881e14990bf228ee3fddb3721c451b9e',1,'tvm::auto_scheduler::StateNode::stages()'],['../classtvm_1_1te_1_1ScheduleNode.html#ab5649969db603d6b7b4d155c0d09cdd5',1,'tvm::te::ScheduleNode::stages()']]],
-  ['stagetoaxesmap_4237',['StageToAxesMap',['../namespacetvm_1_1auto__scheduler.html#a8f12e558fc4b8fbb990e7e204c06beeb',1,'tvm::auto_scheduler']]],
-  ['start_4238',['Start',['../classtvm_1_1runtime_1_1Timer.html#a89bcaa433499bc68902cb473d5eba6ca',1,'tvm::runtime::Timer']]],
-  ['start_4239',['start',['../classtvm_1_1script_1_1printer_1_1SliceDocNode.html#a16de0189a979a6cf9d8f14b39cb5fb54',1,'tvm::script::printer::SliceDocNode::start()'],['../structtvm_1_1relay_1_1ArangeAttrs.html#ae8ae5bc1551b406a4f52395af343c2ce',1,'tvm::relay::ArangeAttrs::start()']]],
-  ['start_4240',['Start',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#aee5452075c8e022b8aaa6fb365f68e14',1,'tvm::runtime::profiling::Profiler::Start()'],['../classtvm_1_1runtime_1_1profiling_1_1MetricCollectorNode.html#a44fadfb7b0f961a7fb2275e3b5dbcd88',1,'tvm::runtime::profiling::MetricCollectorNode::Start()'],['../classtvm_1_1runtime_1_1TimerNode.html#aa11fc338c39ee2137448e54a10efe0ae',1,'tvm::runtime::TimerNode::Start()']]],
-  ['start_5findex_4241',['start_index',['../namespacetvm_1_1topi_1_1nn.html#a752c4130dac73fd2de0390c5f6b24b15',1,'tvm::topi::nn']]],
-  ['start_5fprofile_5fintrinsic_4242',['start_profile_intrinsic',['../namespacetvm_1_1tir_1_1builtin.html#aa63c16281d1010a82a06eb771268b4e2',1,'tvm::tir::builtin']]],
-  ['startcall_4243',['StartCall',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#a1fe322f7ba92be44d7e7c8cb184f3833',1,'tvm::runtime::profiling::Profiler']]],
-  ['startmessage_4244',['StartMessage',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#acd512b977c6dd888f90c4fd6d2b9500f',1,'tvm::runtime::micro_rpc::Session']]],
-  ['startpacket_4245',['StartPacket',['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#ade10d3bd3a26e3b7af881ae134e9a998',1,'tvm::runtime::micro_rpc::Framer']]],
-  ['startsession_4246',['StartSession',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a15d3f9ecb8b22bf2d330f6f0a16c5239',1,'tvm::runtime::micro_rpc::Session']]],
-  ['state_4247',['state',['../classtvm_1_1auto__scheduler_1_1MeasureInputNode.html#afb23aaf6133189687d2541ec6e1352f4',1,'tvm::auto_scheduler::MeasureInputNode']]],
-  ['state_4248',['State',['../classtvm_1_1auto__scheduler_1_1State.html#a9e8198b1f51b42cfbbee4b9f42160749',1,'tvm::auto_scheduler::State']]],
-  ['state_4249',['state',['../classtvm_1_1tir_1_1ScheduleNode.html#abb3612c2598fa2d3ee0e6e3fc3de8a26',1,'tvm::tir::ScheduleNode']]],
-  ['state_4250',['State',['../classtvm_1_1auto__scheduler_1_1State.html',1,'tvm::auto_scheduler']]],
-  ['state_2eh_4251',['state.h',['../state_8h.html',1,'']]],
-  ['state_5fplaceholder_4252',['state_placeholder',['../classtvm_1_1te_1_1ScanOpNode.html#a69105f6a84dd4fb912a16bfaa68aebf6',1,'tvm::te::ScanOpNode']]],
-  ['statenode_4253',['StateNode',['../classtvm_1_1auto__scheduler_1_1StateNode.html',1,'tvm::auto_scheduler']]],
-  ['stats_4254',['Stats',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a5445bd71aa14ec97552fa099dc3bd787',1,'tvm::runtime::vm::Executable']]],
-  ['stats_5fsec_4255',['stats_sec',['../classtvm_1_1meta__schedule_1_1ProfilerNode.html#a9834a7a0c59d77c24d29918b93f7220a',1,'tvm::meta_schedule::ProfilerNode']]],
-  ['step_4256',['step',['../classtvm_1_1script_1_1printer_1_1SliceDocNode.html#a71eafec33566f09b9ce23e4daf4910fc',1,'tvm::script::printer::SliceDocNode::step()'],['../structtvm_1_1relay_1_1ArangeAttrs.html#aabe51ead537f676d53ffedf91b16ae66',1,'tvm::relay::ArangeAttrs::step()']]],
-  ['step_4257',['Step',['../classtvm_1_1auto__scheduler_1_1Step.html',1,'tvm::auto_scheduler']]],
-  ['stepapplytoschedule_4258',['StepApplyToSchedule',['../namespacetvm_1_1auto__scheduler.html#ac58f7548a94b92f801b2b9a6f65bd785',1,'tvm::auto_scheduler']]],
-  ['stepapplytostate_4259',['StepApplyToState',['../namespacetvm_1_1auto__scheduler.html#a6909bc5a99d1cc8372201e9392717832',1,'tvm::auto_scheduler']]],
-  ['stepnode_4260',['StepNode',['../classtvm_1_1auto__scheduler_1_1StepNode.html',1,'tvm::auto_scheduler']]],
-  ['stepprintaspythonapi_4261',['StepPrintAsPythonAPI',['../namespacetvm_1_1auto__scheduler.html#a3f759d9d2fcc4759578a84e06a509a07',1,'tvm::auto_scheduler']]],
-  ['stepreadfromrecord_4262',['StepReadFromRecord',['../namespacetvm_1_1auto__scheduler.html#aab09151bf58d2cb261e1254f22261741',1,'tvm::auto_scheduler']]],
-  ['steps_4263',['steps',['../structtvm_1_1relay_1_1MultiBoxPriorAttrs.html#a312f6999492eb61bf20593a4f30acd35',1,'tvm::relay::MultiBoxPriorAttrs']]],
-  ['stftattrs_4264',['StftAttrs',['../structtvm_1_1relay_1_1StftAttrs.html',1,'tvm::relay']]],
-  ['stmt_4265',['stmt',['../classtvm_1_1tir_1_1StmtSRefNode.html#acf4e832651882cfbc33e3d5930159791',1,'tvm::tir::StmtSRefNode']]],
-  ['stmt_4266',['Stmt',['../classtvm_1_1tir_1_1Stmt.html',1,'tvm::tir']]],
-  ['stmt_2eh_4267',['stmt.h',['../stmt_8h.html',1,'']]],
-  ['stmt2ref_4268',['stmt2ref',['../classtvm_1_1tir_1_1ScheduleStateNode.html#a32792c2d1c659c34ca079c422553ec43',1,'tvm::tir::ScheduleStateNode::stmt2ref()'],['../classtvm_1_1tir_1_1BlockDependenceInfoNode.html#a68f89dbffed319b548701b2737f20a56',1,'tvm::tir::BlockDependenceInfoNode::stmt2ref()']]],
-  ['stmt_5ffunctor_2eh_4269',['stmt_functor.h',['../stmt__functor_8h.html',1,'']]],
-  ['stmt_5ffunctor_5fdefault_4270',['STMT_FUNCTOR_DEFAULT',['../stmt__functor_8h.html#ac18c66d877e6a00a4da0d8d7d2b2b6ae',1,'stmt_functor.h']]],
-  ['stmtas_4271',['StmtAs',['../classtvm_1_1tir_1_1StmtSRefNode.html#afc61714fbac246f72d02d0729fb9ba2d',1,'tvm::tir::StmtSRefNode']]],
-  ['stmtblockdoc_4272',['StmtBlockDoc',['../classtvm_1_1script_1_1printer_1_1StmtBlockDoc.html#a2b2125bd8f0c4cb614aaa80505d470c6',1,'tvm::script::printer::StmtBlockDoc::StmtBlockDoc()'],['../classtvm_1_1script_1_1printer_1_1StmtBlockDoc.html',1,'tvm::script::printer::StmtBlockDoc']]],
-  ['stmtblockdocnode_4273',['StmtBlockDocNode',['../classtvm_1_1script_1_1printer_1_1StmtBlockDocNode.html',1,'tvm::script::printer']]],
-  ['stmtdoc_4274',['StmtDoc',['../classtvm_1_1script_1_1printer_1_1StmtDoc.html#adec8d59e41d8a4093fb310089bf2c3ba',1,'tvm::script::printer::StmtDoc::StmtDoc()'],['../classtvm_1_1script_1_1printer_1_1StmtDoc.html',1,'tvm::script::printer::StmtDoc']]],
-  ['stmtdocnode_4275',['StmtDocNode',['../classtvm_1_1script_1_1printer_1_1StmtDocNode.html',1,'tvm::script::printer']]],
-  ['stmtexprmutator_4276',['StmtExprMutator',['../classtvm_1_1tir_1_1StmtExprMutator.html',1,'tvm::tir']]],
-  ['stmtexprvisitor_4277',['StmtExprVisitor',['../classtvm_1_1tir_1_1StmtExprVisitor.html',1,'tvm::tir']]],
-  ['stmtfunctor_4278',['StmtFunctor',['../classtvm_1_1tir_1_1StmtFunctor.html',1,'tvm::tir']]],
-  ['stmtfunctor_3c_20r_28const_20stmt_20_26n_2c_20args_2e_2e_2e_20args_29_3e_4279',['StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;',['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html',1,'tvm::tir']]],
-  ['stmtfunctor_3c_20stmt_28const_20stmt_20_26_29_3e_4280',['StmtFunctor&lt; Stmt(const Stmt &amp;)&gt;',['../classtvm_1_1tir_1_1StmtFunctor.html',1,'tvm::tir']]],
-  ['stmtfunctor_3c_20void_28const_20stmt_20_26_29_3e_4281',['StmtFunctor&lt; void(const Stmt &amp;)&gt;',['../classtvm_1_1tir_1_1StmtFunctor.html',1,'tvm::tir']]],
-  ['stmtmutator_4282',['StmtMutator',['../classtvm_1_1tir_1_1StmtMutator.html',1,'tvm::tir']]],
-  ['stmtnode_4283',['StmtNode',['../classtvm_1_1tir_1_1StmtNode.html#a67693c4e97ae49890ea74605fe1b1f74',1,'tvm::tir::StmtNode::StmtNode(Span span)'],['../classtvm_1_1tir_1_1StmtNode.html#a79e21b14d3ab57209577bf4a8f694a87',1,'tvm::tir::StmtNode::StmtNode()=default'],['../classtvm_1_1tir_1_1StmtNode.html',1,'tvm::tir::StmtNode']]],
-  ['stmts_4284',['stmts',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrameNode.html#a13776bb5c2e5403138fbee06d4fdad40',1,'tvm::script::ir_builder::tir::TIRFrameNode::stmts()'],['../classtvm_1_1script_1_1printer_1_1StmtBlockDocNode.html#a17862bcb50fd1ef49cd9a47f065e612c',1,'tvm::script::printer::StmtBlockDocNode::stmts()'],['../classtvm_1_1script_1_1printer_1_1FrameNode.html#aada7a9ba8d07d7bda2886502de908e15',1,'tvm::script::printer::FrameNode::stmts()']]],
-  ['stmtsref_4285',['StmtSRef',['../classtvm_1_1tir_1_1StmtSRef.html#a31687ace5dc4fe487ffb87d658d86412',1,'tvm::tir::StmtSRef::StmtSRef()'],['../classtvm_1_1tir_1_1StmtSRef.html',1,'tvm::tir::StmtSRef']]],
-  ['stmtsrefnode_4286',['StmtSRefNode',['../classtvm_1_1tir_1_1StmtSRefNode.html',1,'tvm::tir']]],
-  ['stmtvisitor_4287',['StmtVisitor',['../classtvm_1_1tir_1_1StmtVisitor.html',1,'tvm::tir']]],
-  ['stop_4288',['Stop',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#aa2000d8cd1970b5d29139ab1831394f0',1,'tvm::runtime::profiling::Profiler::Stop()'],['../classtvm_1_1runtime_1_1TimerNode.html#a67eb764f2c9e3fb7c2708f01c0c35683',1,'tvm::runtime::TimerNode::Stop()'],['../classtvm_1_1runtime_1_1profiling_1_1MetricCollectorNode.html#aca9679dd49dfbc886b9dc99539cbf0e6',1,'tvm::runtime::profiling::MetricCollectorNode::Stop()']]],
-  ['stop_4289',['stop',['../structtvm_1_1relay_1_1ArangeAttrs.html#a1eadf1f3964ca83dade8edeae7d6d7cf',1,'tvm::relay::ArangeAttrs::stop()'],['../classtvm_1_1script_1_1printer_1_1SliceDocNode.html#aaeb98937e7617cb76fb9662616b89e81',1,'tvm::script::printer::SliceDocNode::stop()']]],
-  ['stopcall_4290',['StopCall',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#ad5e6a8e8c9d915c80f494138eedfec3f',1,'tvm::runtime::profiling::Profiler']]],
-  ['storage_4291',['Storage',['../classtvm_1_1runtime_1_1vm_1_1Storage.html#aff0c1264864e6205cfa468f069f62f55',1,'tvm::runtime::vm::Storage']]],
-  ['storage_4292',['storage',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a3412cabd3b4f42f106f56fc22257f6ca',1,'tvm::runtime::vm::Instruction']]],
-  ['storage_4293',['Storage',['../classtvm_1_1runtime_1_1vm_1_1Storage.html',1,'tvm::runtime::vm']]],
-  ['storage_5falign_4294',['storage_align',['../classtvm_1_1te_1_1Stage.html#aa73e3a269d84c3b4f0a1994371d67bab',1,'tvm::te::Stage::storage_align()'],['../classtvm_1_1auto__scheduler_1_1State.html#ab006690418e43cc9b7ad021c02657ed6',1,'tvm::auto_scheduler::State::storage_align()']]],
-  ['storage_5falignment_4295',['storage_alignment',['../namespacetvm_1_1tir_1_1attr.html#af27d464f2065dc5f77408df7b94d4bb6',1,'tvm::tir::attr']]],
-  ['storage_5fid_4296',['storage_id',['../structTVMGraphExecutorGraphAttr.html#a8a0d6d05adcffbf499aafb6a6700c400',1,'TVMGraphExecutorGraphAttr']]],
-  ['storage_5fnum_5fnot_5falloctaed_4297',['storage_num_not_alloctaed',['../structTVMGraphExecutorGraphAttr.html#ae1937d45b634bf58303a3ab48622256e',1,'TVMGraphExecutorGraphAttr']]],
-  ['storage_5foffset_4298',['storage_offset',['../structtvm_1_1auto__scheduler_1_1StageAttributes.html#a9adf0225217392dc39d5cfcf67ead607',1,'tvm::auto_scheduler::StageAttributes']]],
-  ['storage_5fscope_4299',['storage_scope',['../classtvm_1_1PointerTypeNode.html#aef547d49d4f3cb208b6248745f56750a',1,'tvm::PointerTypeNode::storage_scope()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1RealizeFrameNode.html#aa810ba44c76ee91982ff64996ffa0933',1,'tvm::script::ir_builder::tir::RealizeFrameNode::storage_scope()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateFrameNode.html#ac2fae69fd4b4923c2997d0e48e6820bd',1,'tvm::script::ir_builder::tir::AllocateFrameNode: [...]
-  ['storagealign_4300',['StorageAlign',['../classtvm_1_1tir_1_1ScheduleNode.html#a93d1d23f24d903db844f75f51fe09a36',1,'tvm::tir::ScheduleNode']]],
-  ['storagealignstep_4301',['StorageAlignStep',['../classtvm_1_1auto__scheduler_1_1StorageAlignStep.html#a99dbb8c55d9e7d78268b6d43fd348bc7',1,'tvm::auto_scheduler::StorageAlignStep::StorageAlignStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1StorageAlignStep.html#af50b7c2f020f8e0a80f5bcc8e559b394',1,'tvm::auto_scheduler::StorageAlignStep::StorageAlignStep(int stage_id, int iter_id, int factor, int offset)'],['../classtvm_1_1auto__scheduler_1_1StorageAlignStep.html',1 [...]
-  ['storagealignstepnode_4302',['StorageAlignStepNode',['../classtvm_1_1auto__scheduler_1_1StorageAlignStepNode.html',1,'tvm::auto_scheduler']]],
-  ['storageflatten_4303',['StorageFlatten',['../namespacetvm_1_1tir_1_1transform.html#a778d3e1efecdff97e7bcf0e6a5406e61',1,'tvm::tir::transform']]],
-  ['storageobj_4304',['StorageObj',['../classtvm_1_1runtime_1_1vm_1_1StorageObj.html',1,'tvm::runtime::vm']]],
-  ['storagerewrite_4305',['StorageRewrite',['../namespacetvm_1_1tir_1_1transform.html#abe87b271e2c20e0ad901697f33c01d2c',1,'tvm::tir::transform']]],
-  ['storagetype_4306',['StorageType',['../classtvm_1_1runtime_1_1SimpleObjAllocator_1_1Handler.html#ada6a65394767c674dbbfb5937ef51bd6',1,'tvm::runtime::SimpleObjAllocator::Handler::StorageType()'],['../classtvm_1_1runtime_1_1SimpleObjAllocator_1_1ArrayHandler.html#a67e86db3290b1d3bd4aca7e7a2faf187',1,'tvm::runtime::SimpleObjAllocator::ArrayHandler::StorageType()']]],
-  ['store_5fpredicate_4307',['store_predicate',['../classtvm_1_1te_1_1StageNode.html#a8f4ba7f2931b3541c12734af511600a7',1,'tvm::te::StageNode']]],
-  ['str_4308',['Str',['../classtvm_1_1script_1_1printer_1_1LiteralDoc.html#a8423a0ede5a29b24f6db5d9f6574a200',1,'tvm::script::printer::LiteralDoc']]],
-  ['str_4309',['str',['../classtvm_1_1TargetNode.html#a30cd67db46a9c4b098a8ba38fff22e26',1,'tvm::TargetNode']]],
-  ['stream_4310',['stream',['../classtvm_1_1ReprPrinter.html#a036409dcdcf6f0ac5c6d7d27ec60ed94',1,'tvm::ReprPrinter']]],
-  ['stream_4311',['Stream',['../classtvm_1_1ReprLegacyPrinter.html#a8234b759c989ddac7fb24253d2e1e83d',1,'tvm::ReprLegacyPrinter']]],
-  ['streamsync_4312',['StreamSync',['../classtvm_1_1runtime_1_1DeviceAPI.html#ac29b9295c432a87658392872c644864f',1,'tvm::runtime::DeviceAPI']]],
-  ['stride_4313',['stride',['../structtvm_1_1relay_1_1YoloReorgAttrs.html#a720c79759a2f2b603b006b0bfcab5fe0',1,'tvm::relay::YoloReorgAttrs::stride()'],['../classtvm_1_1tir_1_1RampNode.html#a618c78e39d18f999dbdd3fe01436f447',1,'tvm::tir::RampNode::stride()']]],
-  ['stride1_4314',['stride1',['../structtvm_1_1relay_1_1CorrelationAttrs.html#aab5bf45d1aa0972bc1ce5cdb715546ff',1,'tvm::relay::CorrelationAttrs']]],
-  ['stride2_4315',['stride2',['../structtvm_1_1relay_1_1CorrelationAttrs.html#aa13aede7455a449fc194781420e40af7',1,'tvm::relay::CorrelationAttrs']]],
-  ['strided_5fslice_4316',['strided_slice',['../namespacetvm_1_1topi.html#a208e90d4a8db8cf2c7d77b4460f7df70',1,'tvm::topi']]],
-  ['strided_5fslice_2eh_4317',['strided_slice.h',['../strided__slice_8h.html',1,'']]],
-  ['strided_5fslice_5fwith_5faxes_4318',['strided_slice_with_axes',['../namespacetvm_1_1topi.html#acd9415b24624edac4edec4802d275062',1,'tvm::topi']]],
-  ['stridedsliceattrs_4319',['StridedSliceAttrs',['../structtvm_1_1relay_1_1StridedSliceAttrs.html',1,'tvm::relay']]],
-  ['stridedsliceoutputshape_4320',['StridedSliceOutputShape',['../namespacetvm_1_1topi.html#aff75b2e533b654909ca1bd9485ef4e6b',1,'tvm::topi']]],
-  ['strides_4321',['strides',['../structtvm_1_1relay_1_1StridedSliceAttrs.html#ab8c4942d4242425714b21488bae72fec',1,'tvm::relay::StridedSliceAttrs::strides()'],['../structtvm_1_1relay_1_1DeformableConv2DAttrs.html#a20463675cf34274bc2d8ccb75f1e2014',1,'tvm::relay::DeformableConv2DAttrs::strides()'],['../structtvm_1_1relay_1_1SlidingWindowAttrs.html#a74e2768c0ff2825a95c17c2a9a034254',1,'tvm::relay::SlidingWindowAttrs::strides()'],['../classtvm_1_1tir_1_1BufferNode.html#ac18ddd10b79a30ae57d [...]
-  ['string_4322',['String',['../classtvm_1_1runtime_1_1String.html#a02fca36e3ff55cc1e83635b02a11fca3',1,'tvm::runtime::String::String(std::string other)'],['../classtvm_1_1runtime_1_1String.html#ac5d930b522e9fef9c07e51819d96d2f3',1,'tvm::runtime::String::String()'],['../classtvm_1_1runtime_1_1StringObj.html#a7fb804f7dc96dd9f705c84095f37f1ca',1,'tvm::runtime::StringObj::String()'],['../classtvm_1_1runtime_1_1StringObj_1_1FromStd.html#a7fb804f7dc96dd9f705c84095f37f1ca',1,'tvm::runtime::Str [...]
-  ['string_2eh_4323',['string.h',['../string_8h.html',1,'']]],
-  ['string2dldatatype_4324',['String2DLDataType',['../crt_2packed__func_8h.html#a1b379b0d66cecf7035d7d9efa4d87e5e',1,'String2DLDataType():&#160;packed_func.h'],['../namespacetvm_1_1runtime.html#a277f104e659f71cd8885744700016341',1,'tvm::runtime::String2DLDataType()']]],
-  ['string_5fstream_4325',['string_stream',['../classtvm_1_1relay_1_1DFPatternPrinter.html#a3c25498c925131b2bb3c7b77319a0056',1,'tvm::relay::DFPatternPrinter']]],
-  ['stringimm_4326',['StringImm',['../classtvm_1_1tir_1_1StringImm.html#a0f2830290e055f677c5d5dea98aab726',1,'tvm::tir::StringImm::StringImm()'],['../classtvm_1_1tir_1_1StringImm.html',1,'tvm::tir::StringImm']]],
-  ['stringimmnode_4327',['StringImmNode',['../classtvm_1_1tir_1_1StringImmNode.html',1,'tvm::tir']]],
-  ['stringobj_4328',['StringObj',['../classtvm_1_1runtime_1_1StringObj.html',1,'tvm::runtime']]],
-  ['structural_5fequal_2eh_4329',['structural_equal.h',['../structural__equal_8h.html',1,'']]],
-  ['structural_5fhash_2eh_4330',['structural_hash.h',['../structural__hash_8h.html',1,'']]],
-  ['structuralequal_4331',['StructuralEqual',['../classtvm_1_1StructuralEqual.html',1,'tvm']]],
-  ['structuralhash_4332',['StructuralHash',['../classtvm_1_1StructuralHash.html',1,'tvm']]],
-  ['sub_4333',['Sub',['../classtvm_1_1tir_1_1Sub.html#a1f9d1defacfb72b432e80f1211f4be9c',1,'tvm::tir::Sub']]],
-  ['sub_4334',['sub',['../namespacetvm.html#a9cbbc9769fffddd99dfb4ab3f69dafb3',1,'tvm::sub(int a, const PrimExpr &amp;b, Span span=Span())'],['../namespacetvm.html#a269b014ef11118b07bae6efb0300ba6f',1,'tvm::sub(float a, const PrimExpr &amp;b, Span span=Span())'],['../namespacetvm.html#a7470d45dafa0a91b6c62b25cdd61514e',1,'tvm::sub(const PrimExpr &amp;a, int b, Span span=Span())'],['../namespacetvm.html#a9d05fc8e6a57888c5fd908152bf6d84a',1,'tvm::sub(const PrimExpr &amp;a, double b, Span s [...]
-  ['sub_4335',['Sub',['../classtvm_1_1tir_1_1Sub.html',1,'tvm::tir']]],
-  ['sub_5fdiag_5fright_5falign_4336',['sub_diag_right_align',['../structtvm_1_1relay_1_1MatrixSetDiagAttrs.html#a1acfc2cf9788e7bef4798d059bd72aee',1,'tvm::relay::MatrixSetDiagAttrs']]],
-  ['sublayout_4337',['SubLayout',['../classtvm_1_1tir_1_1Layout.html#a6342d62a4cfd5bb2313c2589c91c6d49',1,'tvm::tir::Layout']]],
-  ['subnode_4338',['SubNode',['../classtvm_1_1tir_1_1SubNode.html',1,'tvm::tir']]],
-  ['subpixelattrs_4339',['SubPixelAttrs',['../structtvm_1_1relay_1_1SubPixelAttrs.html',1,'tvm::relay']]],
-  ['subscript_4340',['Subscript',['../structtvm_1_1topi_1_1EinsumEquation.html#a4a0b81e8b69b43af5868a1617cfe30d3',1,'tvm::topi::EinsumEquation']]],
-  ['subspacedivide_4341',['SubspaceDivide',['../namespacetvm_1_1arith.html#a07096c818b466b42171bc93c1784cdf8',1,'tvm::arith']]],
-  ['substitute_4342',['Substitute',['../namespacetvm_1_1tir.html#a71cc8d64e0d78149a19413953dec6230',1,'tvm::tir::Substitute(PrimExpr expr, std::function&lt; Optional&lt; PrimExpr &gt;(const Var &amp;var)&gt; vmap)'],['../namespacetvm_1_1tir.html#a9e1301a585fe9a09feb38a15b3300d8b',1,'tvm::tir::Substitute(Obj &amp;&amp;obj, const std::unordered_map&lt; IterVar, Expr &gt; &amp;iter_vmap)'],['../classtvm_1_1arith_1_1IntGroupBounds.html#a25fd6d19fec4a47d38913d95c9229e1f',1,'tvm::arith::IntGro [...]
-  ['substituteboundvars_4343',['SubstituteBoundVars',['../namespacetvm_1_1relay.html#aa65d5cde84db61b456ce982b5328fae2',1,'tvm::relay']]],
-  ['substitutewithdatatypelegalization_4344',['SubstituteWithDataTypeLegalization',['../namespacetvm_1_1tir.html#a7bf580da4d2e7867856ce00d3ff1805d',1,'tvm::tir::SubstituteWithDataTypeLegalization(Stmt stmt, std::function&lt; Optional&lt; PrimExpr &gt;(const Var &amp;)&gt; vmap)'],['../namespacetvm_1_1tir.html#ae06122cce8e8888b5ed3568e7a4368bc',1,'tvm::tir::SubstituteWithDataTypeLegalization(PrimExpr expr, std::function&lt; Optional&lt; PrimExpr &gt;(const Var &amp;)&gt; vmap)']]],
-  ['subtract_4345',['subtract',['../namespacetvm_1_1topi.html#a3030be37f9db43ef90a5b2cc0997acd6',1,'tvm::topi::subtract(const tvm::PrimExpr &amp;a, const tvm::PrimExpr &amp;b)'],['../namespacetvm_1_1topi.html#a461a374321614b257311660b8bc45d0d',1,'tvm::topi::subtract(const tvm::te::Tensor &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;subtract&quot;, std::string tag=kBroadcast)'],['../namespacetvm_1_1topi.html#a1447c5af8653fa4fcbe69ee287a0a8fa',1,'tvm::topi::s [...]
-  ['sum_4346',['sum',['../namespacetvm.html#afdad0c0329bd39949ba8d296cfb85d76',1,'tvm::sum()'],['../namespacetvm_1_1topi.html#abee7c35e8c15e2e61afe35852dfcb252',1,'tvm::topi::sum()']]],
-  ['super_5fdiag_5fright_5falign_4347',['super_diag_right_align',['../structtvm_1_1relay_1_1MatrixSetDiagAttrs.html#adb7c44439db1d842a6c804547df02a31',1,'tvm::relay::MatrixSetDiagAttrs']]],
-  ['support_5flevel_4348',['support_level',['../classtvm_1_1OpNode.html#a68a34098b5a111dec5f381cc4a80cb78',1,'tvm::OpNode']]],
-  ['surjective_4349',['Surjective',['../namespacetvm_1_1arith.html#a243b60bbe2d3852099eb65454b240c63ae76680d0048f5c8d7160cac340cb53c9',1,'tvm::arith']]],
-  ['swap_4350',['swap',['../classtvm_1_1runtime_1_1ObjectPtr.html#a0306cc87b99ff5f392fdb90d6aabc70f',1,'tvm::runtime::ObjectPtr']]],
-  ['sync_5fhandle_4351',['sync_handle',['../structTVMParallelGroupEnv.html#a0633fa0370c01f1ab38948a53801d90c',1,'TVMParallelGroupEnv']]],
-  ['syncandgetelapsednanos_4352',['SyncAndGetElapsedNanos',['../classtvm_1_1runtime_1_1TimerNode.html#a0d7432265ae9053d8d50507b1ffb55f0',1,'tvm::runtime::TimerNode']]],
-  ['syncstreamfromto_4353',['SyncStreamFromTo',['../classtvm_1_1runtime_1_1DeviceAPI.html#a3e455879adb262d3d66945cbe4a5a118',1,'tvm::runtime::DeviceAPI']]],
-  ['syntax_5fsugar_4354',['syntax_sugar',['../classtvm_1_1PrinterConfigNode.html#a518824def075339074d4451a79e3dd9b',1,'tvm::PrinterConfigNode']]]
+  ['same_5fas_3873',['same_as',['../classtvm_1_1runtime_1_1ObjectRef.html#ae31a5b9f40781d60a2901994ead700e8',1,'tvm::runtime::ObjectRef']]],
+  ['sample_5fratio_3874',['sample_ratio',['../structtvm_1_1relay_1_1ROIAlignAttrs.html#a44a199408088e3c6d2b0ae13e13eff40',1,'tvm::relay::ROIAlignAttrs']]],
+  ['samplecategorical_3875',['SampleCategorical',['../classtvm_1_1tir_1_1ScheduleNode.html#ab9d2b3a98335b88f168b69deed49eb19',1,'tvm::tir::ScheduleNode']]],
+  ['samplecomputelocation_3876',['SampleComputeLocation',['../classtvm_1_1tir_1_1ScheduleNode.html#abf9fbec94271b7512c24b6eced230c39',1,'tvm::tir::ScheduleNode']]],
+  ['samplepartitionedtile_3877',['SamplePartitionedTile',['../classtvm_1_1tir_1_1ScheduleNode.html#a6d5170f35f095f0a581ea48f477e2f08',1,'tvm::tir::ScheduleNode']]],
+  ['sampleperfecttile_3878',['SamplePerfectTile',['../classtvm_1_1tir_1_1ScheduleNode.html#a2c62b3f9486dd35714df50bc424d6698',1,'tvm::tir::ScheduleNode']]],
+  ['sanitizename_3879',['SanitizeName',['../namespacetvm_1_1runtime.html#abffd1371d92046a4129a4961ef40755c',1,'tvm::runtime']]],
+  ['save_3880',['Save',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#af949a66ffb86ea941dec43fe95f8d898',1,'tvm::runtime::vm::Executable::Save()'],['../classtvm_1_1meta__schedule_1_1CostModelNode.html#a09774a50dbf33a58b8bc33a182a95bce',1,'tvm::meta_schedule::CostModelNode::Save()'],['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#a41fc20e8506595fc41c44b69a67a6d93',1,'tvm::meta_schedule::PyCostModelNode::Save()'],['../classtvm_1_1runtime_1_1NDArray.html#ae6f82ad564a648d21e9a2e4d [...]
+  ['saved_5fdeleter_5f_3881',['saved_deleter_',['../classtvm_1_1relay_1_1CallNode.html#a918ffd45556abd8b476e8e5d701e95fc',1,'tvm::relay::CallNode::saved_deleter_()'],['../classtvm_1_1relay_1_1LetNode.html#af0689c200f81b39141564e667b435cd7',1,'tvm::relay::LetNode::saved_deleter_()']]],
+  ['savedltensor_3882',['SaveDLTensor',['../namespacetvm_1_1runtime.html#a8fb37910dcd9bb6899e6a3a47f006514',1,'tvm::runtime']]],
+  ['savejson_3883',['SaveJSON',['../namespacetvm.html#aad98af358976b1598430090b7db92823',1,'tvm']]],
+  ['savetobinary_3884',['SaveToBinary',['../classtvm_1_1runtime_1_1ModuleNode.html#acd613ea7faf2fc100d1e8b0fd80020c3',1,'tvm::runtime::ModuleNode::SaveToBinary()'],['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a6fa56dfff8df1b3c353f076ee02935b0',1,'tvm::runtime::vm::Executable::SaveToBinary()']]],
+  ['savetofile_3885',['SaveToFile',['../classtvm_1_1runtime_1_1ModuleNode.html#a031fdd218e368bc96e119ccdabf482ee',1,'tvm::runtime::ModuleNode::SaveToFile()'],['../classtvm_1_1runtime_1_1vm_1_1Executable.html#aa8bb96a33b7e540b169b2994ae75a400',1,'tvm::runtime::vm::Executable::SaveToFile()']]],
+  ['scalar_3886',['Scalar',['../classtvm_1_1TensorType.html#ab3d79794f932115e9ad71d616648d05d',1,'tvm::TensorType']]],
+  ['scalar_5finputs_3887',['scalar_inputs',['../classtvm_1_1te_1_1TensorIntrinCallNode.html#a862c22c7362a799b0f44de41920bffc8',1,'tvm::te::TensorIntrinCallNode::scalar_inputs()'],['../classtvm_1_1te_1_1TensorComputeOpNode.html#ae96efdee192da92765d2a64aff1f9999',1,'tvm::te::TensorComputeOpNode::scalar_inputs()']]],
+  ['scalar_5fparams_3888',['scalar_params',['../classtvm_1_1te_1_1TensorIntrinNode.html#a9bd674ec6c6a695ea81a3651e99a78f0',1,'tvm::te::TensorIntrinNode']]],
+  ['scale_3889',['scale',['../classtvm_1_1TensorAffineTypeNode.html#a8443f9693d6f3574b85ff0b6e37b298b',1,'tvm::TensorAffineTypeNode::scale()'],['../structtvm_1_1relay_1_1GroupNormAttrs.html#aafc02cdca5286cca8ee5c7f23cf091ba',1,'tvm::relay::GroupNormAttrs::scale()'],['../structtvm_1_1relay_1_1InstanceNormAttrs.html#a3c3ea1bc3de46864e1a355711ac7d2a1',1,'tvm::relay::InstanceNormAttrs::scale()'],['../classtvm_1_1arith_1_1IterSplitExprNode.html#a93c760bb7a5af0c7c442dc879d7bc55a',1,'tvm::arith [...]
+  ['scale_5fd_3890',['scale_d',['../structtvm_1_1relay_1_1UpSampling3DAttrs.html#a73f52d511b9d564724930bc40497cee7',1,'tvm::relay::UpSampling3DAttrs']]],
+  ['scale_5fh_3891',['scale_h',['../structtvm_1_1relay_1_1UpSampling3DAttrs.html#a8ce387249c84609450b32fd69d719366',1,'tvm::relay::UpSampling3DAttrs::scale_h()'],['../structtvm_1_1relay_1_1UpSamplingAttrs.html#a0783ffc51d1f90cf5c0762052c4eaf5c',1,'tvm::relay::UpSamplingAttrs::scale_h()']]],
+  ['scale_5fshift_5fnchw_3892',['scale_shift_nchw',['../namespacetvm_1_1topi_1_1nn.html#a07b8a04f27f17af58dd9f18218cfe081',1,'tvm::topi::nn']]],
+  ['scale_5fshift_5fnhwc_3893',['scale_shift_nhwc',['../namespacetvm_1_1topi_1_1nn.html#acaf3bc4e89fc55b8444d2550d25f4f22',1,'tvm::topi::nn']]],
+  ['scale_5fw_3894',['scale_w',['../structtvm_1_1relay_1_1UpSamplingAttrs.html#af6c8568dcaaf3106502660a74b5847dd',1,'tvm::relay::UpSamplingAttrs::scale_w()'],['../structtvm_1_1relay_1_1UpSampling3DAttrs.html#a270613b4109d2b24766b7bfbac2539c1',1,'tvm::relay::UpSampling3DAttrs::scale_w()']]],
+  ['scales_3895',['scales',['../structtvm_1_1relay_1_1ProposalAttrs.html#a0fbb2676833987768b1062753e2df1a3',1,'tvm::relay::ProposalAttrs']]],
+  ['scan_3896',['scan',['../namespacetvm_1_1te.html#a2211520c227ed5ec6fe220076a495eea',1,'tvm::te']]],
+  ['scan_3897',['Scan',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html#a9c92d0b33d1cf1e8c9a57eefc7b4430f',1,'tvm::script::ir_builder::tir::axis']]],
+  ['scan_5faxis_3898',['scan_axis',['../classtvm_1_1te_1_1ScanOpNode.html#a9c67e6383696efbba8e1ff9bd7470c8a',1,'tvm::te::ScanOpNode']]],
+  ['scan_5finit_5fscope_3899',['scan_init_scope',['../namespacetvm_1_1tir_1_1attr.html#af18e3a9ba11d436e02b55e420647b22b',1,'tvm::tir::attr']]],
+  ['scan_5fupdate_5fscope_3900',['scan_update_scope',['../namespacetvm_1_1tir_1_1attr.html#a84f5d42e968fd8f4cdd7a4aac7ba2137',1,'tvm::tir::attr']]],
+  ['scanop_3901',['ScanOp',['../classtvm_1_1te_1_1ScanOp.html#ac09bdcae5190ada19d18f1f77f2c8a65',1,'tvm::te::ScanOp::ScanOp()'],['../classtvm_1_1te_1_1ScanOp.html',1,'tvm::te::ScanOp']]],
+  ['scanopattrs_3902',['ScanopAttrs',['../structtvm_1_1relay_1_1ScanopAttrs.html',1,'tvm::relay']]],
+  ['scanopnode_3903',['ScanOpNode',['../classtvm_1_1te_1_1ScanOpNode.html#a1b681295f74cb94732ef167a15a8488f',1,'tvm::te::ScanOpNode::ScanOpNode()'],['../classtvm_1_1te_1_1ScanOpNode.html',1,'tvm::te::ScanOpNode']]],
+  ['scatterelementsattrs_3904',['ScatterElementsAttrs',['../structtvm_1_1relay_1_1ScatterElementsAttrs.html',1,'tvm::relay']]],
+  ['scatterndattrs_3905',['ScatterNDAttrs',['../structtvm_1_1relay_1_1ScatterNDAttrs.html',1,'tvm::relay']]],
+  ['sch_3906',['sch',['../classtvm_1_1meta__schedule_1_1MeasureCandidateNode.html#a09ca07d9214f494e04ea57972109c6d6',1,'tvm::meta_schedule::MeasureCandidateNode']]],
+  ['sch_5frules_3907',['sch_rules',['../classtvm_1_1meta__schedule_1_1SpaceGeneratorNode.html#a13d999ca33bcf255fb66cb466137a402',1,'tvm::meta_schedule::SpaceGeneratorNode']]],
+  ['schedulable_5fndim_3908',['schedulable_ndim',['../classtvm_1_1te_1_1TensorComputeOpNode.html#af9fbd1dbdccbfbef35a87137b6a1bee6',1,'tvm::te::TensorComputeOpNode']]],
+  ['schedule_3909',['Schedule',['../classtvm_1_1te_1_1Schedule.html#a1eb19ccb06835a11edc39ed1410f01af',1,'tvm::te::Schedule::Schedule()'],['../classtvm_1_1tir_1_1ScheduleNode.html#aae5808dc2e987bf17ef42196457a654d',1,'tvm::tir::ScheduleNode::Schedule()'],['../classtvm_1_1relay_1_1OpImplementation.html#a13a90107dc66983c53faf1620b022d28',1,'tvm::relay::OpImplementation::Schedule()'],['../classtvm_1_1te_1_1Schedule.html#a90fb6686f85d6a0be14fe52de8f48402',1,'tvm::te::Schedule::Schedule(Array [...]
+  ['schedule_2eh_3910',['schedule.h',['../tir_2schedule_2schedule_8h.html',1,'(Global Namespace)'],['../te_2schedule_8h.html',1,'(Global Namespace)']]],
+  ['schedule_5fbinarize_5fpack_3911',['schedule_binarize_pack',['../namespacetvm_1_1topi_1_1x86.html#a147c5543900d2577386840c2df896a42',1,'tvm::topi::x86']]],
+  ['schedule_5fbinary_5fdense_3912',['schedule_binary_dense',['../namespacetvm_1_1topi_1_1x86.html#a816e1819d7c26cb68aa858474bc97e46',1,'tvm::topi::x86']]],
+  ['schedule_5fdense_3913',['schedule_dense',['../namespacetvm_1_1topi_1_1cuda.html#a67def722e608bf15e836cec8181f75ff',1,'tvm::topi::cuda::schedule_dense()'],['../namespacetvm_1_1topi_1_1rocm.html#abe13cfee88cd67a15c064d16f4af46ad',1,'tvm::topi::rocm::schedule_dense()']]],
+  ['schedule_5fextern_3914',['schedule_extern',['../namespacetvm_1_1topi_1_1generic.html#a6ffba9caa2147c24b8f2fa53f6618e5b',1,'tvm::topi::generic']]],
+  ['schedule_5fglobal_5fpool_3915',['schedule_global_pool',['../namespacetvm_1_1topi_1_1cuda.html#ad29a3518671a48fab5b0eb18de35e787',1,'tvm::topi::cuda::schedule_global_pool()'],['../namespacetvm_1_1topi_1_1rocm.html#a45aee34b0000f98aafd958ffe9baebc0',1,'tvm::topi::rocm::schedule_global_pool()']]],
+  ['schedule_5finjective_3916',['schedule_injective',['../namespacetvm_1_1topi_1_1generic.html#a74857e4462b70fadef2d1761e2fbf514',1,'tvm::topi::generic::schedule_injective()'],['../namespacetvm_1_1topi_1_1cuda.html#a9a137fa03c26e87448d89697f344c9ce',1,'tvm::topi::cuda::schedule_injective()'],['../namespacetvm_1_1topi_1_1rocm.html#aecff022e332d1556f92d9606af40ccb1',1,'tvm::topi::rocm::schedule_injective()'],['../namespacetvm_1_1topi_1_1x86.html#a9ff21a27a270e187c985a93b565232c4',1,'tvm::t [...]
+  ['schedule_5finjective_5ffrom_5fexisting_3917',['schedule_injective_from_existing',['../namespacetvm_1_1topi_1_1generic.html#ac702cf597da4bd20ca41c12c9d6f339c',1,'tvm::topi::generic::schedule_injective_from_existing()'],['../namespacetvm_1_1topi_1_1cuda.html#acd6a96595f034560c78038c593dd9c3e',1,'tvm::topi::cuda::schedule_injective_from_existing()'],['../namespacetvm_1_1topi_1_1rocm.html#aade0f256897bf615640b06ce02171b14',1,'tvm::topi::rocm::schedule_injective_from_existing()'],['../nam [...]
+  ['schedule_5fpass_2eh_3918',['schedule_pass.h',['../schedule__pass_8h.html',1,'']]],
+  ['schedule_5fpool_3919',['schedule_pool',['../namespacetvm_1_1topi_1_1cuda.html#a8730c64578bca631c66bfde693730ca6',1,'tvm::topi::cuda::schedule_pool()'],['../namespacetvm_1_1topi_1_1rocm.html#a7d6d48e5cbcec1b7cc0a90c7399e2a8a',1,'tvm::topi::rocm::schedule_pool()']]],
+  ['schedule_5frecord_3920',['schedule_record',['../classtvm_1_1te_1_1ScheduleNode.html#a52983b1afd658ec3b885b3b076c6203d',1,'tvm::te::ScheduleNode']]],
+  ['schedule_5freduce_3921',['schedule_reduce',['../namespacetvm_1_1topi_1_1cuda.html#a674cabb64c0a45fd58c595389beb4919',1,'tvm::topi::cuda::schedule_reduce()'],['../namespacetvm_1_1topi_1_1rocm.html#aa4e0bacdd895904427bfc300ca9ace32',1,'tvm::topi::rocm::schedule_reduce()']]],
+  ['schedule_5frule_2eh_3922',['schedule_rule.h',['../schedule__rule_8h.html',1,'']]],
+  ['schedule_5fsoftmax_3923',['schedule_softmax',['../namespacetvm_1_1topi_1_1rocm.html#ab71ce2b3685f0ce5f30d2d661c5e799b',1,'tvm::topi::rocm::schedule_softmax()'],['../namespacetvm_1_1topi_1_1cuda.html#a4a2a33ae8186abc6af4dae2ffd12ff91',1,'tvm::topi::cuda::schedule_softmax()']]],
+  ['schedulecontext_3924',['ScheduleContext',['../classtvm_1_1te_1_1ScheduleContext.html',1,'tvm::te']]],
+  ['scheduledebugmask_3925',['ScheduleDebugMask',['../namespacetvm_1_1tir.html#a230fa4eb6152910f125f636dab3bd4e0',1,'tvm::tir']]],
+  ['scheduleerrorrenderlevel_3926',['ScheduleErrorRenderLevel',['../namespacetvm_1_1tir.html#a9ae244600a5e56c4adc9faf6d88f931e',1,'tvm::tir']]],
+  ['schedulefn_3927',['ScheduleFn',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a4a7bf04c99138534f38508157baf602c',1,'tvm::meta_schedule::SpaceGenerator']]],
+  ['schedulefndatabase_3928',['ScheduleFnDatabase',['../classtvm_1_1meta__schedule_1_1Database.html#a75f998ad3493c37b4ad2a1d93f454443',1,'tvm::meta_schedule::Database']]],
+  ['schedulenode_3929',['ScheduleNode',['../classtvm_1_1te_1_1ScheduleNode.html',1,'tvm::te::ScheduleNode'],['../classtvm_1_1tir_1_1ScheduleNode.html',1,'tvm::tir::ScheduleNode']]],
+  ['scheduleops_3930',['ScheduleOps',['../namespacetvm_1_1te.html#ac5f0fdd7c2d3deb15b7855c5b1ff1aff',1,'tvm::te']]],
+  ['schedulepostproctoprimfunc_3931',['SchedulePostProcToPrimFunc',['../namespacetvm_1_1te.html#a9eb39ad3aa5af348b1b27e755074f525',1,'tvm::te']]],
+  ['schedulereduce_3932',['ScheduleReduce',['../namespacetvm_1_1topi_1_1cuda.html#a3dbbf8bdb78533c15e62ab0e874eb360',1,'tvm::topi::cuda']]],
+  ['schedulerule_3933',['ScheduleRule',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html',1,'tvm::meta_schedule']]],
+  ['schedulerulenode_3934',['ScheduleRuleNode',['../classtvm_1_1meta__schedule_1_1ScheduleRuleNode.html',1,'tvm::meta_schedule']]],
+  ['schedulestate_3935',['ScheduleState',['../classtvm_1_1tir_1_1ScheduleState.html#a00cb7c3bda192835122e95de78925a7b',1,'tvm::tir::ScheduleState::ScheduleState()'],['../classtvm_1_1tir_1_1ScheduleState.html',1,'tvm::tir::ScheduleState']]],
+  ['schedulestatenode_3936',['ScheduleStateNode',['../classtvm_1_1tir_1_1ScheduleStateNode.html',1,'tvm::tir']]],
+  ['scheduletomodule_3937',['ScheduleToModule',['../namespacetvm.html#a16d68709766f3c808c5a8921d4f72274',1,'tvm']]],
+  ['scope_3938',['scope',['../classtvm_1_1tir_1_1Buffer.html#a27744860792f4dd5980ac62c0b9c4405',1,'tvm::tir::Buffer::scope()'],['../structtvm_1_1tir_1_1BlockInfo.html#a26f43b05e354b8e860a365c4c98c6f11',1,'tvm::tir::BlockInfo::scope()'],['../classtvm_1_1te_1_1StageNode.html#a55acf027a39738cd1ddd063b27086038',1,'tvm::te::StageNode::scope()']]],
+  ['scope_5fname_3939',['scope_name',['../classtvm_1_1auto__scheduler_1_1CacheReadStepNode.html#a3acb0811d66ee113a91c7c3501bbf01a',1,'tvm::auto_scheduler::CacheReadStepNode::scope_name()'],['../classtvm_1_1auto__scheduler_1_1CacheWriteStepNode.html#ae296d4462c2def1263a95a4c57ce9514',1,'tvm::auto_scheduler::CacheWriteStepNode::scope_name()']]],
+  ['scopedoc_3940',['ScopeDoc',['../classtvm_1_1script_1_1printer_1_1ScopeDoc.html#aec595b3a2a9e9fb8fbc22c3f58a9dec7',1,'tvm::script::printer::ScopeDoc::ScopeDoc(Optional&lt; ExprDoc &gt; lhs, ExprDoc rhs, Array&lt; StmtDoc &gt; body)'],['../classtvm_1_1script_1_1printer_1_1ScopeDoc.html#a04590cd32fa1ed52f376549be09c214e',1,'tvm::script::printer::ScopeDoc::ScopeDoc(ExprDoc rhs, Array&lt; StmtDoc &gt; body)'],['../classtvm_1_1script_1_1printer_1_1ScopeDoc.html',1,'tvm::script::printer::Sc [...]
+  ['scopedocnode_3941',['ScopeDocNode',['../classtvm_1_1script_1_1printer_1_1ScopeDocNode.html',1,'tvm::script::printer']]],
+  ['scopedtimer_3942',['ScopedTimer',['../classtvm_1_1meta__schedule_1_1ScopedTimer.html',1,'tvm::meta_schedule']]],
+  ['score_5findex_3943',['score_index',['../structtvm_1_1relay_1_1GetValidCountsAttrs.html#ac1f6c8ed8f47f543c20501af98cff87b',1,'tvm::relay::GetValidCountsAttrs::score_index()'],['../structtvm_1_1relay_1_1NonMaximumSuppressionAttrs.html#aef590e963d5af653e09e6327808c4723',1,'tvm::relay::NonMaximumSuppressionAttrs::score_index()']]],
+  ['score_5fthreshold_3944',['score_threshold',['../structtvm_1_1relay_1_1GetValidCountsAttrs.html#ad9cb7822369b93d0be7b2eeb01e2bcd5',1,'tvm::relay::GetValidCountsAttrs::score_threshold()'],['../structtvm_1_1relay_1_1RegularNonMaximumSuppressionAttrs.html#a8fb99d0720c0a115ce3165f9411022d8',1,'tvm::relay::RegularNonMaximumSuppressionAttrs::score_threshold()']]],
+  ['script_3945',['Script',['../classtvm_1_1TVMScriptPrinter.html#a703e7ac95c8a0672506323f6a0ea09f4',1,'tvm::TVMScriptPrinter']]],
+  ['script_5fparsing_5fdetect_5faccess_3946',['script_parsing_detect_access',['../namespacetvm_1_1tir_1_1attr.html#a3c66b2c968e985c3f4264979c18622e8',1,'tvm::tir::attr']]],
+  ['script_5fprinter_2eh_3947',['script_printer.h',['../script__printer_8h.html',1,'']]],
+  ['scriptdtypeprintlocation_3948',['ScriptDtypePrintLocation',['../namespacetvm_1_1tir.html#ae52a7f5a7dfc8ed07258b2f526df603e',1,'tvm::tir']]],
+  ['search_3949',['Search',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#aa118c6b76b8b70ef2ee614553532a49c',1,'tvm::auto_scheduler::SearchPolicyNode']]],
+  ['search_5fpolicy_2eh_3950',['search_policy.h',['../search__policy_8h.html',1,'']]],
+  ['search_5fstrategy_3951',['search_strategy',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#ac0030a1f3321be5cbc75226be5690b4b',1,'tvm::meta_schedule::TuneContextNode']]],
+  ['search_5fstrategy_2eh_3952',['search_strategy.h',['../search__strategy_8h.html',1,'']]],
+  ['search_5ftask_3953',['search_task',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#a47000a055992988ae674b5219ae5f607',1,'tvm::auto_scheduler::SearchPolicyNode']]],
+  ['search_5ftask_2eh_3954',['search_task.h',['../search__task_8h.html',1,'']]],
+  ['searchcallback_3955',['SearchCallback',['../classtvm_1_1auto__scheduler_1_1SearchCallback.html',1,'tvm::auto_scheduler']]],
+  ['searchcallbacknode_3956',['SearchCallbackNode',['../classtvm_1_1auto__scheduler_1_1SearchCallbackNode.html',1,'tvm::auto_scheduler']]],
+  ['searchpolicy_3957',['SearchPolicy',['../classtvm_1_1auto__scheduler_1_1SearchPolicy.html',1,'tvm::auto_scheduler']]],
+  ['searchpolicykey_3958',['SearchPolicyKey',['../structtvm_1_1auto__scheduler_1_1SearchPolicyKey.html',1,'tvm::auto_scheduler']]],
+  ['searchpolicynode_3959',['SearchPolicyNode',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html',1,'tvm::auto_scheduler']]],
+  ['searchsortedattrs_3960',['SearchSortedAttrs',['../structtvm_1_1relay_1_1SearchSortedAttrs.html',1,'tvm::relay']]],
+  ['searchstrategy_3961',['SearchStrategy',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html',1,'tvm::meta_schedule']]],
+  ['searchstrategynode_3962',['SearchStrategyNode',['../classtvm_1_1meta__schedule_1_1SearchStrategyNode.html',1,'tvm::meta_schedule']]],
+  ['searchtask_3963',['SearchTask',['../classtvm_1_1auto__scheduler_1_1SearchTask.html#ad222f58917a347f10ee27fd6afdab144',1,'tvm::auto_scheduler::SearchTask::SearchTask()'],['../classtvm_1_1auto__scheduler_1_1SearchTask.html',1,'tvm::auto_scheduler::SearchTask']]],
+  ['searchtasknode_3964',['SearchTaskNode',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html',1,'tvm::auto_scheduler']]],
+  ['seed_3965',['Seed',['../classtvm_1_1tir_1_1ScheduleNode.html#a3cb60d6112fe5a443ef39bc005c9fbf1',1,'tvm::tir::ScheduleNode::Seed()'],['../classtvm_1_1support_1_1LinearCongruentialEngine.html#a4cfd606560acb061fdf2681f7272c9df',1,'tvm::support::LinearCongruentialEngine::Seed()']]],
+  ['select_3966',['Select',['../classtvm_1_1tir_1_1Select.html#acd72de75a457efce463983c4ab01b07d',1,'tvm::tir::Select::Select()'],['../classtvm_1_1tir_1_1Select.html',1,'tvm::tir::Select']]],
+  ['select_5flast_5findex_3967',['select_last_index',['../structtvm_1_1relay_1_1ArgReduceAttrs.html#a8c2cc26237f538ad5fa20b0dc7bef0ed',1,'tvm::relay::ArgReduceAttrs']]],
+  ['selectnode_3968',['SelectNode',['../classtvm_1_1tir_1_1SelectNode.html',1,'tvm::tir']]],
+  ['selectplacementpool_3969',['SelectPlacementPool',['../classtvm_1_1tir_1_1usmp_1_1algo_1_1GreedyBase.html#a1b5b649e57b00a10336e4c192b00c294',1,'tvm::tir::usmp::algo::GreedyBase']]],
+  ['selectsequalreduce_3970',['SelectSEqualReduce',['../structtvm_1_1detail_1_1SelectSEqualReduce.html',1,'tvm::detail']]],
+  ['selectsequalreduce_3c_20t_2c_20traitname_2c_20false_20_3e_3971',['SelectSEqualReduce&lt; T, TraitName, false &gt;',['../structtvm_1_1detail_1_1SelectSEqualReduce_3_01T_00_01TraitName_00_01false_01_4.html',1,'tvm::detail']]],
+  ['selectshashreduce_3972',['SelectSHashReduce',['../structtvm_1_1detail_1_1SelectSHashReduce.html',1,'tvm::detail']]],
+  ['selectshashreduce_3c_20t_2c_20traitname_2c_20false_20_3e_3973',['SelectSHashReduce&lt; T, TraitName, false &gt;',['../structtvm_1_1detail_1_1SelectSHashReduce_3_01T_00_01TraitName_00_01false_01_4.html',1,'tvm::detail']]],
+  ['selectvisitattrs_3974',['SelectVisitAttrs',['../structtvm_1_1detail_1_1SelectVisitAttrs.html',1,'tvm::detail']]],
+  ['selectvisitattrs_3c_20t_2c_20traitname_2c_20false_20_3e_3975',['SelectVisitAttrs&lt; T, TraitName, false &gt;',['../structtvm_1_1detail_1_1SelectVisitAttrs_3_01T_00_01TraitName_00_01false_01_4.html',1,'tvm::detail']]],
+  ['self_3976',['self',['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#a5bac4439279428fb3c0d44aa6b1cc798',1,'tvm::runtime::MapNode::iterator']]],
+  ['self_3977',['Self',['../classtvm_1_1runtime_1_1InplaceArrayBase.html#ae447f7c7a742fb3f5613a632706509df',1,'tvm::runtime::InplaceArrayBase']]],
+  ['sendbodychunk_3978',['SendBodyChunk',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a37b77101825145283cced6cd05eb502c',1,'tvm::runtime::micro_rpc::Session']]],
+  ['sendmessage_3979',['SendMessage',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a6e540521a7e9188564da712c0641619c',1,'tvm::runtime::micro_rpc::Session']]],
+  ['seq_3980',['seq',['../classtvm_1_1tir_1_1SeqStmtNode.html#a0e548955529d35c56e646fcaac38f865',1,'tvm::tir::SeqStmtNode']]],
+  ['seq_5faxis_3981',['seq_axis',['../structtvm_1_1relay_1_1ReverseSequenceAttrs.html#ab107432fff56dfd9ef4a0600ee616ade',1,'tvm::relay::ReverseSequenceAttrs']]],
+  ['seq_5findex_3982',['seq_index',['../classtvm_1_1tir_1_1StmtSRefNode.html#a1ce9920064b0c2ed42be0e9412fd3939',1,'tvm::tir::StmtSRefNode']]],
+  ['seqstmt_3983',['SeqStmt',['../classtvm_1_1tir_1_1SeqStmt.html#afe971b51fb6990008a118d4aa16c0920',1,'tvm::tir::SeqStmt::SeqStmt()'],['../classtvm_1_1tir_1_1SeqStmt.html',1,'tvm::tir::SeqStmt']]],
+  ['seqstmtnode_3984',['SeqStmtNode',['../classtvm_1_1tir_1_1SeqStmtNode.html',1,'tvm::tir']]],
+  ['sequalhandlerdefault_3985',['SEqualHandlerDefault',['../classtvm_1_1SEqualHandlerDefault.html#a843bd92c063e719d7459228594fc9237',1,'tvm::SEqualHandlerDefault::SEqualHandlerDefault()'],['../classtvm_1_1SEqualHandlerDefault.html',1,'tvm::SEqualHandlerDefault']]],
+  ['sequalreduce_3986',['SEqualReduce',['../structtvm_1_1WorkspaceMemoryPoolsNode.html#a83c9882d87f8afdf241e727886651fe8',1,'tvm::WorkspaceMemoryPoolsNode::SEqualReduce()'],['../classtvm_1_1RangeNode.html#a53988be7b3181aa3b55eb991b615c48d',1,'tvm::RangeNode::SEqualReduce()'],['../classtvm_1_1PointerTypeNode.html#a6ebf93cad9d56332ee2d90ad21aee08c',1,'tvm::PointerTypeNode::SEqualReduce()'],['../structtvm_1_1ConstantMemoryPoolsNode.html#a298cff0d8167978183f155d4b28eaac7',1,'tvm::ConstantMem [...]
+  ['sequalreducer_3987',['SEqualReducer',['../classtvm_1_1SEqualReducer.html#abb85d3142af880fb96ae79423e5c382e',1,'tvm::SEqualReducer::SEqualReducer()=default'],['../classtvm_1_1SEqualReducer.html#a97eb296b45fee73c0ff6064c8eebdd7c',1,'tvm::SEqualReducer::SEqualReducer(Handler *handler, const PathTracingData *tracing_data, bool map_free_vars)'],['../classtvm_1_1SEqualReducer.html',1,'tvm::SEqualReducer']]],
+  ['sequence_5fmask_3988',['sequence_mask',['../namespacetvm_1_1topi.html#a037a112cc5c556107797e36e7feb0873',1,'tvm::topi']]],
+  ['sequencemaskattrs_3989',['SequenceMaskAttrs',['../structtvm_1_1relay_1_1SequenceMaskAttrs.html',1,'tvm::relay']]],
+  ['sequential_3990',['Sequential',['../namespacetvm_1_1relay_1_1transform.html#a3ae923037d22b4640b450c06e6c1e33e',1,'tvm::relay::transform::Sequential()'],['../classtvm_1_1transform_1_1Sequential.html#ad8a15a63b3d4a2b10518c7a61a761416',1,'tvm::transform::Sequential::Sequential(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1transform_1_1Sequential.html#abfea4507335788cb4d2cf0cfe605d3d6',1,'tvm::transform::Sequential::Sequential()=default'],['../classtvm_1_1transform_1_1Sequential.html#a [...]
+  ['sequentialnode_3991',['SequentialNode',['../classtvm_1_1transform_1_1SequentialNode.html',1,'tvm::transform']]],
+  ['sequentialspan_3992',['SequentialSpan',['../classtvm_1_1SequentialSpan.html#a271a8abb10ee43d964fc783955b337e0',1,'tvm::SequentialSpan::SequentialSpan(Array&lt; Span &gt; spans)'],['../classtvm_1_1SequentialSpan.html#aa5adb345b82e0be21d8fbc2a13573846',1,'tvm::SequentialSpan::SequentialSpan(std::initializer_list&lt; Span &gt; init)'],['../classtvm_1_1SequentialSpan.html',1,'tvm::SequentialSpan']]],
+  ['sequentialspannode_3993',['SequentialSpanNode',['../classtvm_1_1SequentialSpanNode.html',1,'tvm']]],
+  ['serial_3994',['Serial',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a4bc5b417d21541e3b45a9eaa5c438a96',1,'tvm::script::ir_builder::tir']]],
+  ['serialization_2eh_3995',['serialization.h',['../serialization_8h.html',1,'']]],
+  ['serializemoduletobytes_3996',['SerializeModuleToBytes',['../namespacetvm_1_1codegen.html#a45234a2d35685aa86c803ae4426e0315',1,'tvm::codegen']]],
+  ['serializer_2eh_3997',['serializer.h',['../serializer_8h.html',1,'']]],
+  ['session_3998',['Session',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#abaf0fe1fe09c268c7c44719dd0cbfa8c',1,'tvm::runtime::micro_rpc::Session::Session()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html',1,'tvm::runtime::micro_rpc::Session']]],
+  ['session_2eh_3999',['session.h',['../session_8h.html',1,'']]],
+  ['session_5fid_4000',['session_id',['../structtvm_1_1runtime_1_1micro__rpc_1_1SessionHeader.html#ae3a24ea6c4a1569d540f1d5330bf73d5',1,'tvm::runtime::micro_rpc::SessionHeader']]],
+  ['sessionheader_4001',['SessionHeader',['../namespacetvm_1_1runtime_1_1micro__rpc.html#aa46cb8bcbeecd0a410328ab51aa3b737',1,'tvm::runtime::micro_rpc::SessionHeader()'],['../structtvm_1_1runtime_1_1micro__rpc_1_1SessionHeader.html',1,'tvm::runtime::micro_rpc::SessionHeader']]],
+  ['set_4002',['Set',['../classtvm_1_1runtime_1_1Array.html#ab5db2ee9a8be71931324dac552be24c4',1,'tvm::runtime::Array::Set()'],['../classtvm_1_1runtime_1_1Map.html#ad3a78d88e3a9292d11ce04ff2dfe0702',1,'tvm::runtime::Map::Set()']]],
+  ['set_5fapply_5fto_5fschedule_4003',['set_apply_to_schedule',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#a672657caeb76b9f017a3e4e26638ed8e',1,'tvm::tir::InstructionKindRegEntry']]],
+  ['set_5fas_5fpython_4004',['set_as_python',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#ace9c0ce6dc6a0262db9e58e555baf316',1,'tvm::tir::InstructionKindRegEntry']]],
+  ['set_5fattr_4005',['set_attr',['../classtvm_1_1OpRegEntry.html#adc8816f7e131c9a2fd1935265c8af783',1,'tvm::OpRegEntry::set_attr()'],['../classtvm_1_1TargetKindRegEntry.html#a4fa4f8e5fa280ddf3dc71310afd467a5',1,'tvm::TargetKindRegEntry::set_attr()']]],
+  ['set_5fattrs_5fas_5fjson_4006',['set_attrs_as_json',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#a42abbb999a4b1ae4201da5b89a84ec37',1,'tvm::tir::InstructionKindRegEntry']]],
+  ['set_5fattrs_5ffrom_5fjson_4007',['set_attrs_from_json',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#a2f0749de5261c72cbf8bdac2bd22b31e',1,'tvm::tir::InstructionKindRegEntry']]],
+  ['set_5fattrs_5fpreprocessor_4008',['set_attrs_preprocessor',['../classtvm_1_1TargetKindRegEntry.html#a00b1eb0ab1927210a6a519baecb3085e',1,'tvm::TargetKindRegEntry']]],
+  ['set_5fattrs_5ftype_4009',['set_attrs_type',['../classtvm_1_1OpRegEntry.html#aa86816470fa8482a20558739f2691c23',1,'tvm::OpRegEntry']]],
+  ['set_5fattrs_5ftype_5fkey_4010',['set_attrs_type_key',['../classtvm_1_1OpRegEntry.html#a0c6a92638cb8252abb4ddd55e7c9380f',1,'tvm::OpRegEntry']]],
+  ['set_5faxis_5fseparators_4011',['set_axis_separators',['../classtvm_1_1te_1_1Stage.html#af550fb58b5827bb536a3f5f68354a8e5',1,'tvm::te::Stage']]],
+  ['set_5fbody_4012',['set_body',['../classtvm_1_1runtime_1_1Registry.html#a4070955dda6e6127dcc9d418c79cc9bd',1,'tvm::runtime::Registry::set_body(TCallable f)'],['../classtvm_1_1runtime_1_1Registry.html#aed802ed365a13a9cef9de4e9f601b62a',1,'tvm::runtime::Registry::set_body(PackedFunc f)']]],
+  ['set_5fbody_5fmethod_4013',['set_body_method',['../classtvm_1_1runtime_1_1Registry.html#a106607f2535dc7c838646aabd029aa60',1,'tvm::runtime::Registry::set_body_method(R(TNode::*f)(Args...) const)'],['../classtvm_1_1runtime_1_1Registry.html#ae5fcbc18ff9a33ad700592dc8e46bd90',1,'tvm::runtime::Registry::set_body_method(R(TNode::*f)(Args...))'],['../classtvm_1_1runtime_1_1Registry.html#ae5f7cc19067b609a79e1bb32b7b6f086',1,'tvm::runtime::Registry::set_body_method(R(T::*f)(Args...))'],['../c [...]
+  ['set_5fbody_5ftyped_4014',['set_body_typed',['../classtvm_1_1runtime_1_1Registry.html#a607848df01d8c33d7e2cf40e7dae42b1',1,'tvm::runtime::Registry']]],
+  ['set_5fconfig_4015',['set_config',['../classtvm_1_1TargetTagRegEntry.html#a3c1b66885a103360f56a17ef1e4dde2e',1,'tvm::TargetTagRegEntry']]],
+  ['set_5fcreator_4016',['set_creator',['../classtvm_1_1ReflectionVTable_1_1Registry.html#a33948eae2c61e1c80c637f08b516594a',1,'tvm::ReflectionVTable::Registry']]],
+  ['set_5fdefault_4017',['set_default',['../structtvm_1_1detail_1_1AttrNopEntry.html#a370e92bafbada9ba805a52e72881f98b',1,'tvm::detail::AttrNopEntry::set_default()'],['../structtvm_1_1detail_1_1AttrInitEntry.html#ae6f6e6264a5b6373b2daada1f55a1dca',1,'tvm::detail::AttrInitEntry::set_default()'],['../classtvm_1_1detail_1_1AttrDocEntry.html#a2a0d680fbaaef688f3ffb9e5d897e417',1,'tvm::detail::AttrDocEntry::set_default()'],['../structtvm_1_1detail_1_1AttrTriggerNonDefaultEntry.html#ae88a65b8d9 [...]
+  ['set_5fdefault_5fdevice_5ftype_4018',['set_default_device_type',['../classtvm_1_1TargetKindRegEntry.html#aa34789ae275e36dcd6696aa3881bbc92',1,'tvm::TargetKindRegEntry']]],
+  ['set_5fdefault_5fkeys_4019',['set_default_keys',['../classtvm_1_1TargetKindRegEntry.html#a2995c32e12246e892f7f4cb621a2819c',1,'tvm::TargetKindRegEntry']]],
+  ['set_5fdispatch_4020',['set_dispatch',['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#ae278c90765380fdcb96043f403006420',1,'tvm::script::printer::IRDocsifierFunctor::set_dispatch(String token, TCallable f)'],['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#ac8f03bc06fa0f52d9f0d22fcc94d9f9c',1,'tvm::script::printer::IRDocsifierFunctor::set_dispatch(String token, uint32_t type_index, runtime::PackedFunc f)'],['../classtvm_1_1NodeFunctor_3_01R_07const_01ObjectR [...]
+  ['set_5ffallback_4021',['set_fallback',['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#a89cc270c31e8d39d38fabc8b92b35cc8',1,'tvm::script::printer::IRDocsifierFunctor::set_fallback(runtime::PackedFunc f)'],['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#a27680aed8d4a7014870951bc3c53d7b4',1,'tvm::script::printer::IRDocsifierFunctor::set_fallback(TCallable f)']]],
+  ['set_5fis_5fpure_4022',['set_is_pure',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#ade332453b008e4fce49a3e3ebb4721c5',1,'tvm::tir::InstructionKindRegEntry']]],
+  ['set_5flower_5fbound_4023',['set_lower_bound',['../structtvm_1_1detail_1_1AttrNopEntry.html#a36da34fc54009d63283d07e9d41657f7',1,'tvm::detail::AttrNopEntry::set_lower_bound()'],['../structtvm_1_1detail_1_1AttrInitEntry.html#a5608a2a457a397bf11f2be2776ec0653',1,'tvm::detail::AttrInitEntry::set_lower_bound()'],['../classtvm_1_1detail_1_1AttrDocEntry.html#a201e9d6c937d2f444d91fcc8185f8309',1,'tvm::detail::AttrDocEntry::set_lower_bound()'],['../structtvm_1_1detail_1_1AttrTriggerNonDefault [...]
+  ['set_5fname_4024',['set_name',['../classtvm_1_1OpRegEntry.html#a655190541a44ee287902ae1fead3ff94',1,'tvm::OpRegEntry::set_name()'],['../classtvm_1_1TargetTagRegEntry.html#a2bf53ad591587d2b3f710d01a0b6d5bb',1,'tvm::TargetTagRegEntry::set_name()'],['../classtvm_1_1TargetKindRegEntry.html#a36f21402bccb03300478d6c85bd05512',1,'tvm::TargetKindRegEntry::set_name()'],['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#ac2c87342f8a017e55474e0094561f79c',1,'tvm::tir::InstructionKindRegEntry:: [...]
+  ['set_5fnum_5finputs_4025',['set_num_inputs',['../classtvm_1_1OpRegEntry.html#af3bbb881b17dcc8c19ddb8ec9b40e462',1,'tvm::OpRegEntry']]],
+  ['set_5foutputs_5fenabled_5f_4026',['set_outputs_enabled_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a6a3eb3eb9362ee6567e9ee6a6bc7ccf9',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['set_5frepr_5fbytes_4027',['set_repr_bytes',['../classtvm_1_1ReflectionVTable_1_1Registry.html#a27f62397ab10a8468c7de8350a6d1cee',1,'tvm::ReflectionVTable::Registry']]],
+  ['set_5fscope_4028',['set_scope',['../classtvm_1_1te_1_1Stage.html#a0dc42f190125f0cf63e8d749ae66af7c',1,'tvm::te::Stage']]],
+  ['set_5fstore_5fpredicate_4029',['set_store_predicate',['../classtvm_1_1te_1_1Stage.html#a0056636228aed23f71eecc0810731436',1,'tvm::te::Stage']]],
+  ['set_5fsupport_5flevel_4030',['set_support_level',['../classtvm_1_1OpRegEntry.html#ab4f7e0f99c8acf2153e15f7cbb6c3c97',1,'tvm::OpRegEntry']]],
+  ['set_5ftarget_5fparser_4031',['set_target_parser',['../classtvm_1_1TargetKindRegEntry.html#a21152c83f61180dcb6293226a98025a8',1,'tvm::TargetKindRegEntry']]],
+  ['set_5fupper_5fbound_4032',['set_upper_bound',['../structtvm_1_1detail_1_1AttrNopEntry.html#add2843b725ee43be26672a8d2d641cce',1,'tvm::detail::AttrNopEntry::set_upper_bound()'],['../structtvm_1_1detail_1_1AttrInitEntry.html#a69e876dfc10eed9573c3043ea5ef2013',1,'tvm::detail::AttrInitEntry::set_upper_bound()'],['../classtvm_1_1detail_1_1AttrDocEntry.html#aec039b071d826ab164c5abe123aefaa3',1,'tvm::detail::AttrDocEntry::set_upper_bound()'],['../structtvm_1_1detail_1_1AttrTriggerNonDefault [...]
+  ['setargs_4033',['SetArgs',['../structTVMPackedFunc.html#a455396ff85d8e8cff95e39ae15ad5cc6',1,'TVMPackedFunc']]],
+  ['setaxisseparator_4034',['SetAxisSeparator',['../classtvm_1_1tir_1_1ScheduleNode.html#a025b5eef0c2516fc1f72eed9ced88807',1,'tvm::tir::ScheduleNode']]],
+  ['setcommonprefix_4035',['SetCommonPrefix',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#adb1e2b064e6c76df9fa2cc8656a73311',1,'tvm::script::printer::IRDocsifierNode']]],
+  ['setcomputeatiter_4036',['SetComputeAtIter',['../classtvm_1_1auto__scheduler_1_1AttachMap.html#af29900c08d7d6a108bb79eaf8325e8a1',1,'tvm::auto_scheduler::AttachMap']]],
+  ['setconflicts_4037',['SetConflicts',['../structtvm_1_1tir_1_1usmp_1_1BufferInfoNode.html#a71406cb97aa80ff7e870bd4afaf24c84',1,'tvm::tir::usmp::BufferInfoNode']]],
+  ['setdeleter_4038',['SetDeleter',['../classtvm_1_1runtime_1_1NDArray_1_1Container.html#a56109cfc826b26172f084c3790144351',1,'tvm::runtime::NDArray::Container']]],
+  ['setdevice_4039',['SetDevice',['../classtvm_1_1runtime_1_1DeviceAPI.html#a58c818adae878c1c5dca759ee59ad3e3',1,'tvm::runtime::DeviceAPI']]],
+  ['setenabledextensions_4040',['SetEnabledExtensions',['../classtvm_1_1arith_1_1RewriteSimplifier.html#a44b7c12d6a5d9adfc4ee4cee8d935e38',1,'tvm::arith::RewriteSimplifier']]],
+  ['setinput_4041',['SetInput',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a0594113c83505e3368a7f99394a14595',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['setintvalue_4042',['SetIntValue',['../namespacetvm_1_1detail.html#af7e68b984c27ffe1726578bc9cc59322',1,'tvm::detail']]],
+  ['setitem_4043',['SetItem',['../classtvm_1_1runtime_1_1ArrayNode.html#a22cafc90ebeb8d6a50ce3bc4e183a403',1,'tvm::runtime::ArrayNode']]],
+  ['setlib_4044',['SetLib',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a04183f3e1f3de5dc36bc587e27f00aa0',1,'tvm::runtime::vm::Executable']]],
+  ['setmaxconcurrency_4045',['SetMaxConcurrency',['../namespacetvm_1_1runtime_1_1threading.html#ad66f7fc304b915bebdcfc5c7004016c7',1,'tvm::runtime::threading']]],
+  ['setmaximumrewritesteps_4046',['SetMaximumRewriteSteps',['../classtvm_1_1arith_1_1RewriteSimplifier.html#a95dd28018de6b7aa1a5a7ee76f3ba178',1,'tvm::arith::RewriteSimplifier']]],
+  ['setobject_4047',['SetObject',['../classtvm_1_1runtime_1_1TVMArgsSetter.html#adfc052b0786ef1296d29e0b87f71a03e',1,'tvm::runtime::TVMArgsSetter']]],
+  ['setoneinput_4048',['SetOneInput',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#ab66c1484cfb630914dd41da36ab91db1',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['setoutputs_4049',['SetOutputs',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a2ee3a67f145ed44cabfb4d456c048445',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['setoutputtensorstoregister_4050',['SetOutputTensorsToRegister',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a95a0552177a93f4839ab431756efeaf3',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['setscope_4051',['SetScope',['../classtvm_1_1tir_1_1ScheduleNode.html#aa4760135d373af488a08aaeba7114c48',1,'tvm::tir::ScheduleNode']]],
+  ['setseqindex_4052',['SetSeqIndex',['../namespacetvm_1_1tir.html#a5f6070cccf4bb454e7a87bbb6d066c55',1,'tvm::tir']]],
+  ['setseqindexinchildren_4053',['SetSeqIndexInChildren',['../namespacetvm_1_1tir.html#a4dd0feb99db08fa0ae2ddb4f47f64dca',1,'tvm::tir']]],
+  ['setspan_4054',['SetSpan',['../classtvm_1_1TypeReporterNode.html#ade00cc26624883a064e9ed2097133cea',1,'tvm::TypeReporterNode']]],
+  ['setstream_4055',['SetStream',['../classtvm_1_1runtime_1_1DeviceAPI.html#adeff47304ec4145d12e0dd20004c7a3d',1,'tvm::runtime::DeviceAPI']]],
+  ['setvalue_4056',['SetValue',['../namespacetvm_1_1detail.html#ae91709b0cb7daf1bca69432bee0a3568',1,'tvm::detail']]],
+  ['setvalue_3c_20bool_20_3e_4057',['SetValue&lt; bool &gt;',['../namespacetvm_1_1detail.html#addd17cedbd26f5b4b257d7651ca5b6fe',1,'tvm::detail']]],
+  ['setvalue_3c_20datatype_20_3e_4058',['SetValue&lt; DataType &gt;',['../namespacetvm_1_1detail.html#a30d53b5033faeae26ad5ec6443376723',1,'tvm::detail']]],
+  ['setvalue_3c_20double_20_3e_4059',['SetValue&lt; double &gt;',['../namespacetvm_1_1detail.html#a2565d82719660de2ab39178c917d6628',1,'tvm::detail']]],
+  ['setvalue_3c_20int_20_3e_4060',['SetValue&lt; int &gt;',['../namespacetvm_1_1detail.html#a107ebbb0ef4a94f47cd25cb2213dcd96',1,'tvm::detail']]],
+  ['setvalue_3c_20int64_5ft_20_3e_4061',['SetValue&lt; int64_t &gt;',['../namespacetvm_1_1detail.html#ad20586749a52e831a52c20984a926d67',1,'tvm::detail']]],
+  ['setvalue_3c_20uint64_5ft_20_3e_4062',['SetValue&lt; uint64_t &gt;',['../namespacetvm_1_1detail.html#acb3382242cbf538f64edae13e4ec5a84',1,'tvm::detail']]],
+  ['shallowcopy_4063',['ShallowCopy',['../classtvm_1_1IRModuleNode.html#a86bbdc4b857ce5958a2b5f29e1d6fcb6',1,'tvm::IRModuleNode']]],
+  ['shallowcopyirmodule_4064',['ShallowCopyIRModule',['../classtvm_1_1IRModule.html#aea8b821cf92cf525bd87bf15f5d31889',1,'tvm::IRModule']]],
+  ['shape_4065',['Shape',['../classtvm_1_1runtime_1_1NDArray.html#ad273c7bc59b73fb026fd64fc764cbebc',1,'tvm::runtime::NDArray']]],
+  ['shape_4066',['shape',['../namespacetvm_1_1topi.html#af30c02f3a3f37c7963b3af60fb9c72a1',1,'tvm::topi']]],
+  ['shape_4067',['Shape',['../namespacetvm_1_1relay.html#a4d64348ae2b75977e167109fb4af4409',1,'tvm::relay']]],
+  ['shape_4068',['shape',['../classtvm_1_1runtime_1_1metadata_1_1TensorInfoNode.html#a5ddcd966b82c4df89084dbdf92d3108e',1,'tvm::runtime::metadata::TensorInfoNode::shape()'],['../classtvm_1_1tir_1_1BufferNode.html#a5372baafb343f5fb263f5507b079412e',1,'tvm::tir::BufferNode::shape()'],['../classtvm_1_1te_1_1TensorNode.html#a0ba732bc2def0d467854585752911351',1,'tvm::te::TensorNode::shape()'],['../classtvm_1_1te_1_1PlaceholderOpNode.html#a301fb989a618e248d69120f6c7b33c3f',1,'tvm::te::Placehol [...]
+  ['shape_5f_4069',['shape_',['../classtvm_1_1runtime_1_1NDArray_1_1ContainerBase.html#aa5597a1760c9f8c9d1fd51584b1283fb',1,'tvm::runtime::NDArray::ContainerBase']]],
+  ['shape_5fbackward_5frule_4070',['shape_backward_rule',['../classtvm_1_1tir_1_1BijectiveLayoutNode.html#a0befdd0a2371c0d12970e8ac6623b59b',1,'tvm::tir::BijectiveLayoutNode']]],
+  ['shape_5fcount_4071',['shape_count',['../structTVMGraphExecutorGraphAttr.html#a182b228582f1186f2a15de50a25b3375',1,'TVMGraphExecutorGraphAttr']]],
+  ['shape_5fforward_5frule_4072',['shape_forward_rule',['../classtvm_1_1tir_1_1BijectiveLayoutNode.html#aa566842624cdd7eaebcb019b0d0d4a7c',1,'tvm::tir::BijectiveLayoutNode']]],
+  ['shape_5fof_4073',['shape_of',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a4f852208b807032439294e197377121e',1,'tvm::runtime::vm::Instruction']]],
+  ['shape_5fregister_4074',['shape_register',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a0a650382fcb2cacf9d6de7007aecf3c5',1,'tvm::runtime::vm::Instruction']]],
+  ['shape_5ftuple_2eh_4075',['shape_tuple.h',['../shape__tuple_8h.html',1,'']]],
+  ['shapediv_4076',['shapediv',['../namespacetvm.html#a15f25703cfce73c75cb4cd33c74ea8f0',1,'tvm']]],
+  ['shapefuncattrs_4077',['ShapeFuncAttrs',['../structtvm_1_1relay_1_1ShapeFuncAttrs.html',1,'tvm::relay']]],
+  ['shapeindex_4078',['ShapeIndex',['../classtvm_1_1runtime_1_1DataType.html#a04f0e069017af3f0da47bc0c1fd80916',1,'tvm::runtime::DataType']]],
+  ['shapeof_4079',['ShapeOf',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a5f278c637580946bc06b020f5852e44a',1,'tvm::runtime::vm::Instruction::ShapeOf()'],['../namespacetvm_1_1runtime_1_1vm.html#a8d8d95ce8d629c7213f2f595917870ecaf5c9bcc39a24e338f1837a43c549a855',1,'tvm::runtime::vm::ShapeOf()']]],
+  ['shapeofattrs_4080',['ShapeOfAttrs',['../structtvm_1_1relay_1_1ShapeOfAttrs.html',1,'tvm::relay']]],
+  ['shapepattern_4081',['ShapePattern',['../classtvm_1_1relay_1_1ShapePattern.html#a853201a9d5df8de96713a58c292e8698',1,'tvm::relay::ShapePattern::ShapePattern()'],['../classtvm_1_1relay_1_1ShapePattern.html',1,'tvm::relay::ShapePattern']]],
+  ['shapepatternnode_4082',['ShapePatternNode',['../classtvm_1_1relay_1_1ShapePatternNode.html',1,'tvm::relay']]],
+  ['shapestring_4083',['ShapeString',['../namespacetvm_1_1runtime_1_1profiling.html#ad7ca3a291b9d8d5ec27e5367cd2d0edf',1,'tvm::runtime::profiling::ShapeString(NDArray shape, DLDataType dtype)'],['../namespacetvm_1_1runtime_1_1profiling.html#a0db7b8aaaf50308b175b79c8fee98f13',1,'tvm::runtime::profiling::ShapeString(const std::vector&lt; NDArray &gt; &amp;shapes)'],['../namespacetvm_1_1runtime_1_1profiling.html#ad031e70431cc716c8ff9c36aaad18197',1,'tvm::runtime::profiling::ShapeString(cons [...]
+  ['shapetuple_4084',['ShapeTuple',['../classtvm_1_1runtime_1_1ShapeTuple.html#a6836ad757cbcac207ddf025a74ac2ef2',1,'tvm::runtime::ShapeTuple::ShapeTuple(IterType begin, IterType end)'],['../classtvm_1_1runtime_1_1ShapeTuple.html#aa54548a384457ae73d758886881b6136',1,'tvm::runtime::ShapeTuple::ShapeTuple()'],['../classtvm_1_1runtime_1_1ShapeTupleObj_1_1FromStd.html#a1233caa001a4dd2bebeef49633724641',1,'tvm::runtime::ShapeTupleObj::FromStd::ShapeTuple()'],['../classtvm_1_1runtime_1_1ShapeT [...]
+  ['shapetupleobj_4085',['ShapeTupleObj',['../classtvm_1_1runtime_1_1ShapeTupleObj.html',1,'tvm::runtime']]],
+  ['shash_4086',['shash',['../classtvm_1_1meta__schedule_1_1WorkloadNode.html#a96812f1418c68d5aeb11331fd76aab1c',1,'tvm::meta_schedule::WorkloadNode']]],
+  ['shashhandlerdefault_4087',['SHashHandlerDefault',['../classtvm_1_1SHashHandlerDefault.html#a99557165284bc9ccdae707acf4bbad9c',1,'tvm::SHashHandlerDefault::SHashHandlerDefault()'],['../classtvm_1_1SHashHandlerDefault.html',1,'tvm::SHashHandlerDefault']]],
+  ['shashreduce_4088',['SHashReduce',['../classtvm_1_1relay_1_1PatternVarNode.html#a0247a0e67c79f873f8c5e38768867e27',1,'tvm::relay::PatternVarNode::SHashReduce()'],['../classtvm_1_1relay_1_1VarNode.html#a85f049a3d23ebc9d32016d8e59643444',1,'tvm::relay::VarNode::SHashReduce()'],['../classtvm_1_1relay_1_1TupleNode.html#a230f0b6982c424992a1aaf4bb130ef4b',1,'tvm::relay::TupleNode::SHashReduce()'],['../classtvm_1_1relay_1_1ConstantNode.html#a2d79295886e49572205c576a33d6ef25',1,'tvm::relay::C [...]
+  ['shashreducefreevar_4089',['SHashReduceFreeVar',['../classtvm_1_1SHashHandlerDefault.html#ae78eec7dceb80b34105b11804d833e07',1,'tvm::SHashHandlerDefault::SHashReduceFreeVar()'],['../classtvm_1_1SHashReducer_1_1Handler.html#ab2fe484814770615ef9ddb1f6a6f2dfb',1,'tvm::SHashReducer::Handler::SHashReduceFreeVar()']]],
+  ['shashreducehashedvalue_4090',['SHashReduceHashedValue',['../classtvm_1_1SHashHandlerDefault.html#ab0a21453a43353dccf16512730439a98',1,'tvm::SHashHandlerDefault::SHashReduceHashedValue()'],['../classtvm_1_1SHashReducer_1_1Handler.html#a7b84ae4df5ab177758105f382395aeb2',1,'tvm::SHashReducer::Handler::SHashReduceHashedValue()']]],
+  ['shashreducer_4091',['SHashReducer',['../classtvm_1_1SHashReducer.html#acb4d90cb74f617620d0027649adf8f17',1,'tvm::SHashReducer::SHashReducer(Handler *handler, bool map_free_vars)'],['../classtvm_1_1SHashReducer.html#af7eb7ccc3e5863fe580f1b8dceac5d88',1,'tvm::SHashReducer::SHashReducer()=default'],['../classtvm_1_1SHashReducer.html',1,'tvm::SHashReducer']]],
+  ['shift_4092',['shift',['../structtvm_1_1relay_1_1FixedPointMultiplyAttrs.html#ac5095656867b0bad8cd53d0f957a1d9d',1,'tvm::relay::FixedPointMultiplyAttrs']]],
+  ['shift_5fleft_4093',['shift_left',['../namespacetvm_1_1tir_1_1builtin.html#a26472adf05d821f1929cfbc02bc3c231',1,'tvm::tir::builtin']]],
+  ['shift_5fright_4094',['shift_right',['../namespacetvm_1_1tir_1_1builtin.html#af103ae0715d4ebcbaccd49d2b6a12afe',1,'tvm::tir::builtin']]],
+  ['shouldlinkparameters_4095',['ShouldLinkParameters',['../classtvm_1_1relay_1_1ExecutorNode.html#a8e3cabcfef4e40924bd4182c613a71f9',1,'tvm::relay::ExecutorNode']]],
+  ['shouldrun_4096',['ShouldRun',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#a2b21cac2b6f389c98b359a9a0d640de5',1,'tvm::instrument::PassInstrumentNode']]],
+  ['show_5fmeta_4097',['show_meta',['../classtvm_1_1PrinterConfigNode.html#aa11acd5d0e720eda7619ae1c7baa8c2c',1,'tvm::PrinterConfigNode']]],
+  ['show_5fobject_5faddress_4098',['show_object_address',['../classtvm_1_1PrinterConfigNode.html#a895ea453f71467ba1ff0f0febbec410f',1,'tvm::PrinterConfigNode']]],
+  ['shuffle_4099',['Shuffle',['../classtvm_1_1tir_1_1Shuffle.html#a2d37f2f8afe5357f1a6ffc4c2b147ac4',1,'tvm::tir::Shuffle::Shuffle()'],['../classtvm_1_1tir_1_1Shuffle.html',1,'tvm::tir::Shuffle']]],
+  ['shufflenode_4100',['ShuffleNode',['../classtvm_1_1tir_1_1ShuffleNode.html',1,'tvm::tir']]],
+  ['si_5fbuilder_2eh_4101',['si_builder.h',['../si__builder_8h.html',1,'']]],
+  ['sibuilder_4102',['SIBuilder',['../classtvm_1_1SIBuilder.html#a3c16b413d12243d3355a347e03fd778a',1,'tvm::SIBuilder::SIBuilder(const Span &amp;span=Span())'],['../classtvm_1_1SIBuilder.html#a3f282d145f481e9a74648ae8625bceb3',1,'tvm::SIBuilder::SIBuilder(const Array&lt; Span &gt; &amp;spans=Array&lt; Span &gt;())'],['../classtvm_1_1SIBuilder.html#acaa034873f5dfea8fc9c0f7881f91f59',1,'tvm::SIBuilder::SIBuilder(const std::initializer_list&lt; Span &gt; &amp;init)'],['../classtvm_1_1SIBuil [...]
+  ['sideeffect_4103',['SideEffect',['../namespacetvm_1_1tir.html#aeb03afda344eb4d3a5d2d3fd4e1d266d',1,'tvm::tir']]],
+  ['sigmoid_4104',['sigmoid',['../namespacetvm_1_1topi.html#aa5367be5a614c34937a676655ca53075',1,'tvm::topi::sigmoid()'],['../namespacetvm.html#aa048961a5d19e9f32071c1372809ecbd',1,'tvm::sigmoid()']]],
+  ['sign_4105',['sign',['../namespacetvm_1_1topi.html#af580cd1bea6e862f41c7fad4c4c7eea3',1,'tvm::topi']]],
+  ['signatureprinter_4106',['SignaturePrinter',['../structtvm_1_1runtime_1_1SignaturePrinter.html',1,'tvm::runtime']]],
+  ['signtype_4107',['SignType',['../namespacetvm_1_1arith.html#aca8806e355ad3dd5f1df9c1eca9aac9d',1,'tvm::arith']]],
+  ['silentmeasure_4108',['SilentMeasure',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a4beac97e57e780b2f41539403fbcaf38',1,'tvm::auto_scheduler::ProgramMeasurerNode']]],
+  ['simpleobjallocator_4109',['SimpleObjAllocator',['../classtvm_1_1runtime_1_1SimpleObjAllocator.html',1,'tvm::runtime']]],
+  ['simplified_4110',['Simplified',['../classtvm_1_1tir_1_1TraceNode.html#abc2556f52aedab72ecd38a5c0ab0b7da',1,'tvm::tir::TraceNode']]],
+  ['simplify_4111',['Simplify',['../namespacetvm_1_1tir_1_1transform.html#a817801e8c9488f712804d2d0b821acf0',1,'tvm::tir::transform::Simplify()'],['../classtvm_1_1arith_1_1Analyzer.html#a9b440f852f12ad0a4d8ed5ed97054425',1,'tvm::arith::Analyzer::Simplify()']]],
+  ['simplify_5fconst_5ftensor_5findices_4112',['simplify_const_tensor_indices',['../structtvm_1_1auto__scheduler_1_1SearchPolicyKey.html#a51408f2c5791a7bc90a32be3f8b06055',1,'tvm::auto_scheduler::SearchPolicyKey']]],
+  ['simplifyexpr_4113',['SimplifyExpr',['../namespacetvm_1_1relay_1_1transform.html#a42d4de119382b9457742433bc7109ffe',1,'tvm::relay::transform']]],
+  ['simplifyexprpostalterop_4114',['SimplifyExprPostAlterOp',['../namespacetvm_1_1relay_1_1transform.html#a5d53204853b9d77afcda3d83756a11aa',1,'tvm::relay::transform']]],
+  ['simplifyinference_4115',['SimplifyInference',['../namespacetvm_1_1relay_1_1transform.html#add13a2ad7b216ad4683141bbbb4f6943',1,'tvm::relay::transform']]],
+  ['simulatedquantizeattrs_4116',['SimulatedQuantizeAttrs',['../structtvm_1_1relay_1_1qnn_1_1SimulatedQuantizeAttrs.html',1,'tvm::relay::qnn']]],
+  ['sin_4117',['sin',['../namespacetvm_1_1topi.html#ae1d58f6cabd998f16f74cd1b1dc6f5a6',1,'tvm::topi::sin()'],['../namespacetvm.html#a532ceddde4b8c713b0b1d7e737fcf5fb',1,'tvm::sin()']]],
+  ['singlepoint_4118',['SinglePoint',['../classtvm_1_1arith_1_1IntSet.html#a58aeb0d34656b1b43ac2532e4dfa12ed',1,'tvm::arith::IntSet']]],
+  ['singleton_4119',['Singleton',['../classtvm_1_1te_1_1Singleton.html#a94450b853dcd5e9865546d8c8fe351a1',1,'tvm::te::Singleton::Singleton()'],['../classtvm_1_1te_1_1Singleton.html',1,'tvm::te::Singleton']]],
+  ['singletonnode_4120',['SingletonNode',['../classtvm_1_1te_1_1SingletonNode.html',1,'tvm::te']]],
+  ['sinh_4121',['sinh',['../namespacetvm.html#ad828bc801c73df761c58d9f8877d52ee',1,'tvm::sinh()'],['../namespacetvm_1_1topi.html#af9694f5470ba2cabc19866be3b00fe8d',1,'tvm::topi::sinh()']]],
+  ['size_4122',['Size',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#aae5b9ab9f7e497654b90c23a2159a5cc',1,'tvm::meta_schedule::DatabaseNode']]],
+  ['size_4123',['size',['../structtvm_1_1runtime_1_1vm_1_1Buffer.html#a34dcbc2fe68fe327932ee4b071505cac',1,'tvm::runtime::vm::Buffer::size()'],['../classtvm_1_1runtime_1_1ADT.html#af51613add20f67643684b1c7fdd5569a',1,'tvm::runtime::ADT::size()'],['../classtvm_1_1runtime_1_1ArrayNode.html#a3e88cee6eb31d0e495f7debd94b7573d',1,'tvm::runtime::ArrayNode::size()'],['../classtvm_1_1runtime_1_1Array.html#aed6387e67d18b9d5ad18f510fd600a25',1,'tvm::runtime::Array::size()'],['../classtvm_1_1runtime [...]
+  ['size_4124',['Size',['../classtvm_1_1TensorTypeNode.html#a1f08dac86ae8aea81d058ef64cfd38b4',1,'tvm::TensorTypeNode::Size()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a36817d04978253571fef7d01427ce9c0',1,'tvm::meta_schedule::PyDatabaseNode::Size()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#ae395a0f1c6e79e825aa7a244c74a5d7b',1,'tvm::runtime::micro_rpc::FrameBuffer::Size()']]],
+  ['size_4125',['size',['../classtvm_1_1runtime_1_1ADTObj.html#a699d898a036382a0c86fba219bcf8102',1,'tvm::runtime::ADTObj::size()'],['../structTVMByteArray.html#a86d8e8341ce407b7d9374d887143e476',1,'TVMByteArray::size()'],['../structtvm_1_1relay_1_1LRNAttrs.html#a3758ed1f8a8bcf73008ae1dd2bfa148e',1,'tvm::relay::LRNAttrs::size()'],['../structtvm_1_1relay_1_1Resize3DAttrs.html#aab61649fe8417a8a7fbc849090bac083',1,'tvm::relay::Resize3DAttrs::size()'],['../structtvm_1_1relay_1_1Resize2DAttrs [...]
+  ['size_5f_4126',['size_',['../classtvm_1_1runtime_1_1MapNode.html#a2285f106f6afa29f512a7818ad59e9e5',1,'tvm::runtime::MapNode']]],
+  ['size_5fbytes_4127',['size_bytes',['../structtvm_1_1tir_1_1usmp_1_1BufferInfoNode.html#a0a5d4bd6072c268df05b90d267b4c0a0',1,'tvm::tir::usmp::BufferInfoNode']]],
+  ['size_5fhint_5fbytes_4128',['size_hint_bytes',['../structtvm_1_1PoolInfoNode.html#ac073aeb75bf031ff8687e132bc112f92',1,'tvm::PoolInfoNode::size_hint_bytes()'],['../structtvm_1_1PoolInfoPropertiesNode.html#aed7c5573ffc8db9424e77e3a85cad120',1,'tvm::PoolInfoPropertiesNode::size_hint_bytes()']]],
+  ['sizes_4129',['sizes',['../structtvm_1_1relay_1_1MultiBoxPriorAttrs.html#ad6d089344fa741021584222ffa70a451',1,'tvm::relay::MultiBoxPriorAttrs']]],
+  ['sizevar_4130',['SizeVar',['../classtvm_1_1tir_1_1SizeVar.html#ab089bab85206d8e306cc61e879e525be',1,'tvm::tir::SizeVar::SizeVar(String name_hint, Type type_annotation, Span span=Span())'],['../classtvm_1_1tir_1_1SizeVar.html#a0f8cb8a92feb96343939d223db90f7cd',1,'tvm::tir::SizeVar::SizeVar(String name_hint=&quot;s&quot;, DataType t=DataType::Int(32), Span span=Span())'],['../classtvm_1_1tir_1_1SizeVar.html#ac470249315d9e395ad581d35dd5dcb05',1,'tvm::tir::SizeVar::SizeVar(ObjectPtr&lt; O [...]
+  ['sizevarnode_4131',['SizeVarNode',['../classtvm_1_1tir_1_1SizeVarNode.html',1,'tvm::tir']]],
+  ['skipassert_4132',['SkipAssert',['../namespacetvm_1_1tir_1_1transform.html#a6fdd5910b00af823071dcdddd21cd2d3',1,'tvm::tir::transform']]],
+  ['slice_4133',['Slice',['../classtvm_1_1te_1_1Tensor_1_1Slice.html#ab314819e8bcca6421e9a4f33e48578c3',1,'tvm::te::Tensor::Slice::Slice()'],['../classtvm_1_1te_1_1Tensor_1_1Slice.html',1,'tvm::te::Tensor::Slice']]],
+  ['slice_5fmode_4134',['slice_mode',['../structtvm_1_1relay_1_1StridedSliceAttrs.html#ab3a282ef18dc4fa3a69917bfbcddce55',1,'tvm::relay::StridedSliceAttrs']]],
+  ['slicedoc_4135',['SliceDoc',['../classtvm_1_1script_1_1printer_1_1SliceDoc.html#a155b7f646934b0baf23aabf4475f8c96',1,'tvm::script::printer::SliceDoc::SliceDoc()'],['../classtvm_1_1script_1_1printer_1_1SliceDoc.html',1,'tvm::script::printer::SliceDoc']]],
+  ['slicedocnode_4136',['SliceDocNode',['../classtvm_1_1script_1_1printer_1_1SliceDocNode.html',1,'tvm::script::printer']]],
+  ['slicelikeattrs_4137',['SliceLikeAttrs',['../structtvm_1_1relay_1_1SliceLikeAttrs.html',1,'tvm::relay']]],
+  ['sliding_5fwindow_4138',['sliding_window',['../namespacetvm_1_1topi.html#a3230e1735957c2045c89cf190e0f8c34',1,'tvm::topi']]],
+  ['slidingwindowattrs_4139',['SlidingWindowAttrs',['../structtvm_1_1relay_1_1SlidingWindowAttrs.html',1,'tvm::relay']]],
+  ['slots_5f_4140',['slots_',['../classtvm_1_1runtime_1_1MapNode.html#ab7ea406f099e235de4944fa94c43812e',1,'tvm::runtime::MapNode']]],
+  ['smallmapnode_4141',['SmallMapNode',['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#aa0828044326a83e6aa287a1cf03477ce',1,'tvm::runtime::MapNode::iterator::SmallMapNode()'],['../classtvm_1_1runtime_1_1SmallMapNode.html',1,'tvm::runtime::SmallMapNode']]],
+  ['smithnormalformdiag_4142',['SmithNormalFormDiag',['../namespacetvm_1_1arith.html#a5f821e7f25de9769c5733cfacbe132d6',1,'tvm::arith']]],
+  ['softmax_4143',['softmax',['../namespacetvm_1_1topi_1_1nn.html#aa2cb22c64412c3eacb351c12b883333b',1,'tvm::topi::nn']]],
+  ['softmax_2eh_4144',['softmax.h',['../nn_2softmax_8h.html',1,'(Global Namespace)'],['../cuda_2softmax_8h.html',1,'(Global Namespace)'],['../rocm_2softmax_8h.html',1,'(Global Namespace)']]],
+  ['softmaxattrs_4145',['SoftmaxAttrs',['../structtvm_1_1relay_1_1SoftmaxAttrs.html',1,'tvm::relay']]],
+  ['software_5fpipeline_5fasync_5fstages_4146',['software_pipeline_async_stages',['../namespacetvm_1_1tir_1_1attr.html#a7ff3ec02ce6acef9dd5c20f1aacc19bc',1,'tvm::tir::attr']]],
+  ['software_5fpipeline_5forder_4147',['software_pipeline_order',['../namespacetvm_1_1tir_1_1attr.html#a064b547bf5b0579f9b42906c6a9c581d',1,'tvm::tir::attr']]],
+  ['software_5fpipeline_5fstage_4148',['software_pipeline_stage',['../namespacetvm_1_1tir_1_1attr.html#a2e695603b89f78f4a481817dbaf7a082',1,'tvm::tir::attr']]],
+  ['solveinequalitiesdeskewrange_4149',['SolveInequalitiesDeskewRange',['../namespacetvm_1_1arith.html#ab667739c074bb7bf1e63302904c78176',1,'tvm::arith']]],
+  ['solveinequalitiestorange_4150',['SolveInequalitiesToRange',['../namespacetvm_1_1arith.html#a6277cb1deea497e60474e5bf83dfc636',1,'tvm::arith']]],
+  ['solvelinearequations_4151',['SolveLinearEquations',['../namespacetvm_1_1arith.html#ae0290f04432523ab8e5f76edde80071a',1,'tvm::arith']]],
+  ['solvelinearinequalities_4152',['SolveLinearInequalities',['../namespacetvm_1_1arith.html#ac59d63560e04431f108e81457b212fdc',1,'tvm::arith']]],
+  ['sorted_4153',['sorted',['../structtvm_1_1relay_1_1UniqueAttrs.html#aef434799646533ec9d796393ba01db44',1,'tvm::relay::UniqueAttrs']]],
+  ['source_4154',['source',['../classtvm_1_1tir_1_1ReduceNode.html#ab1b5e256aabba3307ccfeb291bb58771',1,'tvm::tir::ReduceNode']]],
+  ['source_4155',['Source',['../classtvm_1_1Source.html#a794f5989e4e89cb39b638a5cd837eaa9',1,'tvm::Source']]],
+  ['source_4156',['source',['../classtvm_1_1arith_1_1IterMarkNode.html#a8b885a675c88e5a5d142fa68bcba048a',1,'tvm::arith::IterMarkNode::source()'],['../classtvm_1_1arith_1_1IterSplitExprNode.html#a7a129dc9b432359a07c1a1e286c3c66f',1,'tvm::arith::IterSplitExprNode::source()'],['../classtvm_1_1SourceNode.html#a6a31f8a2bf412edf7a529c5931185529',1,'tvm::SourceNode::source()'],['../structtvm_1_1tir_1_1MemCpyDetails.html#adf01e30a65e219e5303f535f793dbaf5',1,'tvm::tir::MemCpyDetails::source()'], [...]
+  ['source_4157',['Source',['../classtvm_1_1Source.html',1,'tvm']]],
+  ['source_5fmap_4158',['source_map',['../classtvm_1_1SourceMapNode.html#abd44bcd7252397ade5584c0387845342',1,'tvm::SourceMapNode::source_map()'],['../classtvm_1_1IRModuleNode.html#abc7bd8d734f093ecb6abe8969d6dbcba',1,'tvm::IRModuleNode::source_map()']]],
+  ['source_5fmap_2eh_4159',['source_map.h',['../source__map_8h.html',1,'']]],
+  ['source_5fname_4160',['source_name',['../classtvm_1_1SourceNode.html#ad4002d5ddb822b7340fd16c460a1ec6f',1,'tvm::SourceNode::source_name()'],['../classtvm_1_1SpanNode.html#ad573167f93facbfbee19983b08bbba3d',1,'tvm::SpanNode::source_name()'],['../classtvm_1_1DiagnosticBuilder.html#a92d320e1ede24fe5ff47862365002691',1,'tvm::DiagnosticBuilder::source_name()']]],
+  ['source_5fpaths_4161',['source_paths',['../classtvm_1_1script_1_1printer_1_1DocNode.html#a29e21c8f39639d1d30697971267847a8',1,'tvm::script::printer::DocNode']]],
+  ['sourcemap_4162',['SourceMap',['../classtvm_1_1SourceMap.html#a9f10049893326844c3f01daad7c121e9',1,'tvm::SourceMap::SourceMap()'],['../classtvm_1_1SourceMap.html#ad4517cedaea581d34c28cb9903205eeb',1,'tvm::SourceMap::SourceMap(std::initializer_list&lt; std::pair&lt; SourceName, Source &gt;&gt; source_map)'],['../classtvm_1_1SourceMap.html#aba19719f4f2d32431ff1dfb80f5a0dfc',1,'tvm::SourceMap::SourceMap(Map&lt; SourceName, Source &gt; source_map)'],['../classtvm_1_1SourceMap.html',1,'tvm [...]
+  ['sourcemapnode_4163',['SourceMapNode',['../classtvm_1_1SourceMapNode.html',1,'tvm']]],
+  ['sourcename_4164',['SourceName',['../namespacetvm_1_1relay.html#a14eacafcb803b0757e48654bb1bac655',1,'tvm::relay::SourceName()'],['../classtvm_1_1SourceName.html',1,'tvm::SourceName']]],
+  ['sourcenamenode_4165',['SourceNameNode',['../classtvm_1_1SourceNameNode.html',1,'tvm']]],
+  ['sourcenode_4166',['SourceNode',['../classtvm_1_1SourceNode.html',1,'tvm']]],
+  ['space_5fgenerator_4167',['space_generator',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#a7bdfdd48530bfe380c5f6c143158a07f',1,'tvm::meta_schedule::TuneContextNode']]],
+  ['space_5fgenerator_2eh_4168',['space_generator.h',['../space__generator_8h.html',1,'']]],
+  ['space_5fto_5fbatch_5fnd_4169',['space_to_batch_nd',['../namespacetvm_1_1topi.html#a8bca55122fbfcf38079f4d4bc22ce572',1,'tvm::topi']]],
+  ['spacegenerator_4170',['SpaceGenerator',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a4f11a841acdf7a66893eeefc1feb04ae',1,'tvm::meta_schedule::SpaceGenerator::SpaceGenerator()'],['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html',1,'tvm::meta_schedule::SpaceGenerator']]],
+  ['spacegeneratornode_4171',['SpaceGeneratorNode',['../classtvm_1_1meta__schedule_1_1SpaceGeneratorNode.html',1,'tvm::meta_schedule']]],
+  ['spacegeneratorunion_4172',['SpaceGeneratorUnion',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a44828204c6ae3b7f390b9a9c3fdb9aa7',1,'tvm::meta_schedule::SpaceGenerator']]],
+  ['spacetobatchndattrs_4173',['SpaceToBatchNDAttrs',['../structtvm_1_1relay_1_1SpaceToBatchNDAttrs.html',1,'tvm::relay']]],
+  ['span_4174',['span',['../classtvm_1_1AffineTypeNode.html#aa45c91e3c8ebcff609d10f6a921f3fa2',1,'tvm::AffineTypeNode']]],
+  ['span_4175',['Span',['../namespacetvm_1_1relay.html#af40ca6124bc2e88f2323eeb79d326cc0',1,'tvm::relay::Span()'],['../classtvm_1_1support_1_1Span.html#a3c22dd06856e7029e7107adf38eb72f5',1,'tvm::support::Span::Span()']]],
+  ['span_4176',['span',['../classtvm_1_1DiagnosticBuilder.html#a52d9cc3cb33e655c5d82af47daa74c66',1,'tvm::DiagnosticBuilder::span()'],['../classtvm_1_1DiagnosticNode.html#af5469f228f87711ad8bd3f4f78f3bb54',1,'tvm::DiagnosticNode::span()'],['../classtvm_1_1BaseExprNode.html#ae3a0760a9f8b1379bc86f13e8bb3a22e',1,'tvm::BaseExprNode::span()'],['../classtvm_1_1RangeNode.html#aee167e954efc66eec2b2fecfcfc7e3d5',1,'tvm::RangeNode::span()'],['../classtvm_1_1TypeNode.html#a7b06d502a5162d51bb205691b [...]
+  ['span_4177',['Span',['../classtvm_1_1Span.html#a5216631b639e8c802263d87d3fe9e5f6',1,'tvm::Span::Span()'],['../classtvm_1_1support_1_1Span.html#a77653730a2542edf93b7c4413a72f3ec',1,'tvm::support::Span::Span()'],['../classtvm_1_1Span.html',1,'tvm::Span'],['../classtvm_1_1support_1_1Span.html',1,'tvm::support::Span&lt; T, W &gt;']]],
+  ['span_2eh_4178',['span.h',['../span_8h.html',1,'']]],
+  ['spannode_4179',['SpanNode',['../namespacetvm_1_1relay.html#a7d0fa6578e97d0d64b08865f94f04827',1,'tvm::relay::SpanNode()'],['../classtvm_1_1SpanNode.html',1,'tvm::SpanNode']]],
+  ['spans_4180',['spans',['../classtvm_1_1SequentialSpanNode.html#a888a0972d2373cef6ad941ff9d8acc1c',1,'tvm::SequentialSpanNode']]],
+  ['sparse_5flhs_4181',['sparse_lhs',['../structtvm_1_1relay_1_1SparseDenseAttrs.html#ae52d5465cb3421f342607abcc1cb1d5c',1,'tvm::relay::SparseDenseAttrs']]],
+  ['sparse_5fto_5fdense_4182',['sparse_to_dense',['../namespacetvm_1_1topi.html#a877e6fdffb6b6c051c29602ec6fe995c',1,'tvm::topi']]],
+  ['sparseconv2dattrs_4183',['SparseConv2DAttrs',['../structtvm_1_1relay_1_1SparseConv2DAttrs.html',1,'tvm::relay']]],
+  ['sparsedenseattrs_4184',['SparseDenseAttrs',['../structtvm_1_1relay_1_1SparseDenseAttrs.html',1,'tvm::relay']]],
+  ['sparsetodenseattrs_4185',['SparseToDenseAttrs',['../structtvm_1_1relay_1_1SparseToDenseAttrs.html',1,'tvm::relay']]],
+  ['sparsetransposeattrs_4186',['SparseTransposeAttrs',['../structtvm_1_1relay_1_1SparseTransposeAttrs.html',1,'tvm::relay']]],
+  ['spatial_4187',['Spatial',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html#ac6de6454b9002f401977546aa9385301',1,'tvm::script::ir_builder::tir::axis']]],
+  ['spatial_5faxis_5f_4188',['spatial_axis_',['../classtvm_1_1te_1_1ScanOpNode.html#ab52af0e689bd723c0a9c853c78a8bc78',1,'tvm::te::ScanOpNode']]],
+  ['spatial_5fscale_4189',['spatial_scale',['../structtvm_1_1relay_1_1ROIPoolAttrs.html#ae51a330f9c855c0370bfb9c48ef6a977',1,'tvm::relay::ROIPoolAttrs::spatial_scale()'],['../structtvm_1_1relay_1_1ROIAlignAttrs.html#a11d752e35f8fdefad7d6243e2b746e19',1,'tvm::relay::ROIAlignAttrs::spatial_scale()']]],
+  ['specializations_4190',['specializations',['../classtvm_1_1relay_1_1OpStrategyNode.html#a32d7610bdfd32c485b5f579daac2aeff',1,'tvm::relay::OpStrategyNode']]],
+  ['specialize_4191',['Specialize',['../namespacetvm_1_1tir.html#a69b6f1b0014dc6e7dd390cff746e9782',1,'tvm::tir']]],
+  ['specializedcondition_4192',['SpecializedCondition',['../classtvm_1_1te_1_1SpecializedCondition.html#a48d119ee1c6033929a5592cfc2592e60',1,'tvm::te::SpecializedCondition::SpecializedCondition()'],['../classtvm_1_1te_1_1SpecializedCondition.html',1,'tvm::te::SpecializedCondition']]],
+  ['specializedconditionnode_4193',['SpecializedConditionNode',['../classtvm_1_1te_1_1SpecializedConditionNode.html',1,'tvm::te']]],
+  ['split_4194',['split',['../namespacetvm_1_1topi.html#af4e59b01a5842baf6b47ad3f83731f53',1,'tvm::topi']]],
+  ['split_4195',['Split',['../classtvm_1_1tir_1_1ScheduleNode.html#ac190a0ab76d8754a35209479bcc6dfa2',1,'tvm::tir::ScheduleNode']]],
+  ['split_4196',['split',['../classtvm_1_1auto__scheduler_1_1State.html#a5815f21fc90ba7cc379c2410c05ab54c',1,'tvm::auto_scheduler::State::split()'],['../classtvm_1_1te_1_1Stage.html#a5a7cd562be59b68a187ad97085a3425d',1,'tvm::te::Stage::split()']]],
+  ['split_4197',['Split',['../classtvm_1_1te_1_1Split.html#a328e0c093ce5b41ebaf33e0e80592764',1,'tvm::te::Split::Split()'],['../classtvm_1_1tir_1_1Layout.html#ad7657af7789fe040d3224c0149976bb4',1,'tvm::tir::Layout::Split()'],['../classtvm_1_1te_1_1Split.html',1,'tvm::te::Split']]],
+  ['split_5fby_5fnparts_4198',['split_by_nparts',['../classtvm_1_1te_1_1Stage.html#a51432f38d9ec4792a2525023179ae604',1,'tvm::te::Stage']]],
+  ['split_5fsections_4199',['split_sections',['../namespacetvm_1_1topi.html#acc643e2ed166fa2ed82a95853e145619',1,'tvm::topi']]],
+  ['splitargs_4200',['SplitArgs',['../namespacetvm_1_1relay_1_1transform.html#af8503ba932c35107c023daf993d6e74c',1,'tvm::relay::transform']]],
+  ['splitattrs_4201',['SplitAttrs',['../structtvm_1_1relay_1_1SplitAttrs.html',1,'tvm::relay']]],
+  ['splithostdevice_4202',['SplitHostDevice',['../namespacetvm_1_1tir_1_1transform.html#a4ec5dffb2a177bfd7548be4d974cba71',1,'tvm::tir::transform']]],
+  ['splitnode_4203',['SplitNode',['../classtvm_1_1te_1_1SplitNode.html',1,'tvm::te']]],
+  ['splitstep_4204',['SplitStep',['../classtvm_1_1auto__scheduler_1_1SplitStep.html#a64ed86582a56a2645b3e4eb44ecb31af',1,'tvm::auto_scheduler::SplitStep::SplitStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1SplitStep.html#a184575a8029d77f7a3bee23d81141df5',1,'tvm::auto_scheduler::SplitStep::SplitStep(int stage_id, int iter_id, Optional&lt; PrimExpr &gt; extent, const Array&lt; Optional&lt; Integer &gt;&gt; &amp;lengths, bool inner_to_outer)'],['../classtvm_1_1auto__s [...]
+  ['splitstepnode_4205',['SplitStepNode',['../classtvm_1_1auto__scheduler_1_1SplitStepNode.html',1,'tvm::auto_scheduler']]],
+  ['sqrt_4206',['sqrt',['../namespacetvm_1_1topi.html#a7e7506fced8d921ca7e1a10ae27b1b5b',1,'tvm::topi::sqrt()'],['../namespacetvm.html#abf978d3e6abd0e3754b853dc4fa9869e',1,'tvm::sqrt()']]],
+  ['squeeze_4207',['squeeze',['../namespacetvm_1_1topi.html#a845e38c0f34017d45ec318935b6ddf17',1,'tvm::topi']]],
+  ['squeezeattrs_4208',['SqueezeAttrs',['../structtvm_1_1relay_1_1SqueezeAttrs.html',1,'tvm::relay']]],
+  ['src_4209',['src',['../classtvm_1_1tir_1_1DependencyNode.html#ab334c31b5f17026cd64c0a76db6dd7a4',1,'tvm::tir::DependencyNode::src()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a700062eb45026152661233661a1f24bb',1,'tvm::runtime::vm::Instruction::src()'],['../classtvm_1_1arith_1_1IntConstraintsTransformNode.html#a8ce159fc6db748e5092fa937de3fde53',1,'tvm::arith::IntConstraintsTransformNode::src()']]],
+  ['src2deps_4210',['src2deps',['../classtvm_1_1tir_1_1BlockScopeNode.html#ab53bbd3de33008374182579b2a5923e4',1,'tvm::tir::BlockScopeNode']]],
+  ['src_5fdevice_5findex_4211',['src_device_index',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a65ae693f4e01bc8b4d747a81f055a53f',1,'tvm::runtime::vm::Instruction']]],
+  ['src_5flayout_4212',['src_layout',['../structtvm_1_1relay_1_1LayoutTransformAttrs.html#ad0f658bf9e6ac538840fc71b1d312f3b',1,'tvm::relay::LayoutTransformAttrs::src_layout()'],['../structtvm_1_1relay_1_1AutoSchedulerLayoutTransformAttrs.html#a6c2ed7bdf83375c58a687b7698f4cc05',1,'tvm::relay::AutoSchedulerLayoutTransformAttrs::src_layout()'],['../classtvm_1_1tir_1_1BijectiveLayoutNode.html#a265fb704868822f0cdd00146353020d0',1,'tvm::tir::BijectiveLayoutNode::src_layout()']]],
+  ['src_5fstep_5fid_4213',['src_step_id',['../classtvm_1_1auto__scheduler_1_1FollowSplitStepNode.html#a01554b8dc5b2a76b0a0a1d968ee6017f',1,'tvm::auto_scheduler::FollowSplitStepNode']]],
+  ['src_5fstep_5fids_4214',['src_step_ids',['../classtvm_1_1auto__scheduler_1_1FollowFusedSplitStepNode.html#af0788931d02c86d4b2be1befd00b92d3',1,'tvm::auto_scheduler::FollowFusedSplitStepNode']]],
+  ['src_5fto_5fdst_4215',['src_to_dst',['../classtvm_1_1arith_1_1IntConstraintsTransformNode.html#a05ddc831df1d376f256ec97af966e6be',1,'tvm::arith::IntConstraintsTransformNode']]],
+  ['src_5fvirtual_5fdevice_4216',['src_virtual_device',['../structtvm_1_1relay_1_1DeviceCopyAttrs.html#aac5b2c76325a587bbefaa5af87b4138f',1,'tvm::relay::DeviceCopyAttrs']]],
+  ['sref2scope_4217',['sref2scope',['../classtvm_1_1tir_1_1BlockDependenceInfoNode.html#ac83f06f7c59be79c0ce58a31a4a7b05d',1,'tvm::tir::BlockDependenceInfoNode']]],
+  ['sreftreecreator_4218',['SRefTreeCreator',['../classtvm_1_1tir_1_1SRefTreeCreator.html',1,'tvm::tir']]],
+  ['stablehashbytes_4219',['StableHashBytes',['../classtvm_1_1runtime_1_1String.html#a88aa19338db83ae838183e7478f09e60',1,'tvm::runtime::String']]],
+  ['stack_4220',['stack',['../namespacetvm_1_1topi.html#acb438962b08475a05e086907bf8eb26a',1,'tvm::topi']]],
+  ['stack_5fallocator_2eh_4221',['stack_allocator.h',['../stack__allocator_8h.html',1,'']]],
+  ['stack_5fallocator_5ftag_4222',['STACK_ALLOCATOR_TAG',['../stack__allocator_8h.html#a34806abbacd8db7a84e90c09eec6867b',1,'stack_allocator.h']]],
+  ['stack_5fallocator_5ftag_5fsize_5fbytes_4223',['STACK_ALLOCATOR_TAG_SIZE_BYTES',['../stack__allocator_8h.html#a2578295f2612c628325474d350a4cc7f',1,'stack_allocator.h']]],
+  ['stackattrs_4224',['StackAttrs',['../structtvm_1_1relay_1_1StackAttrs.html',1,'tvm::relay']]],
+  ['stackmemorymanager_5fallocate_4225',['StackMemoryManager_Allocate',['../stack__allocator_8h.html#a781f68648d93b376892ab84bfdd997e5',1,'stack_allocator.h']]],
+  ['stackmemorymanager_5fallocate_5fbody_4226',['StackMemoryManager_Allocate_Body',['../stack__allocator_8h.html#a87b2500d1e1075b0e4297ab6b0b7448b',1,'stack_allocator.h']]],
+  ['stackmemorymanager_5ffree_4227',['StackMemoryManager_Free',['../stack__allocator_8h.html#a1e5d35061f8f72d784a5792086f611db',1,'stack_allocator.h']]],
+  ['stackmemorymanager_5ffree_5fbody_4228',['StackMemoryManager_Free_Body',['../stack__allocator_8h.html#ac30e6df6e876d5d65a7e86e4dd0e0e95',1,'stack_allocator.h']]],
+  ['stackmemorymanager_5finit_4229',['StackMemoryManager_Init',['../stack__allocator_8h.html#a3fd459f5167dacf85850c929d1b38882',1,'stack_allocator.h']]],
+  ['stage_4230',['Stage',['../classtvm_1_1te_1_1Stage.html#aa6ace38b6312e42aaf9389c8749ae0a4',1,'tvm::te::Stage::Stage()'],['../classtvm_1_1auto__scheduler_1_1Stage.html#af0643fe8c1298451c9a322f915c48843',1,'tvm::auto_scheduler::Stage::Stage()'],['../classtvm_1_1te_1_1Stage.html#afec82602b9321c489b88632a005335f8',1,'tvm::te::Stage::Stage()'],['../classtvm_1_1te_1_1Stage.html#a510049e03f2152d5934cd3bd75033bab',1,'tvm::te::Stage::Stage(Operation op, const ScheduleNode *sch)'],['../classtvm [...]
+  ['stage_5fid_4231',['stage_id',['../classtvm_1_1auto__scheduler_1_1StepNode.html#afcc7aaf263348f66139307affbfcee09',1,'tvm::auto_scheduler::StepNode']]],
+  ['stage_5fmap_4232',['stage_map',['../classtvm_1_1te_1_1ScheduleNode.html#a612223aec2751cbd035a18c9e5453085',1,'tvm::te::ScheduleNode']]],
+  ['stage_5fpipeline_4233',['stage_pipeline',['../structtvm_1_1tir_1_1BlockInfo.html#a63e54dda904b3ec4f2b5f1a052fbd5a2',1,'tvm::tir::BlockInfo']]],
+  ['stage_5fto_5fattach_5fiter_4234',['stage_to_attach_iter',['../classtvm_1_1auto__scheduler_1_1AttachMapNode.html#ac5780da6b91313c1a5b1fc5379d043f8',1,'tvm::auto_scheduler::AttachMapNode']]],
+  ['stageattributes_4235',['StageAttributes',['../structtvm_1_1auto__scheduler_1_1StageAttributes.html',1,'tvm::auto_scheduler']]],
+  ['stagekey_4236',['StageKey',['../namespacetvm_1_1auto__scheduler.html#afc2e977e1bb9b11fc3b78758d997eb60',1,'tvm::auto_scheduler']]],
+  ['stagekind_4237',['StageKind',['../namespacetvm_1_1auto__scheduler.html#af6533a065c0157391331e89a0e95f35a',1,'tvm::auto_scheduler']]],
+  ['stagenode_4238',['StageNode',['../classtvm_1_1auto__scheduler_1_1StageNode.html',1,'tvm::auto_scheduler::StageNode'],['../classtvm_1_1te_1_1StageNode.html',1,'tvm::te::StageNode']]],
+  ['stages_4239',['stages',['../classtvm_1_1auto__scheduler_1_1StateNode.html#a881e14990bf228ee3fddb3721c451b9e',1,'tvm::auto_scheduler::StateNode::stages()'],['../classtvm_1_1te_1_1ScheduleNode.html#ab5649969db603d6b7b4d155c0d09cdd5',1,'tvm::te::ScheduleNode::stages()']]],
+  ['stagetoaxesmap_4240',['StageToAxesMap',['../namespacetvm_1_1auto__scheduler.html#a8f12e558fc4b8fbb990e7e204c06beeb',1,'tvm::auto_scheduler']]],
+  ['start_4241',['Start',['../classtvm_1_1runtime_1_1Timer.html#a89bcaa433499bc68902cb473d5eba6ca',1,'tvm::runtime::Timer']]],
+  ['start_4242',['start',['../classtvm_1_1script_1_1printer_1_1SliceDocNode.html#a16de0189a979a6cf9d8f14b39cb5fb54',1,'tvm::script::printer::SliceDocNode::start()'],['../structtvm_1_1relay_1_1ArangeAttrs.html#ae8ae5bc1551b406a4f52395af343c2ce',1,'tvm::relay::ArangeAttrs::start()']]],
+  ['start_4243',['Start',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#aee5452075c8e022b8aaa6fb365f68e14',1,'tvm::runtime::profiling::Profiler::Start()'],['../classtvm_1_1runtime_1_1profiling_1_1MetricCollectorNode.html#a44fadfb7b0f961a7fb2275e3b5dbcd88',1,'tvm::runtime::profiling::MetricCollectorNode::Start()'],['../classtvm_1_1runtime_1_1TimerNode.html#aa11fc338c39ee2137448e54a10efe0ae',1,'tvm::runtime::TimerNode::Start()']]],
+  ['start_5findex_4244',['start_index',['../namespacetvm_1_1topi_1_1nn.html#a752c4130dac73fd2de0390c5f6b24b15',1,'tvm::topi::nn']]],
+  ['start_5fprofile_5fintrinsic_4245',['start_profile_intrinsic',['../namespacetvm_1_1tir_1_1builtin.html#aa63c16281d1010a82a06eb771268b4e2',1,'tvm::tir::builtin']]],
+  ['startcall_4246',['StartCall',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#a1fe322f7ba92be44d7e7c8cb184f3833',1,'tvm::runtime::profiling::Profiler']]],
+  ['startmessage_4247',['StartMessage',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#acd512b977c6dd888f90c4fd6d2b9500f',1,'tvm::runtime::micro_rpc::Session']]],
+  ['startpacket_4248',['StartPacket',['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#ade10d3bd3a26e3b7af881ae134e9a998',1,'tvm::runtime::micro_rpc::Framer']]],
+  ['startsession_4249',['StartSession',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a15d3f9ecb8b22bf2d330f6f0a16c5239',1,'tvm::runtime::micro_rpc::Session']]],
+  ['state_4250',['state',['../classtvm_1_1auto__scheduler_1_1MeasureInputNode.html#afb23aaf6133189687d2541ec6e1352f4',1,'tvm::auto_scheduler::MeasureInputNode']]],
+  ['state_4251',['State',['../classtvm_1_1auto__scheduler_1_1State.html#a9e8198b1f51b42cfbbee4b9f42160749',1,'tvm::auto_scheduler::State']]],
+  ['state_4252',['state',['../classtvm_1_1tir_1_1ScheduleNode.html#abb3612c2598fa2d3ee0e6e3fc3de8a26',1,'tvm::tir::ScheduleNode']]],
+  ['state_4253',['State',['../classtvm_1_1auto__scheduler_1_1State.html',1,'tvm::auto_scheduler']]],
+  ['state_2eh_4254',['state.h',['../state_8h.html',1,'']]],
+  ['state_5fplaceholder_4255',['state_placeholder',['../classtvm_1_1te_1_1ScanOpNode.html#a69105f6a84dd4fb912a16bfaa68aebf6',1,'tvm::te::ScanOpNode']]],
+  ['statenode_4256',['StateNode',['../classtvm_1_1auto__scheduler_1_1StateNode.html',1,'tvm::auto_scheduler']]],
+  ['stats_4257',['Stats',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a5445bd71aa14ec97552fa099dc3bd787',1,'tvm::runtime::vm::Executable']]],
+  ['stats_5fsec_4258',['stats_sec',['../classtvm_1_1meta__schedule_1_1ProfilerNode.html#a9834a7a0c59d77c24d29918b93f7220a',1,'tvm::meta_schedule::ProfilerNode']]],
+  ['step_4259',['step',['../classtvm_1_1script_1_1printer_1_1SliceDocNode.html#a71eafec33566f09b9ce23e4daf4910fc',1,'tvm::script::printer::SliceDocNode::step()'],['../structtvm_1_1relay_1_1ArangeAttrs.html#aabe51ead537f676d53ffedf91b16ae66',1,'tvm::relay::ArangeAttrs::step()']]],
+  ['step_4260',['Step',['../classtvm_1_1auto__scheduler_1_1Step.html',1,'tvm::auto_scheduler']]],
+  ['stepapplytoschedule_4261',['StepApplyToSchedule',['../namespacetvm_1_1auto__scheduler.html#ac58f7548a94b92f801b2b9a6f65bd785',1,'tvm::auto_scheduler']]],
+  ['stepapplytostate_4262',['StepApplyToState',['../namespacetvm_1_1auto__scheduler.html#a6909bc5a99d1cc8372201e9392717832',1,'tvm::auto_scheduler']]],
+  ['stepnode_4263',['StepNode',['../classtvm_1_1auto__scheduler_1_1StepNode.html',1,'tvm::auto_scheduler']]],
+  ['stepprintaspythonapi_4264',['StepPrintAsPythonAPI',['../namespacetvm_1_1auto__scheduler.html#a3f759d9d2fcc4759578a84e06a509a07',1,'tvm::auto_scheduler']]],
+  ['stepreadfromrecord_4265',['StepReadFromRecord',['../namespacetvm_1_1auto__scheduler.html#aab09151bf58d2cb261e1254f22261741',1,'tvm::auto_scheduler']]],
+  ['steps_4266',['steps',['../structtvm_1_1relay_1_1MultiBoxPriorAttrs.html#a312f6999492eb61bf20593a4f30acd35',1,'tvm::relay::MultiBoxPriorAttrs']]],
+  ['stftattrs_4267',['StftAttrs',['../structtvm_1_1relay_1_1StftAttrs.html',1,'tvm::relay']]],
+  ['stmt_4268',['stmt',['../classtvm_1_1tir_1_1StmtSRefNode.html#acf4e832651882cfbc33e3d5930159791',1,'tvm::tir::StmtSRefNode']]],
+  ['stmt_4269',['Stmt',['../classtvm_1_1tir_1_1Stmt.html',1,'tvm::tir']]],
+  ['stmt_2eh_4270',['stmt.h',['../stmt_8h.html',1,'']]],
+  ['stmt2ref_4271',['stmt2ref',['../classtvm_1_1tir_1_1ScheduleStateNode.html#a32792c2d1c659c34ca079c422553ec43',1,'tvm::tir::ScheduleStateNode::stmt2ref()'],['../classtvm_1_1tir_1_1BlockDependenceInfoNode.html#a68f89dbffed319b548701b2737f20a56',1,'tvm::tir::BlockDependenceInfoNode::stmt2ref()']]],
+  ['stmt_5ffunctor_2eh_4272',['stmt_functor.h',['../stmt__functor_8h.html',1,'']]],
+  ['stmt_5ffunctor_5fdefault_4273',['STMT_FUNCTOR_DEFAULT',['../stmt__functor_8h.html#ac18c66d877e6a00a4da0d8d7d2b2b6ae',1,'stmt_functor.h']]],
+  ['stmtas_4274',['StmtAs',['../classtvm_1_1tir_1_1StmtSRefNode.html#afc61714fbac246f72d02d0729fb9ba2d',1,'tvm::tir::StmtSRefNode']]],
+  ['stmtblockdoc_4275',['StmtBlockDoc',['../classtvm_1_1script_1_1printer_1_1StmtBlockDoc.html#a2b2125bd8f0c4cb614aaa80505d470c6',1,'tvm::script::printer::StmtBlockDoc::StmtBlockDoc()'],['../classtvm_1_1script_1_1printer_1_1StmtBlockDoc.html',1,'tvm::script::printer::StmtBlockDoc']]],
+  ['stmtblockdocnode_4276',['StmtBlockDocNode',['../classtvm_1_1script_1_1printer_1_1StmtBlockDocNode.html',1,'tvm::script::printer']]],
+  ['stmtdoc_4277',['StmtDoc',['../classtvm_1_1script_1_1printer_1_1StmtDoc.html#adec8d59e41d8a4093fb310089bf2c3ba',1,'tvm::script::printer::StmtDoc::StmtDoc()'],['../classtvm_1_1script_1_1printer_1_1StmtDoc.html',1,'tvm::script::printer::StmtDoc']]],
+  ['stmtdocnode_4278',['StmtDocNode',['../classtvm_1_1script_1_1printer_1_1StmtDocNode.html',1,'tvm::script::printer']]],
+  ['stmtexprmutator_4279',['StmtExprMutator',['../classtvm_1_1tir_1_1StmtExprMutator.html',1,'tvm::tir']]],
+  ['stmtexprvisitor_4280',['StmtExprVisitor',['../classtvm_1_1tir_1_1StmtExprVisitor.html',1,'tvm::tir']]],
+  ['stmtfunctor_4281',['StmtFunctor',['../classtvm_1_1tir_1_1StmtFunctor.html',1,'tvm::tir']]],
+  ['stmtfunctor_3c_20r_28const_20stmt_20_26n_2c_20args_2e_2e_2e_20args_29_3e_4282',['StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;',['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html',1,'tvm::tir']]],
+  ['stmtfunctor_3c_20stmt_28const_20stmt_20_26_29_3e_4283',['StmtFunctor&lt; Stmt(const Stmt &amp;)&gt;',['../classtvm_1_1tir_1_1StmtFunctor.html',1,'tvm::tir']]],
+  ['stmtfunctor_3c_20void_28const_20stmt_20_26_29_3e_4284',['StmtFunctor&lt; void(const Stmt &amp;)&gt;',['../classtvm_1_1tir_1_1StmtFunctor.html',1,'tvm::tir']]],
+  ['stmtmutator_4285',['StmtMutator',['../classtvm_1_1tir_1_1StmtMutator.html',1,'tvm::tir']]],
+  ['stmtnode_4286',['StmtNode',['../classtvm_1_1tir_1_1StmtNode.html#a67693c4e97ae49890ea74605fe1b1f74',1,'tvm::tir::StmtNode::StmtNode(Span span)'],['../classtvm_1_1tir_1_1StmtNode.html#a79e21b14d3ab57209577bf4a8f694a87',1,'tvm::tir::StmtNode::StmtNode()=default'],['../classtvm_1_1tir_1_1StmtNode.html',1,'tvm::tir::StmtNode']]],
+  ['stmts_4287',['stmts',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrameNode.html#a13776bb5c2e5403138fbee06d4fdad40',1,'tvm::script::ir_builder::tir::TIRFrameNode::stmts()'],['../classtvm_1_1script_1_1printer_1_1StmtBlockDocNode.html#a17862bcb50fd1ef49cd9a47f065e612c',1,'tvm::script::printer::StmtBlockDocNode::stmts()'],['../classtvm_1_1script_1_1printer_1_1FrameNode.html#aada7a9ba8d07d7bda2886502de908e15',1,'tvm::script::printer::FrameNode::stmts()']]],
+  ['stmtsref_4288',['StmtSRef',['../classtvm_1_1tir_1_1StmtSRef.html#a31687ace5dc4fe487ffb87d658d86412',1,'tvm::tir::StmtSRef::StmtSRef()'],['../classtvm_1_1tir_1_1StmtSRef.html',1,'tvm::tir::StmtSRef']]],
+  ['stmtsrefnode_4289',['StmtSRefNode',['../classtvm_1_1tir_1_1StmtSRefNode.html',1,'tvm::tir']]],
+  ['stmtvisitor_4290',['StmtVisitor',['../classtvm_1_1tir_1_1StmtVisitor.html',1,'tvm::tir']]],
+  ['stop_4291',['Stop',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#aa2000d8cd1970b5d29139ab1831394f0',1,'tvm::runtime::profiling::Profiler::Stop()'],['../classtvm_1_1runtime_1_1TimerNode.html#a67eb764f2c9e3fb7c2708f01c0c35683',1,'tvm::runtime::TimerNode::Stop()'],['../classtvm_1_1runtime_1_1profiling_1_1MetricCollectorNode.html#aca9679dd49dfbc886b9dc99539cbf0e6',1,'tvm::runtime::profiling::MetricCollectorNode::Stop()']]],
+  ['stop_4292',['stop',['../structtvm_1_1relay_1_1ArangeAttrs.html#a1eadf1f3964ca83dade8edeae7d6d7cf',1,'tvm::relay::ArangeAttrs::stop()'],['../classtvm_1_1script_1_1printer_1_1SliceDocNode.html#aaeb98937e7617cb76fb9662616b89e81',1,'tvm::script::printer::SliceDocNode::stop()']]],
+  ['stopcall_4293',['StopCall',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#ad5e6a8e8c9d915c80f494138eedfec3f',1,'tvm::runtime::profiling::Profiler']]],
+  ['storage_4294',['Storage',['../classtvm_1_1runtime_1_1vm_1_1Storage.html#aff0c1264864e6205cfa468f069f62f55',1,'tvm::runtime::vm::Storage']]],
+  ['storage_4295',['storage',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a3412cabd3b4f42f106f56fc22257f6ca',1,'tvm::runtime::vm::Instruction']]],
+  ['storage_4296',['Storage',['../classtvm_1_1runtime_1_1vm_1_1Storage.html',1,'tvm::runtime::vm']]],
+  ['storage_5falign_4297',['storage_align',['../classtvm_1_1te_1_1Stage.html#aa73e3a269d84c3b4f0a1994371d67bab',1,'tvm::te::Stage::storage_align()'],['../classtvm_1_1auto__scheduler_1_1State.html#ab006690418e43cc9b7ad021c02657ed6',1,'tvm::auto_scheduler::State::storage_align()']]],
+  ['storage_5falignment_4298',['storage_alignment',['../namespacetvm_1_1tir_1_1attr.html#af27d464f2065dc5f77408df7b94d4bb6',1,'tvm::tir::attr']]],
+  ['storage_5fid_4299',['storage_id',['../structTVMGraphExecutorGraphAttr.html#a8a0d6d05adcffbf499aafb6a6700c400',1,'TVMGraphExecutorGraphAttr']]],
+  ['storage_5fnum_5fnot_5falloctaed_4300',['storage_num_not_alloctaed',['../structTVMGraphExecutorGraphAttr.html#ae1937d45b634bf58303a3ab48622256e',1,'TVMGraphExecutorGraphAttr']]],
+  ['storage_5foffset_4301',['storage_offset',['../structtvm_1_1auto__scheduler_1_1StageAttributes.html#a9adf0225217392dc39d5cfcf67ead607',1,'tvm::auto_scheduler::StageAttributes']]],
+  ['storage_5fscope_4302',['storage_scope',['../classtvm_1_1PointerTypeNode.html#aef547d49d4f3cb208b6248745f56750a',1,'tvm::PointerTypeNode::storage_scope()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1RealizeFrameNode.html#aa810ba44c76ee91982ff64996ffa0933',1,'tvm::script::ir_builder::tir::RealizeFrameNode::storage_scope()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateFrameNode.html#ac2fae69fd4b4923c2997d0e48e6820bd',1,'tvm::script::ir_builder::tir::AllocateFrameNode: [...]
+  ['storagealign_4303',['StorageAlign',['../classtvm_1_1tir_1_1ScheduleNode.html#a93d1d23f24d903db844f75f51fe09a36',1,'tvm::tir::ScheduleNode']]],
+  ['storagealignstep_4304',['StorageAlignStep',['../classtvm_1_1auto__scheduler_1_1StorageAlignStep.html#a99dbb8c55d9e7d78268b6d43fd348bc7',1,'tvm::auto_scheduler::StorageAlignStep::StorageAlignStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1StorageAlignStep.html#af50b7c2f020f8e0a80f5bcc8e559b394',1,'tvm::auto_scheduler::StorageAlignStep::StorageAlignStep(int stage_id, int iter_id, int factor, int offset)'],['../classtvm_1_1auto__scheduler_1_1StorageAlignStep.html',1 [...]
+  ['storagealignstepnode_4305',['StorageAlignStepNode',['../classtvm_1_1auto__scheduler_1_1StorageAlignStepNode.html',1,'tvm::auto_scheduler']]],
+  ['storageflatten_4306',['StorageFlatten',['../namespacetvm_1_1tir_1_1transform.html#a778d3e1efecdff97e7bcf0e6a5406e61',1,'tvm::tir::transform']]],
+  ['storageobj_4307',['StorageObj',['../classtvm_1_1runtime_1_1vm_1_1StorageObj.html',1,'tvm::runtime::vm']]],
+  ['storagerewrite_4308',['StorageRewrite',['../namespacetvm_1_1tir_1_1transform.html#abe87b271e2c20e0ad901697f33c01d2c',1,'tvm::tir::transform']]],
+  ['storagetype_4309',['StorageType',['../classtvm_1_1runtime_1_1SimpleObjAllocator_1_1Handler.html#ada6a65394767c674dbbfb5937ef51bd6',1,'tvm::runtime::SimpleObjAllocator::Handler::StorageType()'],['../classtvm_1_1runtime_1_1SimpleObjAllocator_1_1ArrayHandler.html#a67e86db3290b1d3bd4aca7e7a2faf187',1,'tvm::runtime::SimpleObjAllocator::ArrayHandler::StorageType()']]],
+  ['store_5fpredicate_4310',['store_predicate',['../classtvm_1_1te_1_1StageNode.html#a8f4ba7f2931b3541c12734af511600a7',1,'tvm::te::StageNode']]],
+  ['str_4311',['Str',['../classtvm_1_1script_1_1printer_1_1LiteralDoc.html#a8423a0ede5a29b24f6db5d9f6574a200',1,'tvm::script::printer::LiteralDoc']]],
+  ['str_4312',['str',['../classtvm_1_1TargetNode.html#a30cd67db46a9c4b098a8ba38fff22e26',1,'tvm::TargetNode']]],
+  ['stream_4313',['stream',['../classtvm_1_1ReprPrinter.html#a036409dcdcf6f0ac5c6d7d27ec60ed94',1,'tvm::ReprPrinter']]],
+  ['stream_4314',['Stream',['../classtvm_1_1ReprLegacyPrinter.html#a8234b759c989ddac7fb24253d2e1e83d',1,'tvm::ReprLegacyPrinter']]],
+  ['streamsync_4315',['StreamSync',['../classtvm_1_1runtime_1_1DeviceAPI.html#ac29b9295c432a87658392872c644864f',1,'tvm::runtime::DeviceAPI']]],
+  ['stride_4316',['stride',['../structtvm_1_1relay_1_1YoloReorgAttrs.html#a720c79759a2f2b603b006b0bfcab5fe0',1,'tvm::relay::YoloReorgAttrs::stride()'],['../classtvm_1_1tir_1_1RampNode.html#a618c78e39d18f999dbdd3fe01436f447',1,'tvm::tir::RampNode::stride()']]],
+  ['stride1_4317',['stride1',['../structtvm_1_1relay_1_1CorrelationAttrs.html#aab5bf45d1aa0972bc1ce5cdb715546ff',1,'tvm::relay::CorrelationAttrs']]],
+  ['stride2_4318',['stride2',['../structtvm_1_1relay_1_1CorrelationAttrs.html#aa13aede7455a449fc194781420e40af7',1,'tvm::relay::CorrelationAttrs']]],
+  ['strided_5fslice_4319',['strided_slice',['../namespacetvm_1_1topi.html#a208e90d4a8db8cf2c7d77b4460f7df70',1,'tvm::topi']]],
+  ['strided_5fslice_2eh_4320',['strided_slice.h',['../strided__slice_8h.html',1,'']]],
+  ['strided_5fslice_5fwith_5faxes_4321',['strided_slice_with_axes',['../namespacetvm_1_1topi.html#acd9415b24624edac4edec4802d275062',1,'tvm::topi']]],
+  ['stridedsliceattrs_4322',['StridedSliceAttrs',['../structtvm_1_1relay_1_1StridedSliceAttrs.html',1,'tvm::relay']]],
+  ['stridedsliceoutputshape_4323',['StridedSliceOutputShape',['../namespacetvm_1_1topi.html#aff75b2e533b654909ca1bd9485ef4e6b',1,'tvm::topi']]],
+  ['strides_4324',['strides',['../structtvm_1_1relay_1_1StridedSliceAttrs.html#ab8c4942d4242425714b21488bae72fec',1,'tvm::relay::StridedSliceAttrs::strides()'],['../structtvm_1_1relay_1_1DeformableConv2DAttrs.html#a20463675cf34274bc2d8ccb75f1e2014',1,'tvm::relay::DeformableConv2DAttrs::strides()'],['../structtvm_1_1relay_1_1SlidingWindowAttrs.html#a74e2768c0ff2825a95c17c2a9a034254',1,'tvm::relay::SlidingWindowAttrs::strides()'],['../classtvm_1_1tir_1_1BufferNode.html#ac18ddd10b79a30ae57d [...]
+  ['string_4325',['String',['../classtvm_1_1runtime_1_1String.html#a02fca36e3ff55cc1e83635b02a11fca3',1,'tvm::runtime::String::String(std::string other)'],['../classtvm_1_1runtime_1_1String.html#ac5d930b522e9fef9c07e51819d96d2f3',1,'tvm::runtime::String::String()'],['../classtvm_1_1runtime_1_1StringObj.html#a7fb804f7dc96dd9f705c84095f37f1ca',1,'tvm::runtime::StringObj::String()'],['../classtvm_1_1runtime_1_1StringObj_1_1FromStd.html#a7fb804f7dc96dd9f705c84095f37f1ca',1,'tvm::runtime::Str [...]
+  ['string_2eh_4326',['string.h',['../string_8h.html',1,'']]],
+  ['string2dldatatype_4327',['String2DLDataType',['../crt_2packed__func_8h.html#a1b379b0d66cecf7035d7d9efa4d87e5e',1,'String2DLDataType():&#160;packed_func.h'],['../namespacetvm_1_1runtime.html#a277f104e659f71cd8885744700016341',1,'tvm::runtime::String2DLDataType()']]],
+  ['string_5fstream_4328',['string_stream',['../classtvm_1_1relay_1_1DFPatternPrinter.html#a3c25498c925131b2bb3c7b77319a0056',1,'tvm::relay::DFPatternPrinter']]],
+  ['stringimm_4329',['StringImm',['../classtvm_1_1tir_1_1StringImm.html#a0f2830290e055f677c5d5dea98aab726',1,'tvm::tir::StringImm::StringImm()'],['../classtvm_1_1tir_1_1StringImm.html',1,'tvm::tir::StringImm']]],
+  ['stringimmnode_4330',['StringImmNode',['../classtvm_1_1tir_1_1StringImmNode.html',1,'tvm::tir']]],
+  ['stringobj_4331',['StringObj',['../classtvm_1_1runtime_1_1StringObj.html',1,'tvm::runtime']]],
+  ['structural_5fequal_2eh_4332',['structural_equal.h',['../structural__equal_8h.html',1,'']]],
+  ['structural_5fhash_2eh_4333',['structural_hash.h',['../structural__hash_8h.html',1,'']]],
+  ['structuralequal_4334',['StructuralEqual',['../classtvm_1_1StructuralEqual.html',1,'tvm']]],
+  ['structuralhash_4335',['StructuralHash',['../classtvm_1_1StructuralHash.html',1,'tvm']]],
+  ['sub_4336',['Sub',['../classtvm_1_1tir_1_1Sub.html#a1f9d1defacfb72b432e80f1211f4be9c',1,'tvm::tir::Sub']]],
+  ['sub_4337',['sub',['../namespacetvm.html#a9cbbc9769fffddd99dfb4ab3f69dafb3',1,'tvm::sub(int a, const PrimExpr &amp;b, Span span=Span())'],['../namespacetvm.html#a269b014ef11118b07bae6efb0300ba6f',1,'tvm::sub(float a, const PrimExpr &amp;b, Span span=Span())'],['../namespacetvm.html#a7470d45dafa0a91b6c62b25cdd61514e',1,'tvm::sub(const PrimExpr &amp;a, int b, Span span=Span())'],['../namespacetvm.html#a9d05fc8e6a57888c5fd908152bf6d84a',1,'tvm::sub(const PrimExpr &amp;a, double b, Span s [...]
+  ['sub_4338',['Sub',['../classtvm_1_1tir_1_1Sub.html',1,'tvm::tir']]],
+  ['sub_5fdiag_5fright_5falign_4339',['sub_diag_right_align',['../structtvm_1_1relay_1_1MatrixSetDiagAttrs.html#a1acfc2cf9788e7bef4798d059bd72aee',1,'tvm::relay::MatrixSetDiagAttrs']]],
+  ['sublayout_4340',['SubLayout',['../classtvm_1_1tir_1_1Layout.html#a6342d62a4cfd5bb2313c2589c91c6d49',1,'tvm::tir::Layout']]],
+  ['subnode_4341',['SubNode',['../classtvm_1_1tir_1_1SubNode.html',1,'tvm::tir']]],
+  ['subpixelattrs_4342',['SubPixelAttrs',['../structtvm_1_1relay_1_1SubPixelAttrs.html',1,'tvm::relay']]],
+  ['subscript_4343',['Subscript',['../structtvm_1_1topi_1_1EinsumEquation.html#a4a0b81e8b69b43af5868a1617cfe30d3',1,'tvm::topi::EinsumEquation']]],
+  ['subspacedivide_4344',['SubspaceDivide',['../namespacetvm_1_1arith.html#a07096c818b466b42171bc93c1784cdf8',1,'tvm::arith']]],
+  ['substitute_4345',['Substitute',['../namespacetvm_1_1tir.html#a71cc8d64e0d78149a19413953dec6230',1,'tvm::tir::Substitute(PrimExpr expr, std::function&lt; Optional&lt; PrimExpr &gt;(const Var &amp;var)&gt; vmap)'],['../namespacetvm_1_1tir.html#a9e1301a585fe9a09feb38a15b3300d8b',1,'tvm::tir::Substitute(Obj &amp;&amp;obj, const std::unordered_map&lt; IterVar, Expr &gt; &amp;iter_vmap)'],['../classtvm_1_1arith_1_1IntGroupBounds.html#a25fd6d19fec4a47d38913d95c9229e1f',1,'tvm::arith::IntGro [...]
+  ['substituteboundvars_4346',['SubstituteBoundVars',['../namespacetvm_1_1relay.html#aa65d5cde84db61b456ce982b5328fae2',1,'tvm::relay']]],
+  ['substitutewithdatatypelegalization_4347',['SubstituteWithDataTypeLegalization',['../namespacetvm_1_1tir.html#a7bf580da4d2e7867856ce00d3ff1805d',1,'tvm::tir::SubstituteWithDataTypeLegalization(Stmt stmt, std::function&lt; Optional&lt; PrimExpr &gt;(const Var &amp;)&gt; vmap)'],['../namespacetvm_1_1tir.html#ae06122cce8e8888b5ed3568e7a4368bc',1,'tvm::tir::SubstituteWithDataTypeLegalization(PrimExpr expr, std::function&lt; Optional&lt; PrimExpr &gt;(const Var &amp;)&gt; vmap)']]],
+  ['subtract_4348',['subtract',['../namespacetvm_1_1topi.html#a3030be37f9db43ef90a5b2cc0997acd6',1,'tvm::topi::subtract(const tvm::PrimExpr &amp;a, const tvm::PrimExpr &amp;b)'],['../namespacetvm_1_1topi.html#a461a374321614b257311660b8bc45d0d',1,'tvm::topi::subtract(const tvm::te::Tensor &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;subtract&quot;, std::string tag=kBroadcast)'],['../namespacetvm_1_1topi.html#a1447c5af8653fa4fcbe69ee287a0a8fa',1,'tvm::topi::s [...]
+  ['sum_4349',['sum',['../namespacetvm.html#afdad0c0329bd39949ba8d296cfb85d76',1,'tvm::sum()'],['../namespacetvm_1_1topi.html#abee7c35e8c15e2e61afe35852dfcb252',1,'tvm::topi::sum()']]],
+  ['super_5fdiag_5fright_5falign_4350',['super_diag_right_align',['../structtvm_1_1relay_1_1MatrixSetDiagAttrs.html#adb7c44439db1d842a6c804547df02a31',1,'tvm::relay::MatrixSetDiagAttrs']]],
+  ['support_5flevel_4351',['support_level',['../classtvm_1_1OpNode.html#a68a34098b5a111dec5f381cc4a80cb78',1,'tvm::OpNode']]],
+  ['surjective_4352',['Surjective',['../namespacetvm_1_1arith.html#a243b60bbe2d3852099eb65454b240c63ae76680d0048f5c8d7160cac340cb53c9',1,'tvm::arith']]],
+  ['swap_4353',['swap',['../classtvm_1_1runtime_1_1ObjectPtr.html#a0306cc87b99ff5f392fdb90d6aabc70f',1,'tvm::runtime::ObjectPtr']]],
+  ['sync_5fhandle_4354',['sync_handle',['../structTVMParallelGroupEnv.html#a0633fa0370c01f1ab38948a53801d90c',1,'TVMParallelGroupEnv']]],
+  ['syncandgetelapsednanos_4355',['SyncAndGetElapsedNanos',['../classtvm_1_1runtime_1_1TimerNode.html#a0d7432265ae9053d8d50507b1ffb55f0',1,'tvm::runtime::TimerNode']]],
+  ['syncstreamfromto_4356',['SyncStreamFromTo',['../classtvm_1_1runtime_1_1DeviceAPI.html#a3e455879adb262d3d66945cbe4a5a118',1,'tvm::runtime::DeviceAPI']]],
+  ['syntax_5fsugar_4357',['syntax_sugar',['../classtvm_1_1PrinterConfigNode.html#a518824def075339074d4451a79e3dd9b',1,'tvm::PrinterConfigNode']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_15.js b/docs/reference/api/doxygen/search/all_15.js
index 0d92d3a69e..7251091069 100644
--- a/docs/reference/api/doxygen/search/all_15.js
+++ b/docs/reference/api/doxygen/search/all_15.js
@@ -1,608 +1,608 @@
 var searchData=
 [
-  ['algo_4355',['algo',['../namespacetvm_1_1tir_1_1usmp_1_1algo.html',1,'tvm::tir::usmp']]],
-  ['arith_4356',['arith',['../namespacetvm_1_1arith.html',1,'tvm']]],
-  ['attr_4357',['attr',['../namespacetvm_1_1attr.html',1,'tvm::attr'],['../namespacetvm_1_1relay_1_1attr.html',1,'tvm::relay::attr'],['../namespacetvm_1_1tir_1_1attr.html',1,'tvm::tir::attr']]],
-  ['attrregistry_4358',['AttrRegistry',['../classtvm_1_1relay_1_1ExecutorRegEntry.html#a419335016b1f81770b99d7c075b4b535',1,'tvm::relay::ExecutorRegEntry::AttrRegistry()'],['../classtvm_1_1relay_1_1RuntimeRegEntry.html#a419335016b1f81770b99d7c075b4b535',1,'tvm::relay::RuntimeRegEntry::AttrRegistry()']]],
-  ['auto_5fscheduler_4359',['auto_scheduler',['../namespacetvm_1_1auto__scheduler.html',1,'tvm']]],
-  ['axis_4360',['axis',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html',1,'tvm::script::ir_builder::tir']]],
-  ['builtin_4361',['builtin',['../namespacetvm_1_1tir_1_1builtin.html',1,'tvm::tir']]],
-  ['codegen_4362',['codegen',['../namespacetvm_1_1codegen.html',1,'tvm']]],
-  ['contrib_4363',['contrib',['../namespacetvm_1_1runtime_1_1contrib.html',1,'tvm::runtime::contrib'],['../namespacetvm_1_1topi_1_1contrib.html',1,'tvm::topi::contrib']]],
-  ['cuda_4364',['cuda',['../namespacetvm_1_1topi_1_1cuda.html',1,'tvm::topi']]],
-  ['detail_4365',['detail',['../namespacetvm_1_1detail.html',1,'tvm']]],
-  ['details_4366',['details',['../namespacetvm_1_1script_1_1ir__builder_1_1details.html',1,'tvm::script::ir_builder']]],
-  ['generic_4367',['generic',['../namespacetvm_1_1topi_1_1generic.html',1,'tvm::topi']]],
-  ['instrument_4368',['instrument',['../namespacetvm_1_1instrument.html',1,'tvm']]],
-  ['ir_4369',['ir',['../namespacetvm_1_1script_1_1ir__builder_1_1ir.html',1,'tvm::script::ir_builder']]],
-  ['ir_5fbuilder_4370',['ir_builder',['../namespacetvm_1_1script_1_1ir__builder.html',1,'tvm::script']]],
-  ['legalize_4371',['legalize',['../namespacetvm_1_1relay_1_1legalize.html',1,'tvm::relay']]],
-  ['meta_5fschedule_4372',['meta_schedule',['../namespacetvm_1_1meta__schedule.html',1,'tvm']]],
-  ['metadata_4373',['metadata',['../namespacetvm_1_1runtime_1_1metadata.html',1,'tvm::runtime']]],
-  ['micro_5frpc_4374',['micro_rpc',['../namespacetvm_1_1runtime_1_1micro__rpc.html',1,'tvm::runtime']]],
-  ['nn_4375',['nn',['../namespacetvm_1_1topi_1_1nn.html',1,'tvm::topi']]],
-  ['objectequal_4376',['ObjectEqual',['../classtvm_1_1runtime_1_1String.html#ac0e10a4b0b377bd0783ee2b8feba4d2f',1,'tvm::runtime::String']]],
-  ['printer_4377',['printer',['../namespacetvm_1_1script_1_1printer.html',1,'tvm::script']]],
-  ['profiling_4378',['profiling',['../namespacetvm_1_1runtime_1_1profiling.html',1,'tvm::runtime']]],
-  ['qnn_4379',['qnn',['../namespacetvm_1_1relay_1_1qnn.html',1,'tvm::relay']]],
-  ['relay_4380',['relay',['../namespacetvm_1_1relay.html',1,'tvm']]],
-  ['rocm_4381',['rocm',['../namespacetvm_1_1topi_1_1rocm.html',1,'tvm::topi']]],
-  ['runtime_4382',['runtime',['../namespacetvm_1_1runtime.html',1,'tvm']]],
-  ['script_4383',['script',['../namespacetvm_1_1script.html',1,'tvm']]],
-  ['support_4384',['support',['../namespacetvm_1_1support.html',1,'tvm']]],
-  ['symbol_4385',['symbol',['../namespacetvm_1_1runtime_1_1symbol.html',1,'tvm::runtime']]],
-  ['table_4386',['Table',['../classtvm_1_1meta__schedule_1_1ProfilerNode.html#a7a1eb9c0d74739a7a4a124beebf7efcb',1,'tvm::meta_schedule::ProfilerNode']]],
-  ['tag_4387',['tag',['../classtvm_1_1TargetNode.html#a3046260cd16b7b134fa99705b41d2aee',1,'tvm::TargetNode::tag()'],['../classtvm_1_1runtime_1_1ADT.html#ae27124341aa924bfd3e8e0606fb16f1c',1,'tvm::runtime::ADT::tag()'],['../classtvm_1_1ConstructorNode.html#a396a76459b3a46eefdfcd952c69c5ee4',1,'tvm::ConstructorNode::tag()'],['../structtvm_1_1relay_1_1ConstructorValueObj.html#a4367c76ceee867b91ddd790931a1a362',1,'tvm::relay::ConstructorValueObj::tag()'],['../classtvm_1_1runtime_1_1ADTObj.h [...]
-  ['tag_2eh_4388',['tag.h',['../tag_8h.html',1,'']]],
-  ['tags_2eh_4389',['tags.h',['../tags_8h.html',1,'']]],
-  ['take_4390',['take',['../namespacetvm_1_1topi.html#aa1468cc7d8f47a44800fa38d6377ae67',1,'tvm::topi::take(const Tensor &amp;a, const Tensor &amp;indices, int batch_dims, std::string mode=&quot;clip&quot;, std::string name=&quot;T_take&quot;, std::string tag=kInjective)'],['../namespacetvm_1_1topi.html#a6d0f94f4b1cdb78ea65969d820a3903f',1,'tvm::topi::take(const Tensor &amp;a, const Tensor &amp;indices, int batch_dims, int axis, std::string mode=&quot;clip&quot;, std::string name=&quot;T [...]
-  ['takeattrs_4391',['TakeAttrs',['../structtvm_1_1relay_1_1TakeAttrs.html',1,'tvm::relay']]],
-  ['tan_4392',['tan',['../namespacetvm.html#af99838098788d40c80b402f29b3c2e8c',1,'tvm::tan()'],['../namespacetvm_1_1topi.html#a13b757fe52775f43a58d91c0a1330f97',1,'tvm::topi::tan(const Tensor &amp;x, std::string name=&quot;T_&quot; &quot;tan&quot;, std::string tag=kElementWise)']]],
-  ['tanh_4393',['tanh',['../namespacetvm_1_1topi.html#aec153e599d33c78a7592007cde1c02cb',1,'tvm::topi::tanh()'],['../namespacetvm.html#a12c5457301d8a2c03a2ba1163edd7cee',1,'tvm::tanh()']]],
-  ['target_4394',['target',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#acf4407e0c8dced81b05b34ec0426c933',1,'tvm::auto_scheduler::SearchTaskNode::target()'],['../classtvm_1_1meta__schedule_1_1BuilderInputNode.html#afc001f3e427cfc8c05236b615cfd2868',1,'tvm::meta_schedule::BuilderInputNode::target()'],['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html#a45a380cfa2edfd63056fb1a00f9aac35',1,'tvm::meta_schedule::TuningRecordNode::target()'],['../classtvm_1_1meta__schedule_1_1 [...]
-  ['target_4395',['Target',['../classtvm_1_1Target.html#a58a5a1e042e265fe5a6973045226fe1a',1,'tvm::Target::Target(std::nullptr_t)'],['../classtvm_1_1Target.html#a77f3d7cc97d8cfd7172af58b4e784d89',1,'tvm::Target::Target(const String &amp;tag_or_config_or_target_str)'],['../classtvm_1_1Target.html#ab825b350cf478bf948d807b6fdf636a0',1,'tvm::Target::Target(const Map&lt; String, ObjectRef &gt; &amp;config)'],['../classtvm_1_1Target.html#a1abb29217d8e394f0b88e28178c70bb0',1,'tvm::Target::Targe [...]
-  ['target_2eh_4396',['target.h',['../target_8h.html',1,'']]],
-  ['target_5fburst_5fbytes_4397',['target_burst_bytes',['../structtvm_1_1PoolInfoPropertiesNode.html#aa1efe29e920f5b003894a2ae3304da17',1,'tvm::PoolInfoPropertiesNode::target_burst_bytes()'],['../structtvm_1_1PoolInfoNode.html#a747c03e3eafc83b053637b735244c6d7',1,'tvm::PoolInfoNode::target_burst_bytes()']]],
-  ['target_5fdata_5ftype_5f_4398',['target_data_type_',['../classtvm_1_1tir_1_1IndexDataTypeNormalizer.html#ac3433736903957d9062b6ebd62cc3a81',1,'tvm::tir::IndexDataTypeNormalizer']]],
-  ['target_5fhost_4399',['target_host',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#afe27bf8cb82dc8a1b6fffb9e5a3e6c20',1,'tvm::auto_scheduler::SearchTaskNode']]],
-  ['target_5finfo_2eh_4400',['target_info.h',['../target__info_8h.html',1,'']]],
-  ['target_5fiter_5fid_4401',['target_iter_id',['../classtvm_1_1auto__scheduler_1_1ComputeAtStepNode.html#a5691967a42b989a54cf8c40c1627988e',1,'tvm::auto_scheduler::ComputeAtStepNode']]],
-  ['target_5fkind_2eh_4402',['target_kind.h',['../target__kind_8h.html',1,'']]],
-  ['target_5fparser_4403',['target_parser',['../classtvm_1_1TargetKindNode.html#a713525ca63d41aacadec9db01d28f59f',1,'tvm::TargetKindNode']]],
-  ['target_5fshape_4404',['target_shape',['../structtvm_1_1relay_1_1AffineGridAttrs.html#a3e8a722c28015e4fa002da324fc6d5b3',1,'tvm::relay::AffineGridAttrs']]],
-  ['target_5fstage_5fid_4405',['target_stage_id',['../classtvm_1_1auto__scheduler_1_1ComputeAtStepNode.html#ad3c69aebb4b821c8e975ce0c58dc8bbb',1,'tvm::auto_scheduler::ComputeAtStepNode']]],
-  ['targetfeatures_4406',['TargetFeatures',['../namespacetvm.html#ace51b98f2d1eed6026fff207a3065749',1,'tvm']]],
-  ['targetinternal_4407',['TargetInternal',['../classtvm_1_1TargetKind.html#a7924ccb2fdea6074cca1978c062fb034',1,'tvm::TargetKind::TargetInternal()'],['../classtvm_1_1TargetKindNode.html#a7924ccb2fdea6074cca1978c062fb034',1,'tvm::TargetKindNode::TargetInternal()'],['../classtvm_1_1Target.html#a7924ccb2fdea6074cca1978c062fb034',1,'tvm::Target::TargetInternal()'],['../classtvm_1_1TargetNode.html#a7924ccb2fdea6074cca1978c062fb034',1,'tvm::TargetNode::TargetInternal()']]],
-  ['targetjson_4408',['TargetJSON',['../namespacetvm.html#ad27a76489f3ede07b5d3f0dd3f97d93c',1,'tvm']]],
-  ['targetkind_4409',['TargetKind',['../classtvm_1_1TargetKind.html#ae811f35863758fb8d1340b14df5cd5fb',1,'tvm::TargetKind::TargetKind()'],['../classtvm_1_1TargetKindRegEntry.html#a75150485a300a03a22d9edad8619cc25',1,'tvm::TargetKindRegEntry::TargetKind()'],['../classtvm_1_1TargetKind.html',1,'tvm::TargetKind']]],
-  ['targetkindattrmap_4410',['TargetKindAttrMap',['../classtvm_1_1TargetKindAttrMap.html#abfd817be636e60822a33429ba30056bd',1,'tvm::TargetKindAttrMap::TargetKindAttrMap()'],['../classtvm_1_1TargetKindAttrMap.html',1,'tvm::TargetKindAttrMap&lt; ValueType &gt;']]],
-  ['targetkindnode_4411',['TargetKindNode',['../classtvm_1_1TargetKindNode.html',1,'tvm']]],
-  ['targetkindregentry_4412',['TargetKindRegEntry',['../classtvm_1_1TargetKindNode.html#a737fff60e8ac6c7549b2f44097fffb48',1,'tvm::TargetKindNode::TargetKindRegEntry()'],['../classtvm_1_1TargetKind.html#a737fff60e8ac6c7549b2f44097fffb48',1,'tvm::TargetKind::TargetKindRegEntry()'],['../classtvm_1_1TargetKindRegEntry.html',1,'tvm::TargetKindRegEntry']]],
-  ['targetnode_4413',['TargetNode',['../classtvm_1_1TargetNode.html',1,'tvm']]],
-  ['targets_4414',['targets',['../structtvm_1_1PoolInfoNode.html#a45f1c1873709d0f38d2e9098b7e979cb',1,'tvm::PoolInfoNode']]],
-  ['targettag_4415',['TargetTag',['../classtvm_1_1TargetTagRegEntry.html#a3674b5e98ceed5b98827394a3bf5d452',1,'tvm::TargetTagRegEntry::TargetTag()'],['../classtvm_1_1TargetTag.html',1,'tvm::TargetTag']]],
-  ['targettagnode_4416',['TargetTagNode',['../classtvm_1_1TargetTagNode.html',1,'tvm']]],
-  ['targettagregentry_4417',['TargetTagRegEntry',['../classtvm_1_1TargetTag.html#a512632a5c1441a191697b6d42860e757',1,'tvm::TargetTag::TargetTagRegEntry()'],['../classtvm_1_1TargetTagNode.html#a512632a5c1441a191697b6d42860e757',1,'tvm::TargetTagNode::TargetTagRegEntry()'],['../classtvm_1_1TargetTagRegEntry.html',1,'tvm::TargetTagRegEntry']]],
-  ['task_4418',['task',['../classtvm_1_1auto__scheduler_1_1MeasureInputNode.html#a317754c1f83425e8e8e7ee5fa2746da4',1,'tvm::auto_scheduler::MeasureInputNode']]],
-  ['task_5finput_5fnames_4419',['task_input_names',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#a910e7713ef04a1e5e125ed195968202e',1,'tvm::auto_scheduler::SearchTaskNode']]],
-  ['task_5fname_4420',['task_name',['../classtvm_1_1meta__schedule_1_1ExtractedTaskNode.html#a92f0cdb010a27536d1c96a8a3f0d0237',1,'tvm::meta_schedule::ExtractedTaskNode::task_name()'],['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#a5cd36a027a0a4b1840bf3884948c6298',1,'tvm::meta_schedule::TuneContextNode::task_name()']]],
-  ['task_5fscheduler_2eh_4421',['task_scheduler.h',['../task__scheduler_8h.html',1,'']]],
-  ['task_5fweight_4422',['task_weight',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html#a9f02491bea4ee36a64fdddee48c03891',1,'tvm::meta_schedule::TaskRecordNode']]],
-  ['taskrecord_4423',['TaskRecord',['../classtvm_1_1meta__schedule_1_1TaskRecord.html#a4acf50c4e2fcad8746243cfd7125d4c5',1,'tvm::meta_schedule::TaskRecord::TaskRecord()'],['../classtvm_1_1meta__schedule_1_1TaskRecord.html',1,'tvm::meta_schedule::TaskRecord']]],
-  ['taskrecordnode_4424',['TaskRecordNode',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html',1,'tvm::meta_schedule']]],
-  ['tasks_5f_4425',['tasks_',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#a6fd355e770730f154d98d07d6555dc6f',1,'tvm::meta_schedule::TaskSchedulerNode']]],
-  ['taskscheduler_4426',['TaskScheduler',['../classtvm_1_1meta__schedule_1_1TaskScheduler.html',1,'tvm::meta_schedule']]],
-  ['taskschedulernode_4427',['TaskSchedulerNode',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html',1,'tvm::meta_schedule']]],
-  ['tcalleffectkind_4428',['TCallEffectKind',['../namespacetvm_1_1tir.html#a651e82a046f157a7d286b0985b3edb84',1,'tvm::tir']]],
-  ['tcodes_4429',['tcodes',['../structTVMArgs.html#a029da77fa4c55b57f3953291072c7bda',1,'TVMArgs']]],
-  ['te_4430',['te',['../namespacetvm_1_1te.html',1,'tvm']]],
-  ['tempexpr_4431',['TempExpr',['../classtvm_1_1relay_1_1TempExpr.html',1,'tvm::relay']]],
-  ['tempexprnode_4432',['TempExprNode',['../classtvm_1_1relay_1_1TempExprNode.html',1,'tvm::relay']]],
-  ['tensor_4433',['tensor',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a22de469ea5521ba12e14f1e8181bae56',1,'tvm::runtime::vm::Instruction']]],
-  ['tensor_4434',['Tensor',['../classtvm_1_1te_1_1Tensor.html#afc8d8e74d1c840359661b39514d6fecf',1,'tvm::te::Tensor::Tensor()'],['../classtvm_1_1te_1_1Tensor.html',1,'tvm::te::Tensor']]],
-  ['tensor_2eh_4435',['tensor.h',['../tensor_8h.html',1,'']]],
-  ['tensor_5fintrin_4436',['tensor_intrin',['../classtvm_1_1te_1_1IterVarAttrNode.html#a6a0d96bbebfd716f851b2ad01738cb3f',1,'tvm::te::IterVarAttrNode']]],
-  ['tensor_5fintrin_2eh_4437',['tensor_intrin.h',['../tensor__intrin_8h.html',1,'']]],
-  ['tensor_5ftype_4438',['tensor_type',['../classtvm_1_1relay_1_1ConstantNode.html#aba3a8fe009d2b14308d6f9e2768e4e71',1,'tvm::relay::ConstantNode']]],
-  ['tensor_5ftype_2eh_4439',['tensor_type.h',['../tensor__type_8h.html',1,'']]],
-  ['tensor_5futils_2eh_4440',['tensor_utils.h',['../tensor__utils_8h.html',1,'']]],
-  ['tensoraffinetype_4441',['TensorAffineType',['../classtvm_1_1TensorAffineType.html#a4928323cbc7280eada697209f444a982',1,'tvm::TensorAffineType::TensorAffineType()'],['../classtvm_1_1TensorAffineType.html',1,'tvm::TensorAffineType']]],
-  ['tensoraffinetypenode_4442',['TensorAffineTypeNode',['../classtvm_1_1TensorAffineTypeNode.html',1,'tvm']]],
-  ['tensorcomputeop_4443',['TensorComputeOp',['../classtvm_1_1te_1_1TensorComputeOp.html#ab75792cce8f5dfd9de03534475f317c0',1,'tvm::te::TensorComputeOp::TensorComputeOp()'],['../classtvm_1_1te_1_1TensorComputeOp.html',1,'tvm::te::TensorComputeOp']]],
-  ['tensorcomputeopnode_4444',['TensorComputeOpNode',['../classtvm_1_1te_1_1TensorComputeOpNode.html#a6448f522f6326aaed2d4137376c9fc78',1,'tvm::te::TensorComputeOpNode::TensorComputeOpNode()'],['../classtvm_1_1te_1_1TensorComputeOpNode.html',1,'tvm::te::TensorComputeOpNode']]],
-  ['tensordom_4445',['TensorDom',['../structtvm_1_1te_1_1TensorDom.html#a1c1c057115d9dbeec11ff717bf18430b',1,'tvm::te::TensorDom::TensorDom()'],['../structtvm_1_1te_1_1TensorDom.html',1,'tvm::te::TensorDom']]],
-  ['tensordot_4446',['tensordot',['../namespacetvm_1_1topi.html#ac1a0190228326bbe8b98622c9696285e',1,'tvm::topi::tensordot(const Tensor &amp;A, const tvm::te::Tensor &amp;B, Array&lt; PrimExpr &gt; A_axes, Array&lt; PrimExpr &gt; B_axes, std::string name=&quot;T_tensordot&quot;, std::string tag=kMatMul)'],['../namespacetvm_1_1topi.html#abf2712c8265393c0582c9c7d5ae22da1',1,'tvm::topi::tensordot(const Tensor &amp;A, const tvm::te::Tensor &amp;B, int axes=2, std::string name=&quot;T_tensord [...]
-  ['tensorinfo_4447',['TensorInfo',['../classtvm_1_1meta__schedule_1_1TensorInfo.html#a4e465d00677302c2b6727f9caa248ca3',1,'tvm::meta_schedule::TensorInfo::TensorInfo()'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfo.html#a5150502c6ab08c7878538188939958d1',1,'tvm::runtime::metadata::TensorInfo::TensorInfo()'],['../classtvm_1_1meta__schedule_1_1TensorInfo.html',1,'tvm::meta_schedule::TensorInfo'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfo.html',1,'tvm::runtime::metadata::Tens [...]
-  ['tensorinfonode_4448',['TensorInfoNode',['../classtvm_1_1runtime_1_1metadata_1_1TensorInfoNode.html#a515ed589d62fb49976baabcaaffd106a',1,'tvm::runtime::metadata::TensorInfoNode::TensorInfoNode()'],['../classtvm_1_1meta__schedule_1_1TensorInfoNode.html',1,'tvm::meta_schedule::TensorInfoNode'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfoNode.html',1,'tvm::runtime::metadata::TensorInfoNode']]],
-  ['tensorintrin_4449',['TensorIntrin',['../classtvm_1_1tir_1_1TensorIntrin.html#af5a94c7b098b56056e02eaf187e6871c',1,'tvm::tir::TensorIntrin::TensorIntrin()'],['../classtvm_1_1te_1_1TensorIntrin.html#a4ff4237911227bf80b3076906dc3b7ea',1,'tvm::te::TensorIntrin::TensorIntrin()'],['../classtvm_1_1te_1_1TensorIntrin.html',1,'tvm::te::TensorIntrin'],['../classtvm_1_1tir_1_1TensorIntrin.html',1,'tvm::tir::TensorIntrin']]],
-  ['tensorintrincall_4450',['TensorIntrinCall',['../classtvm_1_1te_1_1TensorIntrinCall.html#a91c10074ce6babeba78fe72a0aab4b52',1,'tvm::te::TensorIntrinCall::TensorIntrinCall()'],['../classtvm_1_1te_1_1TensorIntrinCall.html',1,'tvm::te::TensorIntrinCall']]],
-  ['tensorintrincallnode_4451',['TensorIntrinCallNode',['../classtvm_1_1te_1_1TensorIntrinCallNode.html',1,'tvm::te']]],
-  ['tensorintrinnode_4452',['TensorIntrinNode',['../classtvm_1_1te_1_1TensorIntrinNode.html#ad59e7f2b881fc798a8c64fd3959f929c',1,'tvm::te::TensorIntrinNode::TensorIntrinNode()'],['../classtvm_1_1te_1_1TensorIntrinNode.html',1,'tvm::te::TensorIntrinNode'],['../classtvm_1_1tir_1_1TensorIntrinNode.html',1,'tvm::tir::TensorIntrinNode']]],
-  ['tensorize_4453',['Tensorize',['../classtvm_1_1tir_1_1ScheduleNode.html#afa67abdb51145a49d42cd1464429d928',1,'tvm::tir::ScheduleNode::Tensorize(const BlockRV &amp;block_rv, const String &amp;intrin, bool preserve_unit_iters=true)=0'],['../classtvm_1_1tir_1_1ScheduleNode.html#a66983e2dde6aeb18b443616398fff8bf',1,'tvm::tir::ScheduleNode::Tensorize(const LoopRV &amp;loop_rv, const String &amp;intrin, bool preserve_unit_iters=true)=0']]],
-  ['tensorize_4454',['tensorize',['../classtvm_1_1te_1_1Stage.html#ab5fe485e1d730c36b096c060b8d2ef9d',1,'tvm::te::Stage']]],
-  ['tensornode_4455',['TensorNode',['../classtvm_1_1te_1_1TensorNode.html#a153569448cb1bf9d2924d35639c3b8b8',1,'tvm::te::TensorNode::TensorNode()'],['../classtvm_1_1te_1_1TensorNode.html',1,'tvm::te::TensorNode']]],
-  ['tensors_4456',['tensors',['../classtvm_1_1auto__scheduler_1_1ComputeDAGNode.html#afc71b9ecc0d6b82a5c2ab3250f01514b',1,'tvm::auto_scheduler::ComputeDAGNode::tensors()'],['../classtvm_1_1te_1_1TensorIntrinCallNode.html#a92b543750ea55b9cfd6852139e2ddbd6',1,'tvm::te::TensorIntrinCallNode::tensors()']]],
-  ['tensortype_4457',['TensorType',['../namespacetvm_1_1relay.html#a52c13723bba53f4953dfd10c34d480f8',1,'tvm::relay::TensorType()'],['../classtvm_1_1TensorType.html#ade4460e9b02b42757a83808dec478b87',1,'tvm::TensorType::TensorType()'],['../classtvm_1_1TensorType.html',1,'tvm::TensorType']]],
-  ['tensortypenode_4458',['TensorTypeNode',['../namespacetvm_1_1relay.html#acfeff91bc774a278a2e9f6103a04387d',1,'tvm::relay::TensorTypeNode()'],['../classtvm_1_1TensorTypeNode.html',1,'tvm::TensorTypeNode']]],
-  ['terminalrenderer_4459',['TerminalRenderer',['../namespacetvm.html#a69a0e3f559d3a3b98d42701117d93ed0',1,'tvm']]],
-  ['terminatesession_4460',['TerminateSession',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a4816ac92f5e3a35e5c9c7bf8a8d8f35a',1,'tvm::runtime::micro_rpc::Session']]],
-  ['terminatetask_4461',['TerminateTask',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#a00bbe38e31855fd4534c08bfb4edadc7',1,'tvm::meta_schedule::TaskSchedulerNode']]],
-  ['test_4462',['test',['../classtvm_1_1script_1_1printer_1_1AssertDocNode.html#ac721eddf39251116e55dae1715f04635',1,'tvm::script::printer::AssertDocNode::test()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#adebc0e955069bdaa543df3a908351532',1,'tvm::runtime::vm::Instruction::test()']]],
-  ['texture2d_5fload_4463',['texture2d_load',['../namespacetvm_1_1tir_1_1builtin.html#ae52feb3e7828262a1b8a31062642ae36',1,'tvm::tir::builtin']]],
-  ['texture2d_5fstore_4464',['texture2d_store',['../namespacetvm_1_1tir_1_1builtin.html#a6ea87b06a1eb1050b7503ef6cc1bab34',1,'tvm::tir::builtin']]],
-  ['textureflatten_4465',['TextureFlatten',['../namespacetvm_1_1tir_1_1transform.html#ab19311e5b5ae82ad85ec3fdd7ea4556e',1,'tvm::tir::transform']]],
-  ['tglobalsymbol_4466',['TGlobalSymbol',['../namespacetvm_1_1tir.html#a0a81e48fe15eb305b96806e55e01a8c1',1,'tvm::tir']]],
-  ['thashcode_4467',['THashCode',['../classtvm_1_1meta__schedule_1_1WorkloadNode.html#aad19a5cb8e2c623e355ca5e2a98c4c18',1,'tvm::meta_schedule::WorkloadNode::THashCode()'],['../classtvm_1_1meta__schedule_1_1Workload.html#a561f6589a2577bd7fa502284d4d22445',1,'tvm::meta_schedule::Workload::THashCode()']]],
-  ['then_4468',['Then',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a289367554cd7d7de1d6c5b3496d1f453',1,'tvm::script::ir_builder::tir']]],
-  ['then_5fbranch_4469',['then_branch',['../classtvm_1_1script_1_1printer_1_1IfDocNode.html#a9b27f10e02e7a3ed7b7e549cd013f01d',1,'tvm::script::printer::IfDocNode']]],
-  ['then_5fcase_4470',['then_case',['../classtvm_1_1tir_1_1IfThenElseNode.html#ae7245cad13c62b608897f8c7ba70b6f6',1,'tvm::tir::IfThenElseNode']]],
-  ['then_5fstmts_4471',['then_stmts',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1IfFrameNode.html#a6e9c33bfd81abcb7e56caa8f30f16a45',1,'tvm::script::ir_builder::tir::IfFrameNode']]],
-  ['thenframe_4472',['ThenFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ThenFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['thenframenode_4473',['ThenFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ThenFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['thread_5faxis_4474',['thread_axis',['../namespacetvm_1_1te.html#aacca360b75a7db1eb79785bff8c6e205',1,'tvm::te']]],
-  ['thread_5fbind_2eh_4475',['thread_bind.h',['../thread__bind_8h.html',1,'']]],
-  ['thread_5fbinding_4476',['thread_binding',['../classtvm_1_1tir_1_1ForNode.html#a0defab036f2eb9d431f549b8522050c8',1,'tvm::tir::ForNode']]],
-  ['thread_5fextent_4477',['thread_extent',['../namespacetvm_1_1tir_1_1attr.html#a399eed804ecc482a607f0f65f07b78dd',1,'tvm::tir::attr']]],
-  ['thread_5ftag_4478',['thread_tag',['../classtvm_1_1tir_1_1IterVarNode.html#a2addeb80da895ace5d42423cc959f862',1,'tvm::tir::IterVarNode']]],
-  ['threadbinding_4479',['ThreadBinding',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a77dbf4a5b04d1c68ef5aae7c546a9a39',1,'tvm::script::ir_builder::tir']]],
-  ['threadgroup_4480',['ThreadGroup',['../classtvm_1_1runtime_1_1threading_1_1ThreadGroup.html#ac5d6f6fc93271e3627201be7cfcde287',1,'tvm::runtime::threading::ThreadGroup::ThreadGroup()'],['../classtvm_1_1runtime_1_1threading_1_1ThreadGroup.html',1,'tvm::runtime::threading::ThreadGroup']]],
-  ['threading_4481',['threading',['../namespacetvm_1_1runtime_1_1threading.html',1,'tvm::runtime']]],
-  ['threading_5fbackend_2eh_4482',['threading_backend.h',['../threading__backend_8h.html',1,'']]],
-  ['threadsync_4483',['ThreadSync',['../namespacetvm_1_1tir_1_1transform.html#a0010cbc20ee308819046d77ad58b62cf',1,'tvm::tir::transform']]],
-  ['threefrygenerateattrs_4484',['ThreefryGenerateAttrs',['../structtvm_1_1relay_1_1ThreefryGenerateAttrs.html',1,'tvm::relay']]],
-  ['threshold_4485',['threshold',['../structtvm_1_1relay_1_1ProposalAttrs.html#ae8473a10238c2e4f21875b7e40cbdc10',1,'tvm::relay::ProposalAttrs::threshold()'],['../structtvm_1_1relay_1_1MultiBoxTransformLocAttrs.html#aad37e7285068b8ea93b27caaad5409d2',1,'tvm::relay::MultiBoxTransformLocAttrs::threshold()']]],
-  ['tile_4486',['tile',['../namespacetvm_1_1topi.html#a46fb3ad8c3324ee094fb356ebc07245f',1,'tvm::topi::tile()'],['../classtvm_1_1te_1_1Stage.html#a7a42ba3166c506fcacf596ac13553b67',1,'tvm::te::Stage::tile()']]],
-  ['tile_5fcols_4487',['tile_cols',['../structtvm_1_1relay_1_1ConvGemmWeightTransformAttrs.html#a0f0514e508ccf44050c577f6b6959c3f',1,'tvm::relay::ConvGemmWeightTransformAttrs']]],
-  ['tile_5frows_4488',['tile_rows',['../structtvm_1_1relay_1_1ConvGemmWeightTransformAttrs.html#ae4937a44ca013ca6a91a86794ef9fb17',1,'tvm::relay::ConvGemmWeightTransformAttrs']]],
-  ['tile_5fsize_4489',['tile_size',['../structtvm_1_1relay_1_1Conv2DWinogradAttrs.html#a3d89aa2ad84ad78f147b5bf068f89f62',1,'tvm::relay::Conv2DWinogradAttrs::tile_size()'],['../structtvm_1_1relay_1_1Conv3DWinogradAttrs.html#a67f940d2505ef19f2d8b4fd4cb1fd6d7',1,'tvm::relay::Conv3DWinogradAttrs::tile_size()'],['../structtvm_1_1relay_1_1ConvWinogradWeightTransformAttrs.html#a53c9fee4031f509f6cd8bf148843690f',1,'tvm::relay::ConvWinogradWeightTransformAttrs::tile_size()']]],
-  ['tileattrs_4490',['TileAttrs',['../structtvm_1_1relay_1_1TileAttrs.html',1,'tvm::relay']]],
-  ['time_5fcost_4491',['time_cost',['../classtvm_1_1auto__scheduler_1_1BuildResultNode.html#a7ed923852fb8afd025326a2579ed8423',1,'tvm::auto_scheduler::BuildResultNode']]],
-  ['timedscope_4492',['TimedScope',['../classtvm_1_1meta__schedule_1_1Profiler.html#a1d1dec276ea195395fc88dc0a140e6e5',1,'tvm::meta_schedule::Profiler']]],
-  ['timeout_4493',['timeout',['../classtvm_1_1auto__scheduler_1_1ProgramBuilderNode.html#a4a4966dcb5eac6a1bce53cfd4dd483e6',1,'tvm::auto_scheduler::ProgramBuilderNode::timeout()'],['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html#af92f4d4bc16b73a6664cdea6e2dfde81',1,'tvm::auto_scheduler::ProgramRunnerNode::timeout()']]],
-  ['timer_4494',['timer',['../structtvm_1_1runtime_1_1profiling_1_1CallFrame.html#a2315e3b8fa996924b42df643d07d86ee',1,'tvm::runtime::profiling::CallFrame']]],
-  ['timer_4495',['Timer',['../classtvm_1_1runtime_1_1Timer.html',1,'tvm::runtime']]],
-  ['timernode_4496',['TimerNode',['../classtvm_1_1runtime_1_1TimerNode.html',1,'tvm::runtime']]],
-  ['timestamp_4497',['timestamp',['../classtvm_1_1auto__scheduler_1_1MeasureResultNode.html#a8b19513d8b082d04eba41a9f353beee0',1,'tvm::auto_scheduler::MeasureResultNode']]],
-  ['tir_4498',['tir',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html',1,'tvm::script::ir_builder::tir'],['../namespacetvm_1_1tir.html',1,'tvm::tir']]],
-  ['tir_5fprefix_4499',['tir_prefix',['../classtvm_1_1PrinterConfigNode.html#a2148a7bfe39c1cc3c645da5a00fc122c',1,'tvm::PrinterConfigNode']]],
-  ['tirframe_4500',['TIRFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrame.html#a70e34dbed98c143d552dff607368d8a6',1,'tvm::script::ir_builder::tir::TIRFrame::TIRFrame()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrame.html',1,'tvm::script::ir_builder::tir::TIRFrame']]],
-  ['tirframenode_4501',['TIRFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['tnoncomputational_4502',['TNonComputational',['../namespacetvm_1_1relay.html#ab4c835287dfc5ec074ea8431bcebbb17',1,'tvm::relay']]],
-  ['toanormalform_4503',['ToANormalForm',['../namespacetvm_1_1relay_1_1transform.html#a8cb03cf00b2ddf25f183ab837cde713c',1,'tvm::relay::transform::ToANormalForm()'],['../namespacetvm_1_1relay_1_1transform.html#a256d361d98e061197dd5d9d1d457fb19',1,'tvm::relay::transform::ToANormalForm(const Expr &amp;expr)']]],
-  ['tobasicblocknormalform_4504',['ToBasicBlockNormalForm',['../namespacetvm_1_1relay_1_1transform.html#a6185cc89297d9216551db7a3816d5180',1,'tvm::relay::transform']]],
-  ['tocps_4505',['ToCPS',['../namespacetvm_1_1relay_1_1transform.html#ad90e4d6ac08b62ef553755e759d398fa',1,'tvm::relay::transform::ToCPS()'],['../namespacetvm_1_1relay.html#ab9548d99857f7698f4fe64d056dcd46a',1,'tvm::relay::ToCPS()']]],
-  ['todebugstring_4506',['ToDebugString',['../classtvm_1_1TargetNode.html#a41181a3757227725abc614e976b264ad',1,'tvm::TargetNode']]],
-  ['todevice_4507',['ToDevice',['../classtvm_1_1VirtualDeviceNode.html#ac3324e6e3ddb8938f7e98c9312b44c64',1,'tvm::VirtualDeviceNode']]],
-  ['todlpack_4508',['ToDLPack',['../classtvm_1_1runtime_1_1NDArray.html#ab7238434803d6a171318495fe46dc977',1,'tvm::runtime::NDArray']]],
-  ['todual_4509',['ToDual',['../classtvm_1_1tir_1_1LayoutAxis.html#a54c175b562828e2ea878aaaa5411930a',1,'tvm::tir::LayoutAxis']]],
-  ['tographnormalform_4510',['ToGraphNormalForm',['../namespacetvm_1_1relay_1_1transform.html#a2cfef0e02cca22f3e0e85e0a10a82f5b',1,'tvm::relay::transform']]],
-  ['top_5fk_4511',['top_k',['../structtvm_1_1relay_1_1NonMaximumSuppressionAttrs.html#ad9e2e281399a290410757e948784b93a',1,'tvm::relay::NonMaximumSuppressionAttrs']]],
-  ['topi_4512',['topi',['../namespacetvm_1_1topi.html',1,'tvm']]],
-  ['topi_5fdeclare_5funary_5fop_4513',['TOPI_DECLARE_UNARY_OP',['../elemwise_8h.html#af185de28568db73253bc391f202b6b02',1,'elemwise.h']]],
-  ['topi_5fdefine_5fbcast_5fop_4514',['TOPI_DEFINE_BCAST_OP',['../broadcast_8h.html#acb867d9c8250a748f59b5a47711b495a',1,'broadcast.h']]],
-  ['topi_5fdefine_5fop_5foverload_4515',['TOPI_DEFINE_OP_OVERLOAD',['../broadcast_8h.html#a7f3943bdaba8836ffa7d14457a7d730c',1,'broadcast.h']]],
-  ['topisstateful_4516',['TOpIsStateful',['../namespacetvm_1_1relay.html#afb8a8d4dd43830d4ce7d566abcd1c450',1,'tvm::relay']]],
-  ['topkattrs_4517',['TopKAttrs',['../structtvm_1_1relay_1_1TopKAttrs.html',1,'tvm::relay']]],
-  ['toppattern_4518',['TOpPattern',['../namespacetvm_1_1relay.html#a5dab2ddae20ac7564a81ab3a0a9aba76',1,'tvm::relay']]],
-  ['toprimal_4519',['ToPrimal',['../classtvm_1_1tir_1_1LayoutAxis.html#a45791b7980bfaf0791bdf8e97f1775b0',1,'tvm::tir::LayoutAxis']]],
-  ['topythonstring_4520',['ToPythonString',['../classtvm_1_1tir_1_1IndexMapNode.html#ac1d6436a2b756319e6005da64d5edebd',1,'tvm::tir::IndexMapNode']]],
-  ['torchruntimecreate_4521',['TorchRuntimeCreate',['../namespacetvm_1_1runtime_1_1contrib.html#a9f22008a54a14d817f75207666ef433d',1,'tvm::runtime::contrib']]],
-  ['tosizevar_4522',['ToSizeVar',['../classtvm_1_1tir_1_1AnyNode.html#a741b57467c114e83182910df14fca3be',1,'tvm::tir::AnyNode']]],
-  ['tostr_4523',['ToStr',['../classtvm_1_1auto__scheduler_1_1State.html#acc2b1cbaece2a0cd0d0d26f808b2331b',1,'tvm::auto_scheduler::State']]],
-  ['tostring_4524',['ToString',['../classtvm_1_1relay_1_1FeatureSet.html#ad496face33ee548cd323d80ff8e098d3',1,'tvm::relay::FeatureSet']]],
-  ['tosubordinate_4525',['ToSubordinate',['../classtvm_1_1tir_1_1LayoutAxis.html#a51c581fb0346938402eef49d12398d79',1,'tvm::tir::LayoutAxis']]],
-  ['total_5ftimer_4526',['total_timer',['../classtvm_1_1meta__schedule_1_1ProfilerNode.html#a97841f5cf4d1cf688fb38715507865ad',1,'tvm::meta_schedule::ProfilerNode']]],
-  ['totupletype_4527',['ToTupleType',['../namespacetvm_1_1relay.html#ae6757a008816e31cce4109e8dfc2bc16',1,'tvm::relay']]],
-  ['touchtask_4528',['TouchTask',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#af2471f9b70cc8bfd39c1e1f26310da85',1,'tvm::meta_schedule::TaskSchedulerNode']]],
-  ['tovar_4529',['ToVar',['../classtvm_1_1tir_1_1AnyNode.html#ae01ebbba2378afb6509a22de97f8fb30',1,'tvm::tir::AnyNode']]],
-  ['tparent_4530',['TParent',['../classtvm_1_1OpAttrMap.html#a316480ca7450209650fc1a62f7ce4a14',1,'tvm::OpAttrMap::TParent()'],['../classtvm_1_1TargetKindAttrMap.html#a37eb6bfb0d881cf897147b17ff7d3265',1,'tvm::TargetKindAttrMap::TParent()']]],
-  ['trace_4531',['Trace',['../classtvm_1_1tir_1_1Trace.html#af79bccf1bde25efea387bb1b82dacaa6',1,'tvm::tir::Trace::Trace(Array&lt; Instruction &gt; insts, Map&lt; Instruction, ObjectRef &gt; decisions)'],['../classtvm_1_1tir_1_1Trace.html#a8e09abffd0b9b1afac7b832cf16c142d',1,'tvm::tir::Trace::Trace()']]],
-  ['trace_4532',['trace',['../classtvm_1_1tir_1_1ScheduleNode.html#a953bca4123b5a758adfdcd65634a5f3b',1,'tvm::tir::ScheduleNode::trace()'],['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html#a8cc2d64f796593a1a774eef259f17b29',1,'tvm::meta_schedule::TuningRecordNode::trace()']]],
-  ['trace_4533',['Trace',['../classtvm_1_1tir_1_1Trace.html',1,'tvm::tir']]],
-  ['trace_2eh_4534',['trace.h',['../trace_8h.html',1,'']]],
-  ['traced_4535',['Traced',['../classtvm_1_1tir_1_1Schedule.html#a15eec0ab3d2caa651f68ad7c88ed31eb',1,'tvm::tir::Schedule']]],
-  ['tracenode_4536',['TraceNode',['../classtvm_1_1tir_1_1TraceNode.html',1,'tvm::tir']]],
-  ['trandstate_4537',['TRandState',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#aa184b0eb048b357df078c4ed5e0155e6',1,'tvm::meta_schedule::TuneContextNode::TRandState()'],['../classtvm_1_1meta__schedule_1_1TuneContext.html#af7fd90f18bece51fa3c212b73eca2f27',1,'tvm::meta_schedule::TuneContext::TRandState()'],['../classtvm_1_1support_1_1LinearCongruentialEngine.html#a4d3a3a94a3f3d2dfab4b5ccb1a7e97de',1,'tvm::support::LinearCongruentialEngine::TRandState()']]],
-  ['transform_4538',['Transform',['../classtvm_1_1te_1_1Transform.html#a51422cc2290f6b87fe61edb0db691125',1,'tvm::te::Transform']]],
-  ['transform_4539',['transform',['../namespacetvm_1_1relay_1_1qnn_1_1transform.html',1,'tvm::relay::qnn::transform'],['../namespacetvm_1_1relay_1_1transform.html',1,'tvm::relay::transform']]],
-  ['transform_4540',['Transform',['../classtvm_1_1te_1_1Transform.html',1,'tvm::te']]],
-  ['transform_4541',['transform',['../namespacetvm_1_1tir_1_1transform.html',1,'tvm::tir::transform'],['../namespacetvm_1_1tir_1_1usmp_1_1transform.html',1,'tvm::tir::usmp::transform'],['../namespacetvm_1_1transform.html',1,'tvm::transform']]],
-  ['transform_2eh_4542',['transform.h',['../topi_2transform_8h.html',1,'(Global Namespace)'],['../ir_2transform_8h.html',1,'(Global Namespace)'],['../relay_2attrs_2transform_8h.html',1,'(Global Namespace)'],['../relay_2qnn_2transform_8h.html',1,'(Global Namespace)'],['../relay_2transform_8h.html',1,'(Global Namespace)'],['../tir_2transform_8h.html',1,'(Global Namespace)'],['../tir_2usmp_2transform_8h.html',1,'(Global Namespace)']]],
-  ['transform_5flayout_4543',['transform_layout',['../classtvm_1_1te_1_1Stage.html#acec77eca6c9a4f1738a7c119d7ac2c2c',1,'tvm::te::Stage']]],
-  ['transform_5fstep_2eh_4544',['transform_step.h',['../transform__step_8h.html',1,'']]],
-  ['transform_5fsteps_4545',['transform_steps',['../classtvm_1_1auto__scheduler_1_1StateNode.html#a980f03e5744ed104cf231219a4895d5e',1,'tvm::auto_scheduler::StateNode']]],
-  ['transformblocklayout_4546',['TransformBlockLayout',['../classtvm_1_1tir_1_1ScheduleNode.html#a998b22e37ef63a697a984c8ebcc39ca2',1,'tvm::tir::ScheduleNode']]],
-  ['transformed_5fvariables_4547',['transformed_variables',['../classtvm_1_1te_1_1TransformNode.html#a034d22228133e50074502bfe1f495935',1,'tvm::te::TransformNode']]],
-  ['transformlayout_4548',['TransformLayout',['../classtvm_1_1tir_1_1ScheduleNode.html#af4943cc242cec3064a5127515c22219b',1,'tvm::tir::ScheduleNode']]],
-  ['transformmmabufferlayout_4549',['TransformMmaBufferLayout',['../namespacetvm_1_1tir_1_1transform.html#a1956116a1d54ea3f33c68b35792f720e',1,'tvm::tir::transform']]],
-  ['transformnode_4550',['TransformNode',['../classtvm_1_1te_1_1TransformNode.html',1,'tvm::te']]],
-  ['transitive_5fcomparisons_4551',['transitive_comparisons',['../classtvm_1_1arith_1_1Analyzer.html#a23e266bcb0d9b5ca6cc01c691271db72',1,'tvm::arith::Analyzer']]],
-  ['transitivecomparisonanalyzer_4552',['TransitiveComparisonAnalyzer',['../classtvm_1_1arith_1_1TransitiveComparisonAnalyzer.html',1,'tvm::arith']]],
-  ['transpose_4553',['transpose',['../namespacetvm_1_1topi.html#a1488ee98fd053e8b01b481f720df77fa',1,'tvm::topi']]],
-  ['transpose_5fa_4554',['transpose_a',['../structtvm_1_1relay_1_1BatchMatmulAttrs.html#aea3a5e93559981fc31122615d677d831',1,'tvm::relay::BatchMatmulAttrs::transpose_a()'],['../structtvm_1_1relay_1_1MatmulAttrs.html#a397aa1573fc7e0bc13930390298a22fc',1,'tvm::relay::MatmulAttrs::transpose_a()']]],
-  ['transpose_5fb_4555',['transpose_b',['../structtvm_1_1relay_1_1BatchMatmulAttrs.html#a05710acb6565be899d567f642a26639a',1,'tvm::relay::BatchMatmulAttrs::transpose_b()'],['../structtvm_1_1relay_1_1MatmulAttrs.html#afd2c250d27a093cd4afbdb6d6fa7e370',1,'tvm::relay::MatmulAttrs::transpose_b()']]],
-  ['transposeattrs_4556',['TransposeAttrs',['../structtvm_1_1relay_1_1TransposeAttrs.html',1,'tvm::relay']]],
-  ['traverseafterreduce_4557',['TraverseAfterReduce',['../namespacetvm_1_1topi_1_1cuda.html#a9009672dab261008d66d4e59d896935f',1,'tvm::topi::cuda']]],
-  ['traversebeforereduce_4558',['TraverseBeforeReduce',['../namespacetvm_1_1topi_1_1cuda.html#a9d51320c5b9bd9147018689b1b5f1279',1,'tvm::topi::cuda']]],
-  ['treshapeop_4559',['TReshapeOp',['../namespacetvm_1_1relay.html#a7c86efe3d58b34726786cfe79f616191',1,'tvm::relay']]],
-  ['triluattrs_4560',['TriluAttrs',['../structtvm_1_1relay_1_1TriluAttrs.html',1,'tvm::relay']]],
-  ['true_5fbranch_4561',['true_branch',['../classtvm_1_1relay_1_1IfPatternNode.html#ad292c855acc88167ab25acd200c01d48',1,'tvm::relay::IfPatternNode::true_branch()'],['../classtvm_1_1relay_1_1IfNode.html#abbda9637de8fac5d227ef7c305e5f34e',1,'tvm::relay::IfNode::true_branch()']]],
-  ['true_5foffset_4562',['true_offset',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a58e6e5eba0eba678b94273ef7deb1df2',1,'tvm::runtime::vm::Instruction']]],
-  ['true_5fvalue_4563',['true_value',['../classtvm_1_1tir_1_1SelectNode.html#a35e913f542bd1231b92adfc2d58938ef',1,'tvm::tir::SelectNode']]],
-  ['trunc_4564',['trunc',['../namespacetvm_1_1topi.html#a358fbedbd89b1225958eeff6230cf926',1,'tvm::topi::trunc()'],['../namespacetvm.html#a5472f967969aebee254e8e78f2396436',1,'tvm::trunc()']]],
-  ['trunc_5fdivide_4565',['trunc_divide',['../namespacetvm_1_1topi.html#aa705916cb0961abc998d4a4d9efac74b',1,'tvm::topi::trunc_divide(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;trunc_divide&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#acd9b99bd322a6d7339b6aea2fa7e49ae',1,'tvm::topi::trunc_divide(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B, std::string name=&quot;T_&quot; &quot;trunc_divide&quot;, s [...]
-  ['trunc_5fmod_4566',['trunc_mod',['../namespacetvm_1_1topi.html#a39441ae0b7b4003c05efb1ce8bafdd83',1,'tvm::topi::trunc_mod(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;trunc_mod&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#a69c65a01037ee4f8c785ddaf3f640dbd',1,'tvm::topi::trunc_mod(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B, std::string name=&quot;T_&quot; &quot;trunc_mod&quot;, std::string tag=kEl [...]
-  ['truncdiv_4567',['truncdiv',['../namespacetvm.html#aa71d2e133a1311571af1b1a61bcf956d',1,'tvm::truncdiv(int a, const PrimExpr &amp;b, Span span=Span())'],['../namespacetvm.html#acb5a87ae3bdd224a421df3f22a64338d',1,'tvm::truncdiv(const PrimExpr &amp;a, int b, Span span=Span())'],['../namespacetvm.html#ac62b239b36ad259a118bb20cb78a01a2',1,'tvm::truncdiv(PrimExpr a, PrimExpr b, Span span=Span())']]],
-  ['truncmod_4568',['truncmod',['../namespacetvm.html#a2428ea0e23bd9f7218aebd066bb2cd88',1,'tvm::truncmod(PrimExpr a, PrimExpr b, Span span=Span())'],['../namespacetvm.html#aa7e06644ed017169c5ce6829445ab754',1,'tvm::truncmod(const PrimExpr &amp;a, int b, Span span=Span())'],['../namespacetvm.html#aa388c5d23548438d00d64d0084e82391',1,'tvm::truncmod(int a, const PrimExpr &amp;b, Span span=Span())']]],
-  ['trycompare_4569',['TryCompare',['../classtvm_1_1arith_1_1TransitiveComparisonAnalyzer.html#ad00ac744402de84c7c3d6f2f46a6f7c7',1,'tvm::arith::TransitiveComparisonAnalyzer']]],
-  ['tscriptdtypeprintlocation_4570',['TScriptDtypePrintLocation',['../namespacetvm_1_1tir.html#ae85a9723d52c53bd767ae70236358289',1,'tvm::tir']]],
-  ['tscriptprintername_4571',['TScriptPrinterName',['../namespacetvm_1_1tir.html#a617163e8161d114528cfc73199d0cc3c',1,'tvm::tir']]],
-  ['tself_4572',['TSelf',['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#afaeae1b79f815895ab9ab09b444e7ba3',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;::TSelf()'],['../classtvm_1_1runtime_1_1PackedFuncSubObj.html#a87d39179dda6dd2c54112b80b9acc89d',1,'tvm::runtime::PackedFuncSubObj::TSelf()'],['../classtvm_1_1TypedEnvFunc_3_01R_07Args_8_8_8_08_4.html#a29adf4db79c99318db779a71f26acc0f',1,'tvm::TypedEnvFunc&lt; R(Args...)&gt;::TSelf()'],['../structtvm_1_1det [...]
-  ['tshapedatadependent_4573',['TShapeDataDependent',['../namespacetvm_1_1relay.html#a75edb2b00671af1cf3274a5975ecba77',1,'tvm::relay']]],
-  ['tune_4574',['Tune',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#aaf52b3eeb6010128d146cee6413ce8d3',1,'tvm::meta_schedule::TaskSchedulerNode::Tune()'],['../classtvm_1_1meta__schedule_1_1PyTaskSchedulerNode.html#a941c230f85e3e60d33b47eaacbfcd160',1,'tvm::meta_schedule::PyTaskSchedulerNode::Tune()']]],
-  ['tune_5fcontext_2eh_4575',['tune_context.h',['../tune__context_8h.html',1,'']]],
-  ['tunecontext_4576',['TuneContext',['../classtvm_1_1meta__schedule_1_1TuneContext.html#a9e88241c8f068410b475d443082fd52d',1,'tvm::meta_schedule::TuneContext::TuneContext()'],['../classtvm_1_1meta__schedule_1_1TuneContext.html',1,'tvm::meta_schedule::TuneContext']]],
-  ['tunecontextnode_4577',['TuneContextNode',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html',1,'tvm::meta_schedule']]],
-  ['tuningoptions_4578',['TuningOptions',['../classtvm_1_1auto__scheduler_1_1TuningOptions.html#ac869d3a3e888c77677b62d064fec0f6e',1,'tvm::auto_scheduler::TuningOptions::TuningOptions()'],['../classtvm_1_1auto__scheduler_1_1TuningOptions.html',1,'tvm::auto_scheduler::TuningOptions']]],
-  ['tuningoptionsnode_4579',['TuningOptionsNode',['../classtvm_1_1auto__scheduler_1_1TuningOptionsNode.html',1,'tvm::auto_scheduler']]],
-  ['tuningrecord_4580',['TuningRecord',['../classtvm_1_1meta__schedule_1_1TuningRecord.html#aa4699af50f91bda306e6c199766c4757',1,'tvm::meta_schedule::TuningRecord::TuningRecord()'],['../classtvm_1_1meta__schedule_1_1TuningRecord.html',1,'tvm::meta_schedule::TuningRecord']]],
-  ['tuningrecordnode_4581',['TuningRecordNode',['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html',1,'tvm::meta_schedule']]],
-  ['tuple_4582',['Tuple',['../classtvm_1_1relay_1_1Tuple.html#a284e236318986fd385a02aa68bd3e938',1,'tvm::relay::Tuple::Tuple()'],['../classtvm_1_1runtime_1_1ADT.html#a871e902541f0a7e550e74ae0c621994c',1,'tvm::runtime::ADT::Tuple()']]],
-  ['tuple_4583',['tuple',['../classtvm_1_1relay_1_1TupleGetItemPatternNode.html#a1fdd79b2fbbf3d7a14cea7e7efc38574',1,'tvm::relay::TupleGetItemPatternNode::tuple()'],['../classtvm_1_1relay_1_1TupleGetItemNode.html#aade4882f84d828975c689b5c6b1b68e6',1,'tvm::relay::TupleGetItemNode::tuple()']]],
-  ['tuple_4584',['Tuple',['../classtvm_1_1relay_1_1Tuple.html',1,'tvm::relay']]],
-  ['tupleaffinetype_4585',['TupleAffineType',['../classtvm_1_1TupleAffineType.html#afced247570984fed7386c147d02efb79',1,'tvm::TupleAffineType::TupleAffineType()'],['../classtvm_1_1TupleAffineType.html',1,'tvm::TupleAffineType']]],
-  ['tupleaffinetypenode_4586',['TupleAffineTypeNode',['../classtvm_1_1TupleAffineTypeNode.html',1,'tvm']]],
-  ['tupledoc_4587',['TupleDoc',['../classtvm_1_1script_1_1printer_1_1TupleDoc.html#ac3ec09b672b619376fa70cead671de78',1,'tvm::script::printer::TupleDoc::TupleDoc()'],['../classtvm_1_1script_1_1printer_1_1TupleDoc.html#a78ef6fe46a358a34df8cf8c797ce3d6e',1,'tvm::script::printer::TupleDoc::TupleDoc(Array&lt; ExprDoc &gt; elements)'],['../classtvm_1_1script_1_1printer_1_1TupleDoc.html',1,'tvm::script::printer::TupleDoc']]],
-  ['tupledocnode_4588',['TupleDocNode',['../classtvm_1_1script_1_1printer_1_1TupleDocNode.html',1,'tvm::script::printer']]],
-  ['tuplegetitem_4589',['TupleGetItem',['../classtvm_1_1relay_1_1TupleGetItem.html#a744f50341d00e504ae4d677723433b7c',1,'tvm::relay::TupleGetItem::TupleGetItem()'],['../classtvm_1_1relay_1_1TupleGetItem.html',1,'tvm::relay::TupleGetItem']]],
-  ['tuplegetitemnode_4590',['TupleGetItemNode',['../classtvm_1_1relay_1_1TupleGetItemNode.html',1,'tvm::relay']]],
-  ['tuplegetitempattern_4591',['TupleGetItemPattern',['../classtvm_1_1relay_1_1TupleGetItemPattern.html#adbf5ac16dedf9bb90603d0379b1cb6c8',1,'tvm::relay::TupleGetItemPattern::TupleGetItemPattern()'],['../classtvm_1_1relay_1_1TupleGetItemPattern.html',1,'tvm::relay::TupleGetItemPattern']]],
-  ['tuplegetitempatternnode_4592',['TupleGetItemPatternNode',['../classtvm_1_1relay_1_1TupleGetItemPatternNode.html',1,'tvm::relay']]],
-  ['tuplenode_4593',['TupleNode',['../classtvm_1_1relay_1_1TupleNode.html',1,'tvm::relay']]],
-  ['tuplepattern_4594',['TuplePattern',['../classtvm_1_1relay_1_1TuplePattern.html#a5556053a3dea336f75706644c463d996',1,'tvm::relay::TuplePattern::TuplePattern()'],['../classtvm_1_1relay_1_1TuplePattern.html',1,'tvm::relay::TuplePattern']]],
-  ['tuplepatternnode_4595',['TuplePatternNode',['../classtvm_1_1relay_1_1TuplePatternNode.html',1,'tvm::relay']]],
-  ['tupletype_4596',['TupleType',['../classtvm_1_1TupleType.html#ad9d079fcb60dcafad7048c77b3758f37',1,'tvm::TupleType::TupleType()'],['../namespacetvm_1_1relay.html#ace9102638dffea6747ae9fa9be9f00f2',1,'tvm::relay::TupleType()'],['../classtvm_1_1TupleType.html',1,'tvm::TupleType']]],
-  ['tupletypenode_4597',['TupleTypeNode',['../classtvm_1_1TupleTypeNode.html#a1e9cbe8e91816366c181fb888ddc18d9',1,'tvm::TupleTypeNode::TupleTypeNode()'],['../namespacetvm_1_1relay.html#a916609c894ac1000b66f9582f338d965',1,'tvm::relay::TupleTypeNode()'],['../classtvm_1_1TupleTypeNode.html',1,'tvm::TupleTypeNode']]],
-  ['tvectorizable_4598',['TVectorizable',['../namespacetvm_1_1tir.html#a0c5d42cf669b8ceeb42aa13d95cb5262',1,'tvm::tir']]],
-  ['tvm_4599',['tvm',['../namespacetvm.html',1,'']]],
-  ['tvm_5faccess_5fptr_4600',['tvm_access_ptr',['../namespacetvm_1_1tir_1_1builtin.html#a3e84c73dbbcf7f97008ac84c169feae9',1,'tvm::tir::builtin']]],
-  ['tvm_5fadd_5ffileline_4601',['TVM_ADD_FILELINE',['../registry_8h.html#a4658d181c38630e35f37c98fd1b0bde9',1,'registry.h']]],
-  ['tvm_5fattr_5ffield_4602',['TVM_ATTR_FIELD',['../ir_2attrs_8h.html#a578da113eb199bad72e26c03ad24832f',1,'attrs.h']]],
-  ['tvm_5fattribute_5funused_4603',['TVM_ATTRIBUTE_UNUSED',['../c__runtime__api_8h.html#a744c2fdb7ff7dc43070c1841a5873c84',1,'TVM_ATTRIBUTE_UNUSED():&#160;c_runtime_api.h'],['../object_8h.html#a744c2fdb7ff7dc43070c1841a5873c84',1,'TVM_ATTRIBUTE_UNUSED():&#160;object.h']]],
-  ['tvm_5faverage_5ffunction_5fname_5fstrlen_5fbytes_4604',['TVM_AVERAGE_FUNCTION_NAME_STRLEN_BYTES',['../func__registry_8h.html#a39dff58b9fce1890c7ffc208e4971ccc',1,'func_registry.h']]],
-  ['tvm_5fbmma_5fsync_4605',['tvm_bmma_sync',['../namespacetvm_1_1tir_1_1builtin.html#a8d5e173f1a16740172a9ad6f2aa85a08',1,'tvm::tir::builtin']]],
-  ['tvm_5fcall_5fcpacked_4606',['tvm_call_cpacked',['../namespacetvm_1_1tir_1_1builtin.html#a1e35988d61914016c49d3cf8a5af3f54',1,'tvm::tir::builtin']]],
-  ['tvm_5fcall_5fcpacked_5flowered_4607',['tvm_call_cpacked_lowered',['../namespacetvm_1_1tir_1_1builtin.html#a3131992ea9acd964fb7d3ca782d74805',1,'tvm::tir::builtin']]],
-  ['tvm_5fcall_5fpacked_4608',['tvm_call_packed',['../namespacetvm_1_1tir_1_1builtin.html#a2c13c6e4b2f92e17f357665f9f11736c',1,'tvm::tir::builtin']]],
-  ['tvm_5fcall_5fpacked_5flowered_4609',['tvm_call_packed_lowered',['../namespacetvm_1_1tir_1_1builtin.html#a0117a4a76af962576a6a3bbf32f97b36',1,'tvm::tir::builtin']]],
-  ['tvm_5fcall_5ftrace_5fpacked_4610',['tvm_call_trace_packed',['../namespacetvm_1_1tir_1_1builtin.html#ac54288cc9f1fee8c26db9bd87ac320ee',1,'tvm::tir::builtin']]],
-  ['tvm_5fcall_5ftrace_5fpacked_5flowered_4611',['tvm_call_trace_packed_lowered',['../namespacetvm_1_1tir_1_1builtin.html#aa6e23eac98abb8378b9837011a5c04b5',1,'tvm::tir::builtin']]],
-  ['tvm_5fcheck_5freturn_4612',['tvm_check_return',['../namespacetvm_1_1tir_1_1builtin.html#a23897d81faa46ac29ab0d6d8fc618707',1,'tvm::tir::builtin']]],
-  ['tvm_5fcheck_5ftype_5fcode_4613',['TVM_CHECK_TYPE_CODE',['../packed__func_8h.html#ad1e150bb815d5cb964e15d4fa7b5fd93',1,'packed_func.h']]],
-  ['tvm_5fcontext_5fid_4614',['tvm_context_id',['../namespacetvm_1_1tir_1_1builtin.html#a21c2ad8b095dcbefa786394981ea0b71',1,'tvm::tir::builtin']]],
-  ['tvm_5fcrt_5ferror_5fcategory_5fmsk_4615',['TVM_CRT_ERROR_CATEGORY_Msk',['../error__codes_8h.html#ae678431f8a855cdb34d534326c555347',1,'error_codes.h']]],
-  ['tvm_5fcrt_5ferror_5fcategory_5fpos_4616',['TVM_CRT_ERROR_CATEGORY_Pos',['../error__codes_8h.html#a30d468bc8b5e5f220808f03d5cd8c449',1,'error_codes.h']]],
-  ['tvm_5fcrt_5ferror_5fcategory_5ft_4617',['tvm_crt_error_category_t',['../error__codes_8h.html#afb9e14425d21e5e28a3223f7697a0808',1,'error_codes.h']]],
-  ['tvm_5fcrt_5ferror_5fcode_5fmsk_4618',['TVM_CRT_ERROR_CODE_Msk',['../error__codes_8h.html#a8fb17aed4ed7d554247beed0a56ac11e',1,'error_codes.h']]],
-  ['tvm_5fcrt_5ferror_5fcode_5fpos_4619',['TVM_CRT_ERROR_CODE_Pos',['../error__codes_8h.html#a58117d4a7d0c4911d8813985dde1d41c',1,'error_codes.h']]],
-  ['tvm_5fcrt_5ferror_5ft_4620',['tvm_crt_error_t',['../error__codes_8h.html#a77b4da0131882f0c9b887a47dd34467a',1,'error_codes.h']]],
-  ['tvm_5fdeclare_5fattrs_4621',['TVM_DECLARE_ATTRS',['../structtvm_1_1relay_1_1TransposeAttrs.html#a99db019c5b5fe6ac6fa59f566a72bad6',1,'tvm::relay::TransposeAttrs::TVM_DECLARE_ATTRS()'],['../structtvm_1_1relay_1_1CastAttrs.html#a460996bb6ac2eb42602b245721a4b2d3',1,'tvm::relay::CastAttrs::TVM_DECLARE_ATTRS()'],['../structtvm_1_1relay_1_1ReshapeAttrs.html#adb72dc00148149948a282e4fdbd1cd28',1,'tvm::relay::ReshapeAttrs::TVM_DECLARE_ATTRS()'],['../structtvm_1_1relay_1_1ReshapeLikeAttrs.html [...]
-  ['tvm_5fdeclare_5fbase_5fobject_5finfo_4622',['TVM_DECLARE_BASE_OBJECT_INFO',['../classtvm_1_1relay_1_1TempExprNode.html#a96299d26d32ecba0f0975ed531d8ee4b',1,'tvm::relay::TempExprNode::TVM_DECLARE_BASE_OBJECT_INFO()'],['../classtvm_1_1relay_1_1DFPatternNode.html#a1da73a611341e9f3fe252d9fc3b8e6e8',1,'tvm::relay::DFPatternNode::TVM_DECLARE_BASE_OBJECT_INFO()'],['../classtvm_1_1relay_1_1DFPatternCallbackNode.html#a0b09756dcd17877284e01d1a90a31d00',1,'tvm::relay::DFPatternCallbackNode::TVM [...]
-  ['tvm_5fdeclare_5ffinal_5fobject_5finfo_4623',['TVM_DECLARE_FINAL_OBJECT_INFO',['../classtvm_1_1script_1_1printer_1_1ListDocNode.html#a57a2c6b08129ee35fc84bd00f0798f9a',1,'tvm::script::printer::ListDocNode::TVM_DECLARE_FINAL_OBJECT_INFO()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1RealizeFrameNode.html#a08a429ac84e25a3399906e3c15fb7831',1,'tvm::script::ir_builder::tir::RealizeFrameNode::TVM_DECLARE_FINAL_OBJECT_INFO()'],['../classtvm_1_1script_1_1printer_1_1TupleDocNode.html#ad [...]
-  ['tvm_5fdeclare_5fintrin_5fbinary_4624',['TVM_DECLARE_INTRIN_BINARY',['../tir_2op_8h.html#abc43baea1e8f1c876bfa743a063a5928',1,'op.h']]],
-  ['tvm_5fdeclare_5fintrin_5funary_4625',['TVM_DECLARE_INTRIN_UNARY',['../tir_2op_8h.html#a032e3ae6824990aad98b8992f90a83c9',1,'op.h']]],
-  ['tvm_5fdefine_5fassign_5fop_5foverload_4626',['TVM_DEFINE_ASSIGN_OP_OVERLOAD',['../tir_2op_8h.html#a34c733b88658efba336e09ccd85e576c',1,'op.h']]],
-  ['tvm_5fdefine_5fbinop_5fconst_5fval_5foverload_4627',['TVM_DEFINE_BINOP_CONST_VAL_OVERLOAD',['../tir_2op_8h.html#aabcf618a12e97c38fccecf7351392154',1,'op.h']]],
-  ['tvm_5fdefine_5fbinop_5fconst_5fval_5foverload_5fspanned_4628',['TVM_DEFINE_BINOP_CONST_VAL_OVERLOAD_SPANNED',['../tir_2op_8h.html#a8fc539385c2bb11740d0a6bef19be7b8',1,'op.h']]],
-  ['tvm_5fdefine_5fdefault_5fcopy_5fmove_5fand_5fassign_4629',['TVM_DEFINE_DEFAULT_COPY_MOVE_AND_ASSIGN',['../object_8h.html#a06b57185e07e0065383528eb655fe4d8',1,'TVM_DEFINE_DEFAULT_COPY_MOVE_AND_ASSIGN():&#160;object.h'],['../classtvm_1_1auto__scheduler_1_1RandomModel.html#a6ac9fdc1f2b660d552e605c658f53351',1,'tvm::auto_scheduler::RandomModel::TVM_DEFINE_DEFAULT_COPY_MOVE_AND_ASSIGN()']]],
-  ['tvm_5fdefine_5fint_5fop_5fconst_5fval_5foverload_4630',['TVM_DEFINE_INT_OP_CONST_VAL_OVERLOAD',['../tir_2op_8h.html#a0ad19625381aae20ca7a930260089c47',1,'op.h']]],
-  ['tvm_5fdefine_5fint_5fop_5fconst_5fval_5foverload_5fspanned_4631',['TVM_DEFINE_INT_OP_CONST_VAL_OVERLOAD_SPANNED',['../tir_2op_8h.html#ac211367ff4e2382caf322a3903f8c629',1,'op.h']]],
-  ['tvm_5fdefine_5flogical_5fop_5fconst_5fval_5foverload_4632',['TVM_DEFINE_LOGICAL_OP_CONST_VAL_OVERLOAD',['../tir_2op_8h.html#ab6a17993efa67183ba992dac29284c80',1,'op.h']]],
-  ['tvm_5fdefine_5flogical_5fop_5fconst_5fval_5foverload_5fspanned_4633',['TVM_DEFINE_LOGICAL_OP_CONST_VAL_OVERLOAD_SPANNED',['../tir_2op_8h.html#a29826503ae15ba83c6bc8e6cbe218a69',1,'op.h']]],
-  ['tvm_5fdefine_5fmutable_5fnotnullable_5fobject_5fref_5fmethods_4634',['TVM_DEFINE_MUTABLE_NOTNULLABLE_OBJECT_REF_METHODS',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1WhileFrame.html#ab4db6cc6a2a1f73abe491e04acab144a',1,'tvm::script::ir_builder::tir::WhileFrame::TVM_DEFINE_MUTABLE_NOTNULLABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AssertFrame.html#a9172bd20b60e2103454328c9724e5329',1,'tvm::script::ir_builder::tir::AssertFrame::TVM_DEFINE_MUTABLE_NO [...]
-  ['tvm_5fdefine_5fmutable_5fobject_5fref_5fmethods_4635',['TVM_DEFINE_MUTABLE_OBJECT_REF_METHODS',['../classtvm_1_1runtime_1_1metadata_1_1MetadataArray.html#afc026f29b2a2fa475690d006e6b9945e',1,'tvm::runtime::metadata::MetadataArray::TVM_DEFINE_MUTABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1runtime_1_1metadata_1_1MetadataBase.html#a98bb2f373db5ffafce12493806cc53a9',1,'tvm::runtime::metadata::MetadataBase::TVM_DEFINE_MUTABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1runtime_1_1metadata_1_ [...]
-  ['tvm_5fdefine_5fnotnullable_5fobject_5fref_5fmethods_4636',['TVM_DEFINE_NOTNULLABLE_OBJECT_REF_METHODS',['../classtvm_1_1script_1_1printer_1_1ExprStmtDoc.html#ab5a0a5ff5c1be64b00ab38ef8d47406f',1,'tvm::script::printer::ExprStmtDoc::TVM_DEFINE_NOTNULLABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1script_1_1printer_1_1ScopeDoc.html#a26980ac9d335ce9b88e4e2c3459fe1b1',1,'tvm::script::printer::ScopeDoc::TVM_DEFINE_NOTNULLABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1script_1_1printer_1_1ForDo [...]
-  ['tvm_5fdefine_5fobject_5fref_5fcow_5fmethod_4637',['TVM_DEFINE_OBJECT_REF_COW_METHOD',['../classtvm_1_1tir_1_1Ramp.html#a483517d064c72e5cdd2691a8f5bffe78',1,'tvm::tir::Ramp::TVM_DEFINE_OBJECT_REF_COW_METHOD()'],['../classtvm_1_1tir_1_1Broadcast.html#ac66be95e763508c9f5ad8e190229282f',1,'tvm::tir::Broadcast::TVM_DEFINE_OBJECT_REF_COW_METHOD()'],['../classtvm_1_1tir_1_1Let.html#a73126fef9985a8378e4870ba7b0f6338',1,'tvm::tir::Let::TVM_DEFINE_OBJECT_REF_COW_METHOD()'],['../classtvm_1_1tir [...]
-  ['tvm_5fdefine_5fobject_5fref_5fmethods_4638',['TVM_DEFINE_OBJECT_REF_METHODS',['../classtvm_1_1te_1_1TensorIntrin.html#a0613d0606d62717cfc90a3db766d0050',1,'tvm::te::TensorIntrin::TVM_DEFINE_OBJECT_REF_METHODS()'],['../classtvm_1_1te_1_1Tensor.html#af7962b812b3df90676471d0b3754908f',1,'tvm::te::Tensor::TVM_DEFINE_OBJECT_REF_METHODS()'],['../classtvm_1_1te_1_1SpecializedCondition.html#ae220d758fa35788a78e1c61089d746c0',1,'tvm::te::SpecializedCondition::TVM_DEFINE_OBJECT_REF_METHODS()'] [...]
-  ['tvm_5fdescribe_4639',['TVM_DESCRIBE',['../registry_8h.html#aa26ce86513967e27c7571bf70cb8a57e',1,'registry.h']]],
-  ['tvm_5fdev_5fmblob_4640',['tvm_dev_mblob',['../namespacetvm_1_1runtime_1_1symbol.html#a316b327224938ffb2a73a9c4432d1da9',1,'tvm::runtime::symbol']]],
-  ['tvm_5fdispatch_5fmap_4641',['TVM_DISPATCH_MAP',['../map_8h.html#a1d45968795b6054f63824cb9c5512d5a',1,'map.h']]],
-  ['tvm_5fdispatch_5fmap_5fconst_4642',['TVM_DISPATCH_MAP_CONST',['../map_8h.html#a04a1af748cfbdfdf0a5707c02c55652e',1,'map.h']]],
-  ['tvm_5fdll_5fexport_5fpacked_5ffunc_4643',['TVM_DLL_EXPORT_PACKED_FUNC',['../packed__func_8h.html#aee75208638f500a4ce5e726c9d87d8ff',1,'packed_func.h']]],
-  ['tvm_5fdll_5fexport_5ftyped_5ffunc_4644',['TVM_DLL_EXPORT_TYPED_FUNC',['../packed__func_8h.html#ae5b445392a64daa55bef53b4cf53025c',1,'packed_func.h']]],
-  ['tvm_5fentrypoint_5fsuffix_4645',['tvm_entrypoint_suffix',['../namespacetvm_1_1runtime_1_1symbol.html#a00aad7edbef6fd3a1e2f6f94582fea8d',1,'tvm::runtime::symbol']]],
-  ['tvm_5fexecutor_5fregister_5fvar_5fdef_4646',['TVM_EXECUTOR_REGISTER_VAR_DEF',['../executor_8h.html#adc8dc40ffafbd72a2217bba13024acb3',1,'executor.h']]],
-  ['tvm_5ffill_5ffragment_4647',['tvm_fill_fragment',['../namespacetvm_1_1tir_1_1builtin.html#a616992eb291d701cac42c670d83c206c',1,'tvm::tir::builtin']]],
-  ['tvm_5ffunc_5freg_5fvar_5fdef_4648',['TVM_FUNC_REG_VAR_DEF',['../registry_8h.html#a11b8ea3ea0ce4b6cd2d7be2d5a5627b8',1,'registry.h']]],
-  ['tvm_5ffunction_5findex_5ft_4649',['tvm_function_index_t',['../func__registry_8h.html#a1d8ab7ca0c7903c699f805e8e9aa395a',1,'func_registry.h']]],
-  ['tvm_5fgeneric_5ffunc_5freg_5fvar_5fdef_4650',['TVM_GENERIC_FUNC_REG_VAR_DEF',['../generic__func_8h.html#a10648c82893ca73b419af99573860159',1,'generic_func.h']]],
-  ['tvm_5fget_5fc_5fmetadata_4651',['tvm_get_c_metadata',['../namespacetvm_1_1runtime_1_1symbol.html#aff7a6c169b32757c856f6fc505316361',1,'tvm::runtime::symbol']]],
-  ['tvm_5fglobal_5fbarrier_5fkinit_4652',['tvm_global_barrier_kinit',['../namespacetvm_1_1tir_1_1builtin.html#ac8e7bc86b8fa81453291ae5299062001',1,'tvm::tir::builtin']]],
-  ['tvm_5fglobal_5fbarrier_5fstate_4653',['tvm_global_barrier_state',['../namespacetvm_1_1runtime_1_1symbol.html#ac21d436bd65464b49858ba4bc55fb3ec',1,'tvm::runtime::symbol']]],
-  ['tvm_5findex_5ft_4654',['tvm_index_t',['../c__runtime__api_8h.html#ace8007daffd9f2c6d954c24d870bfcc4',1,'c_runtime_api.h']]],
-  ['tvm_5finst_5fkind_5fregister_5fvar_5fdef_4655',['TVM_INST_KIND_REGISTER_VAR_DEF',['../instruction_8h.html#a013bf2d8c6153ab1ed207f9639cfffd2',1,'instruction.h']]],
-  ['tvm_5fload_5fmatrix_5fsync_4656',['tvm_load_matrix_sync',['../namespacetvm_1_1tir_1_1builtin.html#a93b4dd000c31a1d35f493786380d108d',1,'tvm::tir::builtin']]],
-  ['tvm_5flookup_5flinked_5fparam_4657',['tvm_lookup_linked_param',['../namespacetvm_1_1runtime_1_1symbol.html#a209395ae8f4fe3b2a46e2b4a205e4772',1,'tvm::runtime::symbol']]],
-  ['tvm_5fmap_5ffail_5fif_5fchanged_4658',['TVM_MAP_FAIL_IF_CHANGED',['../map_8h.html#a06c210bfb319f0bf0e436f4542e40369',1,'map.h']]],
-  ['tvm_5fmetadata_5fversion_4659',['TVM_METADATA_VERSION',['../metadata_8h.html#a700f5dfbc1a51a0186d4c5a298ea3fd6',1,'metadata.h']]],
-  ['tvm_5fmicro_5fruntime_5fapi_5fapi_4660',['TVM_MICRO_RUNTIME_API_API',['../microtvm__runtime_8h.html#a82bcf22ac3cc35341edf8624944d2b6e',1,'microtvm_runtime.h']]],
-  ['tvm_5fmma_5fsync_4661',['tvm_mma_sync',['../namespacetvm_1_1tir_1_1builtin.html#a0cbd267877168afd5bbea35f0e5d70fe',1,'tvm::tir::builtin']]],
-  ['tvm_5fmodule_5fctx_4662',['tvm_module_ctx',['../namespacetvm_1_1runtime_1_1symbol.html#aeebcec34a8e6cc24c495710aedea5de9',1,'tvm::runtime::symbol']]],
-  ['tvm_5fmodule_5findex_5ft_4663',['tvm_module_index_t',['../func__registry_8h.html#a42d7461ea18444226216141298f1c24c',1,'func_registry.h']]],
-  ['tvm_5fmodule_5fmain_4664',['tvm_module_main',['../namespacetvm_1_1runtime_1_1symbol.html#abfc81fd15b5d8087b956a8cef4152bf4',1,'tvm::runtime::symbol']]],
-  ['tvm_5fobject_5fatomic_5fref_5fcounter_4665',['TVM_OBJECT_ATOMIC_REF_COUNTER',['../object_8h.html#a5f80f888f69ef72c51ba04cac65d033c',1,'object.h']]],
-  ['tvm_5fobject_5fenable_5fscript_5fprinter_4666',['TVM_OBJECT_ENABLE_SCRIPT_PRINTER',['../classtvm_1_1PrimExprNode.html#a418d4b34295c08f6d03ac70667e4e4bb',1,'tvm::PrimExprNode::TVM_OBJECT_ENABLE_SCRIPT_PRINTER()'],['../script__printer_8h.html#aeb27774525547e9a0656235a3506bbed',1,'TVM_OBJECT_ENABLE_SCRIPT_PRINTER():&#160;script_printer.h'],['../classtvm_1_1tir_1_1BufferNode.html#ab024a51cd27286a2b1300012736f81d3',1,'tvm::tir::BufferNode::TVM_OBJECT_ENABLE_SCRIPT_PRINTER()'],['../classtv [...]
-  ['tvm_5fobject_5freg_5fvar_5fdef_4667',['TVM_OBJECT_REG_VAR_DEF',['../object_8h.html#ac63db332fce1a32738566c8880f0d425',1,'object.h']]],
-  ['tvm_5fop_5fregister_5fvar_5fdef_4668',['TVM_OP_REGISTER_VAR_DEF',['../ir_2op_8h.html#ab5474fc13be62edade1979771c0c2a49',1,'op.h']]],
-  ['tvm_5fparam_5fprefix_4669',['tvm_param_prefix',['../namespacetvm_1_1runtime_1_1symbol.html#ae37724090c492bb8cdf40f967eef111c',1,'tvm::runtime::symbol']]],
-  ['tvm_5fpass_5fctx_5fconfig_5fvar_5fdef_4670',['TVM_PASS_CTX_CONFIG_VAR_DEF',['../ir_2transform_8h.html#af18caf4263a1f9e5f8f300c4aae5e094',1,'transform.h']]],
-  ['tvm_5fprepare_5fglobal_5fbarrier_4671',['tvm_prepare_global_barrier',['../namespacetvm_1_1runtime_1_1symbol.html#af4a5fe87c6e95d6b082a2be1960efbe5',1,'tvm::runtime::symbol']]],
-  ['tvm_5freflection_5freg_5fvar_5fdef_4672',['TVM_REFLECTION_REG_VAR_DEF',['../reflection_8h.html#a889418628421484559c553a6636f3dcf',1,'reflection.h']]],
-  ['tvm_5freg_5ffunc_5fvar_5fdef_4673',['TVM_REG_FUNC_VAR_DEF',['../functor_8h.html#a0e447ad7c49540ce24c2d6e2d89f4eba',1,'functor.h']]],
-  ['tvm_5fregister_5fexecutor_4674',['TVM_REGISTER_EXECUTOR',['../executor_8h.html#abbb3f564f6e8f6ba09ded4d4bdad4754',1,'executor.h']]],
-  ['tvm_5fregister_5fgeneric_5ffunc_4675',['TVM_REGISTER_GENERIC_FUNC',['../generic__func_8h.html#acab90de01c5afdb5bf990640a676232b',1,'generic_func.h']]],
-  ['tvm_5fregister_5fglobal_4676',['TVM_REGISTER_GLOBAL',['../registry_8h.html#a10f047b126505a3deda0b7f68718fa37',1,'registry.h']]],
-  ['tvm_5fregister_5finst_5fkind_4677',['TVM_REGISTER_INST_KIND',['../instruction_8h.html#a67ee92e4c9644b9ea19b6aaae1a62bbe',1,'instruction.h']]],
-  ['tvm_5fregister_5fnode_5ftype_4678',['TVM_REGISTER_NODE_TYPE',['../reflection_8h.html#a12fd7a4323c2f8ad77d48448009f2129',1,'reflection.h']]],
-  ['tvm_5fregister_5fobject_5ftype_4679',['TVM_REGISTER_OBJECT_TYPE',['../object_8h.html#ae1029068e5e7608770038906ca30db09',1,'object.h']]],
-  ['tvm_5fregister_5fop_4680',['TVM_REGISTER_OP',['../ir_2op_8h.html#ab695572ca7d8604832410ac4e5ea5713',1,'op.h']]],
-  ['tvm_5fregister_5fpass_5fconfig_5foption_4681',['TVM_REGISTER_PASS_CONFIG_OPTION',['../ir_2transform_8h.html#a5576002bc3ea1141558d1afa1aca33b6',1,'transform.h']]],
-  ['tvm_5fregister_5freflection_5fvtable_4682',['TVM_REGISTER_REFLECTION_VTABLE',['../reflection_8h.html#ad7093e57035dde5910eb7cdb2f08c64f',1,'reflection.h']]],
-  ['tvm_5fregister_5fruntime_4683',['TVM_REGISTER_RUNTIME',['../runtime_8h.html#af7309f599aa010b9064119e22ec91f2c',1,'runtime.h']]],
-  ['tvm_5fregister_5ftarget_5fkind_4684',['TVM_REGISTER_TARGET_KIND',['../target__kind_8h.html#a036b7ecec73974b7782644f28671c786',1,'target_kind.h']]],
-  ['tvm_5fregister_5ftarget_5ftag_4685',['TVM_REGISTER_TARGET_TAG',['../tag_8h.html#a7fe29db2695f0c156ce18bb2506290e6',1,'tag.h']]],
-  ['tvm_5fruntime_5falloc_5falignment_5fbytes_4686',['TVM_RUNTIME_ALLOC_ALIGNMENT_BYTES',['../stack__allocator_8h.html#ad59ee29e418fab065b127993d2ebb0e2',1,'stack_allocator.h']]],
-  ['tvm_5fruntime_5fheader_5fonly_4687',['TVM_RUNTIME_HEADER_ONLY',['../packed__func_8h.html#a50e8d21ff57c58c35bc4cc9310e64273',1,'packed_func.h']]],
-  ['tvm_5fruntime_5fregister_5fvar_5fdef_4688',['TVM_RUNTIME_REGISTER_VAR_DEF',['../runtime_8h.html#af8e75590a4116ea35a37322634ef803e',1,'runtime.h']]],
-  ['tvm_5fset_5fdevice_4689',['tvm_set_device',['../namespacetvm_1_1runtime_1_1symbol.html#aaad9cf8f51a55b2ec5b19854d692bd21',1,'tvm::runtime::symbol']]],
-  ['tvm_5fsref_5fas_5for_5ferr_4690',['TVM_SREF_AS_OR_ERR',['../tir_2utils_8h.html#ae3c276f44cdd20825ab5677f3223a235',1,'utils.h']]],
-  ['tvm_5fsref_5fto_5fblock_4691',['TVM_SREF_TO_BLOCK',['../tir_2utils_8h.html#a4ce2bd31269f012cf4a8d59b8c63a75a',1,'utils.h']]],
-  ['tvm_5fsref_5fto_5ffor_4692',['TVM_SREF_TO_FOR',['../tir_2utils_8h.html#a212c570fa89535310f30664deb0d5305',1,'utils.h']]],
-  ['tvm_5fstack_5falloca_4693',['tvm_stack_alloca',['../namespacetvm_1_1tir_1_1builtin.html#a15c5e0e0478e0ebff91690f60992cf3f',1,'tvm::tir::builtin']]],
-  ['tvm_5fstack_5fmake_5farray_4694',['tvm_stack_make_array',['../namespacetvm_1_1tir_1_1builtin.html#a28f99e6dd767482765b854ee9fc71f2c',1,'tvm::tir::builtin']]],
-  ['tvm_5fstack_5fmake_5fshape_4695',['tvm_stack_make_shape',['../namespacetvm_1_1tir_1_1builtin.html#abd540cb73407771ecfb4f78722ce5a1b',1,'tvm::tir::builtin']]],
-  ['tvm_5fstatic_5fhandle_4696',['tvm_static_handle',['../namespacetvm_1_1tir_1_1builtin.html#a6aeb24a28d19cdc60e4e1fa7b420d7fd',1,'tvm::tir::builtin']]],
-  ['tvm_5fstatic_5fir_5ffunctor_4697',['TVM_STATIC_IR_FUNCTOR',['../functor_8h.html#a2a8f992f14c3ef28a35830bb8499d538',1,'functor.h']]],
-  ['tvm_5fstorage_5fsync_4698',['tvm_storage_sync',['../namespacetvm_1_1tir_1_1builtin.html#a925a45e5bb05e0cbf2daf2ffdbdcf53a',1,'tvm::tir::builtin']]],
-  ['tvm_5fstore_5fmatrix_5fsync_4699',['tvm_store_matrix_sync',['../namespacetvm_1_1tir_1_1builtin.html#afc81da8cbcd7f34ec5e1e80d837ca265',1,'tvm::tir::builtin']]],
-  ['tvm_5fstr_5fconcat_4700',['TVM_STR_CONCAT',['../object_8h.html#a73bf3e57b9d7a6e0dd55d901321d01ed',1,'object.h']]],
-  ['tvm_5fstr_5fconcat_5f_4701',['TVM_STR_CONCAT_',['../object_8h.html#a7b72d9155e59e0d4dcdf674c90e64c7e',1,'object.h']]],
-  ['tvm_5fstringize_4702',['TVM_STRINGIZE',['../registry_8h.html#a9695ac0f55d84dc1188cdf29c3c58454',1,'registry.h']]],
-  ['tvm_5fstringize_5fdetail_4703',['TVM_STRINGIZE_DETAIL',['../registry_8h.html#a013dedecd9c6f457ed4ecc475fbdbd50',1,'registry.h']]],
-  ['tvm_5fstruct_5fget_4704',['tvm_struct_get',['../namespacetvm_1_1tir_1_1builtin.html#a23003bd9331efaa58d8420529ea96c0b',1,'tvm::tir::builtin']]],
-  ['tvm_5fstruct_5fset_4705',['tvm_struct_set',['../namespacetvm_1_1tir_1_1builtin.html#a6df03eca1d9cc14d0db6cdd39120a867',1,'tvm::tir::builtin']]],
-  ['tvm_5ftarget_5fkind_5fregister_5fvar_5fdef_4706',['TVM_TARGET_KIND_REGISTER_VAR_DEF',['../target__kind_8h.html#a2341708a81fcee611c3c5a156596522c',1,'target_kind.h']]],
-  ['tvm_5ftarget_5ftag_5fregister_5fvar_5fdef_4707',['TVM_TARGET_TAG_REGISTER_VAR_DEF',['../tag_8h.html#a58fe38cda73eab8c7c4938b464782dcf',1,'tag.h']]],
-  ['tvm_5fthread_5fallreduce_4708',['tvm_thread_allreduce',['../namespacetvm_1_1tir_1_1builtin.html#aa1d19e758595200998a4e1ea39767b6b',1,'tvm::tir::builtin']]],
-  ['tvm_5fthread_5fcontext_4709',['tvm_thread_context',['../namespacetvm_1_1tir_1_1builtin.html#a6be181be34fba13d129aadc6c9a23f73',1,'tvm::tir::builtin']]],
-  ['tvm_5fthrow_5flast_5ferror_4710',['tvm_throw_last_error',['../namespacetvm_1_1tir_1_1builtin.html#a92624d2aa5c435cd7a0ea8efb698a115',1,'tvm::tir::builtin']]],
-  ['tvm_5ftir_5fir_5fbuilder_5fdef_5fdtype_5fcast_4711',['TVM_TIR_IR_BUILDER_DEF_DTYPE_CAST',['../tir_2ir_8h.html#a411d701e2f5c55c20f1471c339b2866d',1,'ir.h']]],
-  ['tvm_5ftir_5fir_5fbuilder_5fdef_5fdtype_5fcast_5flanes_4712',['TVM_TIR_IR_BUILDER_DEF_DTYPE_CAST_LANES',['../tir_2ir_8h.html#af4333f8d928fe3c9e799afd516d0f04c',1,'ir.h']]],
-  ['tvm_5ftir_5fir_5fbuilder_5fdef_5fdtype_5fcast_5fsizes_4713',['TVM_TIR_IR_BUILDER_DEF_DTYPE_CAST_SIZES',['../tir_2ir_8h.html#a492f0c9cc3b778f85c7827e7e719e9c9',1,'ir.h']]],
-  ['tvm_5ftir_5fir_5fbuilder_5fdef_5fdtype_5fcast_5fsizes_5flanes_4714',['TVM_TIR_IR_BUILDER_DEF_DTYPE_CAST_SIZES_LANES',['../tir_2ir_8h.html#a9410989688d1a84ad2d088103f988b26',1,'ir.h']]],
-  ['tvm_5ftir_5fregister_5fop_4715',['TVM_TIR_REGISTER_OP',['../tir_2op_8h.html#a27947eef4b3043099d3c5e5f5bfe13f4',1,'op.h']]],
-  ['tvm_5ftuple_4716',['tvm_tuple',['../namespacetvm_1_1tir_1_1builtin.html#ab424ca353ceedd88a95fc37eeb9628a9',1,'tvm::tir::builtin']]],
-  ['tvm_5ftype_5fas_4717',['TVM_TYPE_AS',['../tir_2utils_8h.html#ab60c1e6848b181005be55ce1c10cf920',1,'utils.h']]],
-  ['tvm_5ftype_5fas_5for_5ferr_4718',['TVM_TYPE_AS_OR_ERR',['../tir_2utils_8h.html#a616e279b3f53b9afdd51b7f8c8aa670a',1,'utils.h']]],
-  ['tvm_5ftype_5ffunctor_5fdispatch_4719',['TVM_TYPE_FUNCTOR_DISPATCH',['../type__functor_8h.html#afaa114a04d18cd3f8f11995628692d74',1,'type_functor.h']]],
-  ['tvm_5fversion_4720',['TVM_VERSION',['../c__runtime__api_8h.html#a429515e9851601ec44c8ed421521ab6d',1,'c_runtime_api.h']]],
-  ['tvm_5fwarp_5factivemask_4721',['tvm_warp_activemask',['../namespacetvm_1_1tir_1_1builtin.html#afc4086a245ded9076de226ae802ced32',1,'tvm::tir::builtin']]],
-  ['tvm_5fwarp_5fshuffle_4722',['tvm_warp_shuffle',['../namespacetvm_1_1tir_1_1builtin.html#aca44a85c87273dfab1731421f4edd2bf',1,'tvm::tir::builtin']]],
-  ['tvm_5fwarp_5fshuffle_5fdown_4723',['tvm_warp_shuffle_down',['../namespacetvm_1_1tir_1_1builtin.html#af6d1c48570e10287683d58f22e4de98f',1,'tvm::tir::builtin']]],
-  ['tvm_5fwarp_5fshuffle_5fup_4724',['tvm_warp_shuffle_up',['../namespacetvm_1_1tir_1_1builtin.html#ae0470bd69bb03047aae4cb52e1e6e337',1,'tvm::tir::builtin']]],
-  ['tvm_5fweak_4725',['TVM_WEAK',['../c__runtime__api_8h.html#a4d6bcd569c115f7ae4ddc455b14ca395',1,'c_runtime_api.h']]],
-  ['tvm_5fworkspace_5ft_4726',['tvm_workspace_t',['../structtvm__workspace__t.html',1,'']]],
-  ['tvmaotexecutor_4727',['TVMAotExecutor',['../aot__executor_8h.html#a52f4678d3b012d3c1022b40ac4c0f7f5',1,'TVMAotExecutor():&#160;aot_executor.h'],['../structTVMAotExecutor.html',1,'TVMAotExecutor']]],
-  ['tvmaotexecutor_5fcreate_4728',['TVMAotExecutor_Create',['../aot__executor_8h.html#ab663a086fc2c4f6df166625a9ac83ee1',1,'aot_executor.h']]],
-  ['tvmaotexecutor_5fgetinputindex_4729',['TVMAotExecutor_GetInputIndex',['../aot__executor_8h.html#ad3b4f9b4d0b73d9c1b7e42d77076ffdb',1,'aot_executor.h']]],
-  ['tvmaotexecutor_5fgetinputname_4730',['TVMAotExecutor_GetInputName',['../aot__executor_8h.html#a92c15e55b7c94225d8849b2669a639fc',1,'aot_executor.h']]],
-  ['tvmaotexecutor_5fgetnuminputs_4731',['TVMAotExecutor_GetNumInputs',['../aot__executor_8h.html#a84924c70598933ebb941961f77cdd977',1,'aot_executor.h']]],
-  ['tvmaotexecutor_5fgetnumoutputs_4732',['TVMAotExecutor_GetNumOutputs',['../aot__executor_8h.html#a7f42e0f9479896def33b3427f9a97518',1,'aot_executor.h']]],
-  ['tvmaotexecutor_5frelease_4733',['TVMAotExecutor_Release',['../aot__executor_8h.html#a15512a380d3f9cbd9c24ad9289f1dfd6',1,'aot_executor.h']]],
-  ['tvmaotexecutor_5frun_4734',['TVMAotExecutor_Run',['../aot__executor_8h.html#aa576382ee317ceef3e1858d0a953df95',1,'aot_executor.h']]],
-  ['tvmaotexecutormodule_5fregister_4735',['TVMAotExecutorModule_Register',['../aot__executor__module_8h.html#a7367944cb3bd7078b1e8a1630d0343d3',1,'aot_executor_module.h']]],
-  ['tvmapisetlasterror_4736',['TVMAPISetLastError',['../c__runtime__api_8h.html#a477111f9accd70633dc5f2e7139b6cf4',1,'c_runtime_api.h']]],
-  ['tvmapisetlastpythonerror_4737',['TVMAPISetLastPythonError',['../c__runtime__api_8h.html#a5c4a7d6f88c0eaa5d67ca70df0991244',1,'c_runtime_api.h']]],
-  ['tvmargs_4738',['TVMArgs',['../classtvm_1_1runtime_1_1TVMArgs.html',1,'tvm::runtime::TVMArgs'],['../crt_2packed__func_8h.html#a7e6f4ecedf23b1d2bf1aaf360ae1a2e7',1,'TVMArgs():&#160;packed_func.h'],['../structTVMArgs.html',1,'TVMArgs'],['../classtvm_1_1runtime_1_1TVMArgs.html#a5d2aeac7387e436e6e19d7a6dfe91307',1,'tvm::runtime::TVMArgs::TVMArgs()'],['../classtvm_1_1BaseAttrsNode.html#a257561dad74174cbdc08f6725a45d8ac',1,'tvm::BaseAttrsNode::TVMArgs()']]],
-  ['tvmargs_5fasmodulehandle_4739',['TVMArgs_AsModuleHandle',['../crt_2packed__func_8h.html#ac9ff726610266833998a62d68488fc68',1,'packed_func.h']]],
-  ['tvmargs_5fcreate_4740',['TVMArgs_Create',['../crt_2packed__func_8h.html#af6ecccc431f7a06935e8dd1c57502b07',1,'packed_func.h']]],
-  ['tvmargssetter_4741',['TVMArgsSetter',['../classtvm_1_1runtime_1_1TVMArgsSetter.html',1,'tvm::runtime::TVMArgsSetter'],['../classtvm_1_1runtime_1_1NDArray.html#a35ae555d4becf356b16aeacd353d41ee',1,'tvm::runtime::NDArray::TVMArgsSetter()'],['../classtvm_1_1runtime_1_1ObjectRef.html#a35ae555d4becf356b16aeacd353d41ee',1,'tvm::runtime::ObjectRef::TVMArgsSetter()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a35ae555d4becf356b16aeacd353d41ee',1,'tvm::runtime::ObjectPtr::TVMArgsSetter()'],[' [...]
-  ['tvmargssetterapply_4742',['TVMArgsSetterApply',['../structtvm_1_1runtime_1_1TVMArgsSetterApply.html',1,'tvm::runtime']]],
-  ['tvmargtypecode_4743',['TVMArgTypeCode',['../c__runtime__api_8h.html#a190e81769e805cca153514137a66e793',1,'c_runtime_api.h']]],
-  ['tvmargvalue_4744',['TVMArgValue',['../classtvm_1_1runtime_1_1TVMArgValue.html',1,'tvm::runtime::TVMArgValue'],['../classtvm_1_1runtime_1_1TVMArgValue.html#a5fbd71750e5bbba6edc9094178af9276',1,'tvm::runtime::TVMArgValue::TVMArgValue(TVMValue value, int type_code)'],['../classtvm_1_1runtime_1_1TVMArgValue.html#a987b2fb283cea5484d4655e3f711c046',1,'tvm::runtime::TVMArgValue::TVMArgValue()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a7e8b2c6a4fde079ee813c425d2eb6b24',1,'tvm::runtime::Ob [...]
-  ['tvmarrayalloc_4745',['TVMArrayAlloc',['../c__runtime__api_8h.html#a014b89c1a3b17473d82cb3973eeedba7',1,'c_runtime_api.h']]],
-  ['tvmarraycopyfrombytes_4746',['TVMArrayCopyFromBytes',['../c__runtime__api_8h.html#a50e9b3dfdc1f4228bf52a26da14456a1',1,'c_runtime_api.h']]],
-  ['tvmarraycopyfromto_4747',['TVMArrayCopyFromTo',['../c__runtime__api_8h.html#a120628b5226af6deee4010c5a512f618',1,'c_runtime_api.h']]],
-  ['tvmarraycopytobytes_4748',['TVMArrayCopyToBytes',['../c__runtime__api_8h.html#ae246eaa00342c042f3f194605ad9bc7a',1,'c_runtime_api.h']]],
-  ['tvmarrayfree_4749',['TVMArrayFree',['../c__runtime__api_8h.html#a591e48e52098965e235657dab56bc096',1,'c_runtime_api.h']]],
-  ['tvmarrayfromdlpack_4750',['TVMArrayFromDLPack',['../c__runtime__api_8h.html#ad67b8f8766fce6b62c82a458e3c9b053',1,'c_runtime_api.h']]],
-  ['tvmarrayhandle_4751',['TVMArrayHandle',['../c__runtime__api_8h.html#a73ca58cb32f4a4adf71d274dc1e27be4',1,'c_runtime_api.h']]],
-  ['tvmarrayhandletoobjecthandle_4752',['TVMArrayHandleToObjectHandle',['../namespacetvm_1_1runtime.html#afdd7050eda88b079f0a962bd413a34ea',1,'tvm::runtime']]],
-  ['tvmarraytodlpack_4753',['TVMArrayToDLPack',['../c__runtime__api_8h.html#ae690840d1af9c7b0fe5b9b457456f60d',1,'c_runtime_api.h']]],
-  ['tvmbackendallocworkspace_4754',['TVMBackendAllocWorkspace',['../c__backend__api_8h.html#a07eaf7d1b748d99aa7715c7adbdea231',1,'c_backend_api.h']]],
-  ['tvmbackendfreeworkspace_4755',['TVMBackendFreeWorkspace',['../c__backend__api_8h.html#a4560d2cbefdae811371596672e455dfd',1,'c_backend_api.h']]],
-  ['tvmbackendgetfuncfromenv_4756',['TVMBackendGetFuncFromEnv',['../c__backend__api_8h.html#a56a654a9aeba2f1ccf3e10918dd88ec5',1,'c_backend_api.h']]],
-  ['tvmbackendpackedcfunc_4757',['TVMBackendPackedCFunc',['../c__backend__api_8h.html#a58f5af221f14f8e68bd57f83643b3cac',1,'c_backend_api.h']]],
-  ['tvmbackendparallelbarrier_4758',['TVMBackendParallelBarrier',['../c__backend__api_8h.html#a2d54b04a58a58eb9ff43e33c320e60a7',1,'c_backend_api.h']]],
-  ['tvmbackendparallellaunch_4759',['TVMBackendParallelLaunch',['../c__backend__api_8h.html#a6ff8662943d0f003d55d9046cd24daf8',1,'c_backend_api.h']]],
-  ['tvmbackendregisterenvcapi_4760',['TVMBackendRegisterEnvCAPI',['../c__backend__api_8h.html#a1a73615a9c1d736554b6b29912299922',1,'c_backend_api.h']]],
-  ['tvmbackendregistersystemlibsymbol_4761',['TVMBackendRegisterSystemLibSymbol',['../c__backend__api_8h.html#a0c57deb5acb9338ec778d91bd6e42191',1,'c_backend_api.h']]],
-  ['tvmbackendrunonce_4762',['TVMBackendRunOnce',['../c__backend__api_8h.html#ae70bd3ee026eb55b438ada05b08f0ce8',1,'c_backend_api.h']]],
-  ['tvmbytearray_4763',['TVMByteArray',['../structTVMByteArray.html',1,'']]],
-  ['tvmbytearrayfree_4764',['TVMByteArrayFree',['../c__runtime__api_8h.html#aa9f2237f2fdcb96a75e7f3c6fa4209aa',1,'c_runtime_api.h']]],
-  ['tvmcbargtoreturn_4765',['TVMCbArgToReturn',['../c__runtime__api_8h.html#a6fee438ab81fbf4235375d9f17f36d6f',1,'c_runtime_api.h']]],
-  ['tvmcfuncsetreturn_4766',['TVMCFuncSetReturn',['../c__runtime__api_8h.html#ad0c98ff469bd844546a71f61d2e164f3',1,'c_runtime_api.h']]],
-  ['tvmconstantinfo_4767',['TVMConstantInfo',['../structTVMConstantInfo.html',1,'']]],
-  ['tvmdeviceallocdataspace_4768',['TVMDeviceAllocDataSpace',['../c__runtime__api_8h.html#a06455d20ce57f3d3d13776ff6bdf0b61',1,'c_runtime_api.h']]],
-  ['tvmdeviceallocdataspacewithscope_4769',['TVMDeviceAllocDataSpaceWithScope',['../c__runtime__api_8h.html#a54212f093a2fc24a5fd7c3fecf29813a',1,'c_runtime_api.h']]],
-  ['tvmdevicecopydatafromto_4770',['TVMDeviceCopyDataFromTo',['../c__runtime__api_8h.html#aa588ee4bed71a4e7cb1f08ae8c46568f',1,'c_runtime_api.h']]],
-  ['tvmdeviceexttype_4771',['TVMDeviceExtType',['../c__runtime__api_8h.html#a57cbccb14c35a0e62dbc1b911188fcef',1,'c_runtime_api.h']]],
-  ['tvmdeviceexttype_5fend_4772',['TVMDeviceExtType_End',['../c__runtime__api_8h.html#a57cbccb14c35a0e62dbc1b911188fcefa474961a2b52fee7b33e529015162e884',1,'c_runtime_api.h']]],
-  ['tvmdevicefreedataspace_4773',['TVMDeviceFreeDataSpace',['../c__runtime__api_8h.html#a05c7535a79c99bddaf9e3aebde6013e6',1,'c_runtime_api.h']]],
-  ['tvmdlmanagedtensorcalldeleter_4774',['TVMDLManagedTensorCallDeleter',['../c__runtime__api_8h.html#a6483791fc218022e690b6e0d81a095b0',1,'c_runtime_api.h']]],
-  ['tvmextensionfuncdeclarer_4775',['TVMExtensionFuncDeclarer',['../c__runtime__api_8h.html#a3980ab80477e57fc6045c12ea8b9e48d',1,'c_runtime_api.h']]],
-  ['tvmfunccall_4776',['TVMFuncCall',['../c__runtime__api_8h.html#a8a01e20ffd5784465df0759c950154b5',1,'c_runtime_api.h']]],
-  ['tvmfunccreatefromcfunc_4777',['TVMFuncCreateFromCFunc',['../c__runtime__api_8h.html#adfd8d1f9e7229625d4acd7da3b10287c',1,'c_runtime_api.h']]],
-  ['tvmfuncfree_4778',['TVMFuncFree',['../c__runtime__api_8h.html#af420f0d74004615c03bb40270ad5d489',1,'c_runtime_api.h']]],
-  ['tvmfuncgetglobal_4779',['TVMFuncGetGlobal',['../c__runtime__api_8h.html#ad3bd42da244a0e32ac82d7428e01a010',1,'c_runtime_api.h']]],
-  ['tvmfunclistglobalnames_4780',['TVMFuncListGlobalNames',['../c__runtime__api_8h.html#a392740d028710fcd356b2b63ab90fd01',1,'c_runtime_api.h']]],
-  ['tvmfuncregisterglobal_4781',['TVMFuncRegisterGlobal',['../c__runtime__api_8h.html#a9642167d9a76bfe7e81174e8776d504b',1,'c_runtime_api.h']]],
-  ['tvmfuncregistry_4782',['TVMFuncRegistry',['../structTVMFuncRegistry.html',1,'TVMFuncRegistry'],['../func__registry_8h.html#a38e83c5fe99e0dfd2c131cc44cd74c18',1,'TVMFuncRegistry():&#160;func_registry.h']]],
-  ['tvmfuncregistry_5fget0thfunctionname_4783',['TVMFuncRegistry_Get0thFunctionName',['../func__registry_8h.html#ae7b93356e31f8ce196c3dc02e1e7aa4a',1,'func_registry.h']]],
-  ['tvmfuncregistry_5fgetbyindex_4784',['TVMFuncRegistry_GetByIndex',['../func__registry_8h.html#a7181f6969391c489ac4c76d4e5daa809',1,'func_registry.h']]],
-  ['tvmfuncregistry_5fgetnumfuncs_4785',['TVMFuncRegistry_GetNumFuncs',['../func__registry_8h.html#a5e18e8e0656f55f7c2677332856c9de3',1,'func_registry.h']]],
-  ['tvmfuncregistry_5flookup_4786',['TVMFuncRegistry_Lookup',['../func__registry_8h.html#a2533d67f0ce5fbcf4cd1ba3fba721e2b',1,'func_registry.h']]],
-  ['tvmfuncregistry_5fsetnumfuncs_4787',['TVMFuncRegistry_SetNumFuncs',['../func__registry_8h.html#a33ba627f9b0011b3a3e00ac5b253c0fd',1,'func_registry.h']]],
-  ['tvmfuncremoveglobal_4788',['TVMFuncRemoveGlobal',['../c__runtime__api_8h.html#ab98d6b66089da8b33b662ccdb243b26b',1,'c_runtime_api.h']]],
-  ['tvmfunctionhandle_4789',['TVMFunctionHandle',['../c__runtime__api_8h.html#acf57d257a6e0841d84ebbd2a339d183e',1,'c_runtime_api.h']]],
-  ['tvmgetlasterror_4790',['TVMGetLastError',['../c__runtime__api_8h.html#a775383bcd8c0237e36bdf0c9654d62c3',1,'c_runtime_api.h']]],
-  ['tvmgraphexecutor_4791',['TVMGraphExecutor',['../graph__executor_8h.html#aa07e21a523d91145595f95e9aadf5e61',1,'graph_executor.h']]],
-  ['tvmgraphexecutor_5fcreate_4792',['TVMGraphExecutor_Create',['../graph__executor_8h.html#ada04cf7114e79511f2575453d244ea48',1,'graph_executor.h']]],
-  ['tvmgraphexecutor_5fgetinputindex_4793',['TVMGraphExecutor_GetInputIndex',['../graph__executor_8h.html#ace4739ee4e9e6b20605e9c565065de1b',1,'graph_executor.h']]],
-  ['tvmgraphexecutor_5fgetnuminputs_4794',['TVMGraphExecutor_GetNumInputs',['../graph__executor_8h.html#a52f5b9fc4c62f7f239e76534ec20369e',1,'graph_executor.h']]],
-  ['tvmgraphexecutor_5fgetnumoutputs_4795',['TVMGraphExecutor_GetNumOutputs',['../graph__executor_8h.html#adae931df07cb504e7951d82722b3706d',1,'graph_executor.h']]],
-  ['tvmgraphexecutor_5fgetoutput_4796',['TVMGraphExecutor_GetOutput',['../graph__executor_8h.html#a81fb1497f82a1a942fcbfa8be29c1646',1,'graph_executor.h']]],
-  ['tvmgraphexecutor_5floadparams_4797',['TVMGraphExecutor_LoadParams',['../graph__executor_8h.html#a4ffb31d1bae06cc87a488955bc27f56d',1,'graph_executor.h']]],
-  ['tvmgraphexecutor_5frelease_4798',['TVMGraphExecutor_Release',['../graph__executor_8h.html#afc898800b81cecb2b6fd5f57a6ba153d',1,'graph_executor.h']]],
-  ['tvmgraphexecutor_5frun_4799',['TVMGraphExecutor_Run',['../graph__executor_8h.html#aef2d3b3f4c26ed751da9a60ca1ac082b',1,'graph_executor.h']]],
-  ['tvmgraphexecutor_5fsetinput_4800',['TVMGraphExecutor_SetInput',['../graph__executor_8h.html#a54b808f6646bc01a94e97bec002ffd0c',1,'graph_executor.h']]],
-  ['tvmgraphexecutorgraphattr_4801',['TVMGraphExecutorGraphAttr',['../graph__executor_8h.html#a0017621bbbb01622a3544117e8437395',1,'TVMGraphExecutorGraphAttr():&#160;graph_executor.h'],['../structTVMGraphExecutorGraphAttr.html',1,'TVMGraphExecutorGraphAttr']]],
-  ['tvmgraphexecutormodule_5fregister_4802',['TVMGraphExecutorModule_Register',['../graph__executor__module_8h.html#a174fff47eabdfcc1ecad5e7616020a35',1,'graph_executor_module.h']]],
-  ['tvminitializeruntime_4803',['TVMInitializeRuntime',['../crt_8h.html#aa5daf120863bdc94e142ebb127b65f38',1,'crt.h']]],
-  ['tvmmetadata_4804',['TVMMetadata',['../aot__executor_8h.html#a4599d4a62bfcf460b9682d8a715360c7',1,'TVMMetadata():&#160;aot_executor.h'],['../structTVMMetadata.html',1,'TVMMetadata']]],
-  ['tvmmodcreatefromcmodule_4805',['TVMModCreateFromCModule',['../runtime_2crt_2module_8h.html#a4c11ce8105182e14068bb9a427605a81',1,'module.h']]],
-  ['tvmmodfree_4806',['TVMModFree',['../c__runtime__api_8h.html#ac16764609b45ac911a5c9971e195e377',1,'c_runtime_api.h']]],
-  ['tvmmodgetfunction_4807',['TVMModGetFunction',['../c__runtime__api_8h.html#a5a19a18084c94396a673efa309405a6c',1,'c_runtime_api.h']]],
-  ['tvmmodimport_4808',['TVMModImport',['../c__runtime__api_8h.html#aaeb0d67f6d7e90e671dd5a53b1d6b81a',1,'c_runtime_api.h']]],
-  ['tvmmodloadfromfile_4809',['TVMModLoadFromFile',['../c__runtime__api_8h.html#a47f79bb2004573a8e36a175d1b73fc64',1,'c_runtime_api.h']]],
-  ['tvmmodule_4810',['TVMModule',['../runtime_2crt_2module_8h.html#abd47b4fef13cf3b4dd7f7b7ad45357cf',1,'TVMModule():&#160;module.h'],['../structTVMModule.html',1,'TVMModule']]],
-  ['tvmmodulehandle_4811',['TVMModuleHandle',['../c__runtime__api_8h.html#ac4b0321c489287dcf4637e15328298ce',1,'c_runtime_api.h']]],
-  ['tvmmovableargvalue_5f_4812',['TVMMovableArgValue_',['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html',1,'tvm::runtime::TVMMovableArgValue_'],['../classtvm_1_1runtime_1_1ObjectPtr.html#acd985550cba6cf8509122cbd996c1557',1,'tvm::runtime::ObjectPtr::TVMMovableArgValue_()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#acd985550cba6cf8509122cbd996c1557',1,'tvm::runtime::TVMPODValue_::TVMMovableArgValue_()'],['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html#a8eca9048535541f374a58 [...]
-  ['tvmmovableargvaluewithcontext_5f_4813',['TVMMovableArgValueWithContext_',['../classtvm_1_1runtime_1_1TVMMovableArgValueWithContext__.html',1,'tvm::runtime::TVMMovableArgValueWithContext_'],['../classtvm_1_1runtime_1_1TVMMovableArgValueWithContext__.html#adf0eff794c9dd05da7e61c03b03f6bc7',1,'tvm::runtime::TVMMovableArgValueWithContext_::TVMMovableArgValueWithContext_()']]],
-  ['tvmmutablefuncregistry_4814',['TVMMutableFuncRegistry',['../func__registry_8h.html#ad3e4a30d33cbb84e33d3665373f82df1',1,'TVMMutableFuncRegistry():&#160;func_registry.h'],['../structTVMMutableFuncRegistry.html',1,'TVMMutableFuncRegistry']]],
-  ['tvmmutablefuncregistry_5fcreate_4815',['TVMMutableFuncRegistry_Create',['../func__registry_8h.html#a305cf0e49c5aac2d4cc744bf6417adca',1,'func_registry.h']]],
-  ['tvmmutablefuncregistry_5fset_4816',['TVMMutableFuncRegistry_Set',['../func__registry_8h.html#a6a0639e41bbb0efbf4d5b0f8c6fd206d',1,'func_registry.h']]],
-  ['tvmobjectderivedfrom_4817',['TVMObjectDerivedFrom',['../c__runtime__api_8h.html#a1d193b0c247af874dad4b31afc8ee7d8',1,'c_runtime_api.h']]],
-  ['tvmobjectfree_4818',['TVMObjectFree',['../c__runtime__api_8h.html#a660b709e0d509be7909fc31e3690d3f9',1,'c_runtime_api.h']]],
-  ['tvmobjectgettypeindex_4819',['TVMObjectGetTypeIndex',['../c__runtime__api_8h.html#ab9ddee6cf53918337cd4ca68d8bfc8b1',1,'c_runtime_api.h']]],
-  ['tvmobjecthandle_4820',['TVMObjectHandle',['../c__runtime__api_8h.html#aff795d001e3761c75b2b8f422465d5a0',1,'c_runtime_api.h']]],
-  ['tvmobjectretain_4821',['TVMObjectRetain',['../c__runtime__api_8h.html#ae899a6a211b7913e92420a01b804db64',1,'c_runtime_api.h']]],
-  ['tvmobjecttypeindex2key_4822',['TVMObjectTypeIndex2Key',['../c__runtime__api_8h.html#ae867a91b746fbe91e289b0d2ab0446aa',1,'c_runtime_api.h']]],
-  ['tvmobjecttypekey2index_4823',['TVMObjectTypeKey2Index',['../c__runtime__api_8h.html#a77d84581b6d0d78c77d88139c6adbf81',1,'c_runtime_api.h']]],
-  ['tvmopparam_4824',['TVMOpParam',['../structTVMOpParam.html',1,'TVMOpParam'],['../graph__executor_8h.html#a79b221d404ca5d2989ec971e6385c8a7',1,'TVMOpParam():&#160;graph_executor.h']]],
-  ['tvmpackedcfunc_4825',['TVMPackedCFunc',['../c__runtime__api_8h.html#a07954203342f2787acf988c4c351d9c3',1,'c_runtime_api.h']]],
-  ['tvmpackedcfuncfinalizer_4826',['TVMPackedCFuncFinalizer',['../c__runtime__api_8h.html#ac035a1354f2e5d7f8613af73cc735742',1,'c_runtime_api.h']]],
-  ['tvmpackedfunc_4827',['TVMPackedFunc',['../structTVMPackedFunc.html',1,'TVMPackedFunc'],['../crt_2packed__func_8h.html#a4c9d8154e6e2fda7435a53626ae96923',1,'TVMPackedFunc():&#160;packed_func.h']]],
-  ['tvmpackedfunc_5fcall_4828',['TVMPackedFunc_Call',['../crt_2packed__func_8h.html#acb0ddf3dca19377b657d6829b34dd57b',1,'packed_func.h']]],
-  ['tvmpackedfunc_5finitglobalfunc_4829',['TVMPackedFunc_InitGlobalFunc',['../crt_2packed__func_8h.html#ad19fd169419265a9122c4f257b4093d8',1,'packed_func.h']]],
-  ['tvmpackedfunc_5finitmodulefunc_4830',['TVMPackedFunc_InitModuleFunc',['../crt_2packed__func_8h.html#a65f35e3b3f521d105d7aa71347135efd',1,'packed_func.h']]],
-  ['tvmpackedfunc_5fsetargs_4831',['TVMPackedFunc_SetArgs',['../crt_2packed__func_8h.html#af145c1c723cc05360ab7b66bcf6f435e',1,'packed_func.h']]],
-  ['tvmparallelgroupenv_4832',['TVMParallelGroupEnv',['../structTVMParallelGroupEnv.html',1,'']]],
-  ['tvmplatformabort_4833',['TVMPlatformAbort',['../platform_8h.html#a47980e4ea2182978f94ca87cc15ca0c8',1,'platform.h']]],
-  ['tvmplatformaftermeasurement_4834',['TVMPlatformAfterMeasurement',['../platform_8h.html#a20e1528da0256cd8be25c91ea76a5f7b',1,'platform.h']]],
-  ['tvmplatformbeforemeasurement_4835',['TVMPlatformBeforeMeasurement',['../platform_8h.html#afd94474b764a8526d53fd6230ce4b475',1,'platform.h']]],
-  ['tvmplatformformatmessage_4836',['TVMPlatformFormatMessage',['../platform_8h.html#a6dfecb024ace62e724817f90b6407285',1,'platform.h']]],
-  ['tvmplatformgeneraterandom_4837',['TVMPlatformGenerateRandom',['../platform_8h.html#a92f8606f31e9b8ccaf02024bd4dbeb0e',1,'platform.h']]],
-  ['tvmplatforminitialize_4838',['TVMPlatformInitialize',['../platform_8h.html#a77204d888fc5e68c74b8bdf1e91bada2',1,'platform.h']]],
-  ['tvmplatformmemoryallocate_4839',['TVMPlatformMemoryAllocate',['../platform_8h.html#a133959eaf3ec68c568bdb71fcb94ddcb',1,'platform.h']]],
-  ['tvmplatformmemoryfree_4840',['TVMPlatformMemoryFree',['../platform_8h.html#a890631daad5b14e3c9fd34b94e41eaed',1,'platform.h']]],
-  ['tvmplatformtimerstart_4841',['TVMPlatformTimerStart',['../platform_8h.html#a1accf5aa6941b2f22e69b2f1870a1be6',1,'platform.h']]],
-  ['tvmplatformtimerstop_4842',['TVMPlatformTimerStop',['../platform_8h.html#a02a9ab0c374c97f35295008eff51d034',1,'platform.h']]],
-  ['tvmpodvalue_5f_4843',['TVMPODValue_',['../classtvm_1_1runtime_1_1TVMPODValue__.html',1,'tvm::runtime::TVMPODValue_'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a9a9fd94393cfd7d4b6e6029348e3e19a',1,'tvm::runtime::ObjectPtr::TVMPODValue_()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#a2f46b59a6c1d5eb4575d7f583b5f1a0c',1,'tvm::runtime::TVMPODValue_::TVMPODValue_()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#afe1837bdbafe8341c2031c5cebcf6e74',1,'tvm::runtime::TVMPODValue_::TVMPO [...]
-  ['tvmretvalue_4844',['TVMRetValue',['../classtvm_1_1runtime_1_1TVMRetValue.html',1,'tvm::runtime::TVMRetValue'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#ae0ea8b4adc6dab8c74086bceaef6b3e1',1,'tvm::runtime::TVMPODValue_::TVMRetValue()'],['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#ae0ea8b4adc6dab8c74086bceaef6b3e1',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;::TVMRetValue()'],['../classtvm_1_1runtime_1_1ObjectRef.html#ae0ea8b4adc6dab8c74086bceaef6 [...]
-  ['tvmretvaluehandle_4845',['TVMRetValueHandle',['../c__runtime__api_8h.html#a6cd1076476117e74454f67931c2da1d4',1,'c_runtime_api.h']]],
-  ['tvmscriptprinter_4846',['TVMScriptPrinter',['../classtvm_1_1TVMScriptPrinter.html',1,'tvm']]],
-  ['tvmsetstream_4847',['TVMSetStream',['../c__runtime__api_8h.html#ac414ed248ddb1bfb561685bba3de5e89',1,'c_runtime_api.h']]],
-  ['tvmstreamcreate_4848',['TVMStreamCreate',['../c__runtime__api_8h.html#a71d0c47cca9068b17cf0a1f4c58d8ca9',1,'c_runtime_api.h']]],
-  ['tvmstreamfree_4849',['TVMStreamFree',['../c__runtime__api_8h.html#a9dd0545318bfee4e2f4ccce7d7008e01',1,'c_runtime_api.h']]],
-  ['tvmstreamhandle_4850',['TVMStreamHandle',['../c__runtime__api_8h.html#ab1d5f6b7945e1410602a8a057fda5757',1,'c_runtime_api.h']]],
-  ['tvmstreamstreamsynchronize_4851',['TVMStreamStreamSynchronize',['../c__runtime__api_8h.html#aa91f776ed41a36790409f78725f81419',1,'c_runtime_api.h']]],
-  ['tvmstructfieldkind_4852',['TVMStructFieldKind',['../namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1c',1,'tvm::tir::builtin']]],
-  ['tvmsynchronize_4853',['TVMSynchronize',['../c__runtime__api_8h.html#a386d7efd946bc750af8bf109f93f6ce2',1,'c_runtime_api.h']]],
-  ['tvmsystemlibentrypoint_4854',['TVMSystemLibEntryPoint',['../runtime_2crt_2module_8h.html#a32fdb5a1df93075a184a36d2549833fa',1,'module.h']]],
-  ['tvmtensorinfo_4855',['TVMTensorInfo',['../structTVMTensorInfo.html',1,'']]],
-  ['tvmvalue_4856',['TVMValue',['../unionTVMValue.html',1,'']]],
-  ['type_4857',['Type',['../classtvm_1_1Type.html',1,'tvm']]],
-  ['type_4858',['type',['../classtvm_1_1runtime_1_1vm_1_1Allocator.html#a7cfb6d4ea480436801276fe2e7660eb2',1,'tvm::runtime::vm::Allocator::type()'],['../classtvm_1_1relay_1_1TypePatternNode.html#aab5faa2a58862707b8dc18b59cccac19',1,'tvm::relay::TypePatternNode::type()'],['../structtvm_1_1detail_1_1is__specialized_3_01Container_3_01Args_8_8_8_01_4_00_01Container_01_4.html#a8dee3a1604498d6bc64948f1c0d19dc2',1,'tvm::detail::is_specialized&lt; Container&lt; Args... &gt;, Container &gt;::type [...]
-  ['type_4859',['Type',['../namespacetvm_1_1relay.html#a661d95f170bca230773914caeef3fe52',1,'tvm::relay']]],
-  ['type_2eh_4860',['type.h',['../ir_2type_8h.html',1,'(Global Namespace)'],['../relay_2type_8h.html',1,'(Global Namespace)']]],
-  ['type_5fannotation_4861',['type_annotation',['../classtvm_1_1relay_1_1VarNode.html#a79a56885eaf2a9326ff490164a5c1f0e',1,'tvm::relay::VarNode::type_annotation()'],['../classtvm_1_1tir_1_1VarNode.html#a7a84c6d137a79e9a5b9c4b6183f18353',1,'tvm::tir::VarNode::type_annotation()']]],
-  ['type_5fargs_4862',['type_args',['../classtvm_1_1relay_1_1CallNode.html#ad23d97a6ae1cc1bea903d4c714f811d6',1,'tvm::relay::CallNode']]],
-  ['type_5fas_4863',['type_as',['../classtvm_1_1RelayExprNode.html#aa6a49e1f6c40d4e24452114232bd1152',1,'tvm::RelayExprNode']]],
-  ['type_5fcode_4864',['type_code',['../classtvm_1_1runtime_1_1TVMPODValue__.html#aefca71073146f4be36d6a4a0de33d6e0',1,'tvm::runtime::TVMPODValue_']]],
-  ['type_5fcode_5f_4865',['type_code_',['../classtvm_1_1runtime_1_1TVMPODValue__.html#aed3e983e990c5c4ed3ac09b95055297e',1,'tvm::runtime::TVMPODValue_']]],
-  ['type_5fcodes_4866',['type_codes',['../classtvm_1_1runtime_1_1TVMArgs.html#abdb0f929087b14eb28d75c7a047cef9e',1,'tvm::runtime::TVMArgs']]],
-  ['type_5fconstraints_4867',['type_constraints',['../classtvm_1_1FuncTypeNode.html#a6dd6e8ed07019caa87943ec47d065499',1,'tvm::FuncTypeNode']]],
-  ['type_5fdefinitions_4868',['type_definitions',['../classtvm_1_1IRModuleNode.html#a547dbf39f1ecf5324153e10f7ca724c3',1,'tvm::IRModuleNode']]],
-  ['type_5ffunctor_2eh_4869',['type_functor.h',['../type__functor_8h.html',1,'']]],
-  ['type_5ffunctor_5fdefault_4870',['TYPE_FUNCTOR_DEFAULT',['../type__functor_8h.html#ad222ca7b5f1a4a8c626d1f1e4b53cdb0',1,'type_functor.h']]],
-  ['type_5findex_4871',['type_index',['../classtvm_1_1runtime_1_1Object.html#a481f01923b14e1851ebd38506e9c66ea',1,'tvm::runtime::Object']]],
-  ['type_5findex_5f_4872',['type_index_',['../classtvm_1_1runtime_1_1Object.html#a4bfc2586cb55f2af47728187b3256255',1,'tvm::runtime::Object']]],
-  ['type_5finfo_4873',['type_info',['../classtvm_1_1AttrFieldInfoNode.html#aa0e740cddb317c3a74a12302ab531b9b',1,'tvm::AttrFieldInfoNode']]],
-  ['type_5fkey_4874',['type_key',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a00f035566a720dbac56f5dea042fb0ec',1,'tvm::runtime::vm::VirtualMachine::type_key()'],['../classtvm_1_1runtime_1_1vm_1_1Executable.html#aef4f3663ee57fcbdf2d775f4dbbe79dc',1,'tvm::runtime::vm::Executable::type_key()'],['../classtvm_1_1runtime_1_1ModuleNode.html#a5834549180e1c668e7126562cea9a557',1,'tvm::runtime::ModuleNode::type_key()'],['../classtvm_1_1runtime_1_1metadata_1_1MetadataArrayNode.html#a452a [...]
-  ['type_5fkey_5f_4875',['type_key_',['../structtvm_1_1detail_1_1AttrInitEntry.html#a573a25648ca0d3d8fe28f6c7614248bf',1,'tvm::detail::AttrInitEntry']]],
-  ['type_5fparams_4876',['type_params',['../classtvm_1_1FuncTypeNode.html#acc1bb373c5851f6cec016a874f304d05',1,'tvm::FuncTypeNode::type_params()'],['../classtvm_1_1relay_1_1FunctionNode.html#a558ecb3ab57111b1a0f347764054d5e1',1,'tvm::relay::FunctionNode::type_params()']]],
-  ['type_5frelation_2eh_4877',['type_relation.h',['../type__relation_8h.html',1,'']]],
-  ['type_5fvars_4878',['type_vars',['../classtvm_1_1TypeDataNode.html#a350a23efc88be1def5b93d27ac6fa88b',1,'tvm::TypeDataNode']]],
-  ['typeannotation_4879',['TypeAnnotation',['../namespacetvm_1_1tir.html#abf355a4fdeb063b1adb4946cad5fca68',1,'tvm::tir']]],
-  ['typecall_4880',['TypeCall',['../classtvm_1_1TypeCall.html',1,'tvm::TypeCall'],['../namespacetvm_1_1relay.html#ab406a37acee11226e3e2e119beee439e',1,'tvm::relay::TypeCall()'],['../classtvm_1_1TypeCall.html#a54ca5beebff2a428241cf7564b496e02',1,'tvm::TypeCall::TypeCall()']]],
-  ['typecallnode_4881',['TypeCallNode',['../classtvm_1_1TypeCallNode.html',1,'tvm::TypeCallNode'],['../namespacetvm_1_1relay.html#af4dccabc877b8fd7db47cb73fb93883e',1,'tvm::relay::TypeCallNode()']]],
-  ['typecode_4882',['TypeCode',['../classtvm_1_1runtime_1_1DataType.html#a3c9ce1627be2550f656cd37b6c698c7d',1,'tvm::runtime::DataType']]],
-  ['typeconstraint_4883',['TypeConstraint',['../classtvm_1_1TypeConstraint.html',1,'tvm::TypeConstraint'],['../namespacetvm_1_1relay.html#a64e2e93fe04716efd8334ab4e39c92ce',1,'tvm::relay::TypeConstraint()']]],
-  ['typeconstraintnode_4884',['TypeConstraintNode',['../classtvm_1_1TypeConstraintNode.html',1,'tvm::TypeConstraintNode'],['../namespacetvm_1_1relay.html#a565e027589acded20ca38df22be098dc',1,'tvm::relay::TypeConstraintNode()']]],
-  ['typedata_4885',['TypeData',['../classtvm_1_1TypeData.html',1,'tvm::TypeData'],['../classtvm_1_1TypeData.html#a0a98fd1095812379d2bd1337db1511c1',1,'tvm::TypeData::TypeData()'],['../namespacetvm_1_1relay.html#a6e725a1cb4c83346e261eac7dc7292a8',1,'tvm::relay::TypeData()']]],
-  ['typedatanode_4886',['TypeDataNode',['../classtvm_1_1TypeDataNode.html',1,'tvm::TypeDataNode'],['../namespacetvm_1_1relay.html#a2b8c0d5920eaca88569907e92df6066f',1,'tvm::relay::TypeDataNode()']]],
-  ['typedenvfunc_4887',['TypedEnvFunc',['../classtvm_1_1TypedEnvFunc.html',1,'tvm::TypedEnvFunc&lt; FType &gt;'],['../classtvm_1_1TypedEnvFunc_3_01R_07Args_8_8_8_08_4.html#a0d72a6fa7263821c14bcd37837998ed9',1,'tvm::TypedEnvFunc&lt; R(Args...)&gt;::TypedEnvFunc(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1TypedEnvFunc_3_01R_07Args_8_8_8_08_4.html#a41a6b9014d0feeb628ca7edfd0d26f0b',1,'tvm::TypedEnvFunc&lt; R(Args...)&gt;::TypedEnvFunc()']]],
-  ['typedenvfunc_3c_20bool_28const_20array_3c_20type_20_3e_20_26args_2c_20int_20num_5finputs_2c_20const_20attrs_20_26attrs_2c_20const_20typereporter_20_26reporter_29_3e_4888',['TypedEnvFunc&lt; bool(const Array&lt; Type &gt; &amp;args, int num_inputs, const Attrs &amp;attrs, const TypeReporter &amp;reporter)&gt;',['../classtvm_1_1TypedEnvFunc.html',1,'tvm']]],
-  ['typedenvfunc_3c_20r_28args_2e_2e_2e_29_3e_4889',['TypedEnvFunc&lt; R(Args...)&gt;',['../classtvm_1_1TypedEnvFunc_3_01R_07Args_8_8_8_08_4.html',1,'tvm']]],
-  ['typedpackedfunc_4890',['TypedPackedFunc',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime::TypedPackedFunc&lt; FType &gt;'],['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#a4abadc6786dd14a3aed6e2b5b342d1d6',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;::TypedPackedFunc()'],['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#a36ca0d1876544463ee848766e70e5e96',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;::Typed [...]
-  ['typedpackedfunc_3c_20array_3c_20objectref_20_3e_28objectref_20json_5fattrs_29_3e_4891',['TypedPackedFunc&lt; Array&lt; ObjectRef &gt;(ObjectRef json_attrs)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20array_3c_20objectref_20_3e_28schedule_20sch_2c_20const_20array_3c_20objectref_20_3e_20_26inputs_2c_20const_20array_3c_20objectref_20_3e_20_26attrs_2c_20const_20optional_3c_20objectref_20_3e_20_26decision_29_3e_4892',['TypedPackedFunc&lt; Array&lt; ObjectRef &gt;(Schedule sch, const Array&lt; ObjectRef &gt; &amp;inputs, const Array&lt; ObjectRef &gt; &amp;attrs, const Optional&lt; ObjectRef &gt; &amp;decision)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html' [...]
-  ['typedpackedfunc_3c_20array_3c_20runnerresult_20_3e_28int_29_3e_4893',['TypedPackedFunc&lt; Array&lt; RunnerResult &gt;(int)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20array_3c_20te_3a_3atensor_20_3e_28const_20attrs_20_26attrs_2c_20const_20array_3c_20te_3a_3atensor_20_3e_20_26inputs_2c_20const_20type_20_26out_5ftype_29_3e_4894',['TypedPackedFunc&lt; Array&lt; te::Tensor &gt;(const Attrs &amp;attrs, const Array&lt; te::Tensor &gt; &amp;inputs, const Type &amp;out_type)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20array_3c_20tuningrecord_20_3e_28_29_3e_4895',['TypedPackedFunc&lt; Array&lt; TuningRecord &gt;()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20array_3c_20tuningrecord_20_3e_28const_20workload_20_26_2c_20int_29_3e_4896',['TypedPackedFunc&lt; Array&lt; TuningRecord &gt;(const Workload &amp;, int)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20array_3c_20tvm_3a_3aruntime_3a_3andarray_20_3e_28const_20tunecontext_20_26context_2c_20const_20array_3c_20measurecandidate_20_3e_20_26candidates_29_3e_4897',['TypedPackedFunc&lt; Array&lt; tvm::runtime::NDArray &gt;(const TuneContext &amp;context, const Array&lt; MeasureCandidate &gt; &amp;candidates)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20bool_28_29_3e_4898',['TypedPackedFunc&lt; bool()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20bool_28const_20irmodule_20_26_29_3e_4899',['TypedPackedFunc&lt; bool(const IRModule &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20int_28_29_3e_4900',['TypedPackedFunc&lt; int()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20int64_5ft_28_29_3e_4901',['TypedPackedFunc&lt; int64_t()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20objectref_28array_3c_20objectref_20_3e_20attrs_29_3e_4902',['TypedPackedFunc&lt; ObjectRef(Array&lt; ObjectRef &gt; attrs)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20optional_3c_20irmodule_20_3e_28const_20irmodule_20_26_2c_20const_20target_20_26_2c_20const_20string_20_26_29_3e_4903',['TypedPackedFunc&lt; Optional&lt; IRModule &gt;(const IRModule &amp;, const Target &amp;, const String &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20optional_3c_20tir_3a_3aschedule_20_3e_28const_20irmodule_20_26_2c_20const_20target_20_26_2c_20const_20string_20_26_29_3e_4904',['TypedPackedFunc&lt; Optional&lt; tir::Schedule &gt;(const IRModule &amp;, const Target &amp;, const String &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20optional_3c_20tuningrecord_20_3e_28const_20irmodule_20_26_2c_20const_20target_20_26_2c_20const_20string_20_26_29_3e_4905',['TypedPackedFunc&lt; Optional&lt; TuningRecord &gt;(const IRModule &amp;, const Target &amp;, const String &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20r_28args_2e_2e_2e_29_3e_4906',['TypedPackedFunc&lt; R(Args...)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20runnerresult_28_29_3e_4907',['TypedPackedFunc&lt; RunnerResult()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20string_28_29_3e_4908',['TypedPackedFunc&lt; String()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20string_28const_20array_3c_20objectref_20_3e_20_26inputs_2c_20const_20array_3c_20objectref_20_3e_20_26attrs_2c_20const_20optional_3c_20objectref_20_3e_20_26decision_2c_20const_20array_3c_20string_20_3e_20_26outputs_29_3e_4909',['TypedPackedFunc&lt; String(const Array&lt; ObjectRef &gt; &amp;inputs, const Array&lt; ObjectRef &gt; &amp;attrs, const Optional&lt; ObjectRef &gt; &amp;decision, const Array&lt; String &gt; &amp;outputs)&gt;',['../classtvm_1_1runtime_1_1T [...]
-  ['typedpackedfunc_3c_20targetjson_28targetjson_29_3e_4910',['TypedPackedFunc&lt; TargetJSON(TargetJSON)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20te_3a_3aschedule_28const_20attrs_20_26attrs_2c_20const_20array_3c_20te_3a_3atensor_20_3e_20_26outs_2c_20const_20target_20_26target_29_3e_4911',['TypedPackedFunc&lt; te::Schedule(const Attrs &amp;attrs, const Array&lt; te::Tensor &gt; &amp;outs, const Target &amp;target)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20tvm_3a_3atir_3a_3astmt_28array_3c_20tvm_3a_3atir_3a_3avar_20_3e_20loop_5fvars_2c_20array_3c_20range_20_3e_20loop_5fextents_2c_20tvm_3a_3atir_3a_3astmt_20loop_5fbody_29_3e_4912',['TypedPackedFunc&lt; tvm::tir::Stmt(Array&lt; tvm::tir::Var &gt; loop_vars, Array&lt; Range &gt; loop_extents, tvm::tir::Stmt loop_body)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28_29_3e_4913',['TypedPackedFunc&lt; void()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28array_3c_20tunecontext_20_3e_20tasks_2c_20array_3c_20floatimm_20_3e_20task_5fweights_2c_20int_20max_5ftrials_5fglobal_2c_20int_20max_5ftrials_5fper_5ftask_2c_20int_20num_5ftrials_5fper_5fiter_2c_20builder_20builder_2c_20runner_20runner_2c_20array_3c_20measurecallback_20_3e_20measure_5fcallbacks_2c_20optional_3c_20database_20_3e_20database_2c_20optional_3c_20costmodel_20_3e_20cost_5fmodel_29_3e_4914',['TypedPackedFunc&lt; void(Array&lt; TuneContext &gt; tas [...]
-  ['typedpackedfunc_3c_20void_28const_20taskscheduler_20_26task_5fscheduler_2c_20int_20task_5fid_2c_20const_20array_3c_20measurecandidate_20_3e_20_26measure_5fcandidates_2c_20const_20array_3c_20builderresult_20_3e_20_26builds_2c_20const_20array_3c_20runnerresult_20_3e_20_26results_29_3e_4915',['TypedPackedFunc&lt; void(const TaskScheduler &amp;task_scheduler, int task_id, const Array&lt; MeasureCandidate &gt; &amp;measure_candidates, const Array&lt; BuilderResult &gt; &amp;builds, const  [...]
-  ['typedpackedfunc_3c_20void_28const_20tunecontext_20_26_2c_20const_20array_3c_20measurecandidate_20_3e_20_26_2c_20const_20array_3c_20runnerresult_20_3e_20_26_29_3e_4916',['TypedPackedFunc&lt; void(const TuneContext &amp;, const Array&lt; MeasureCandidate &gt; &amp;, const Array&lt; RunnerResult &gt; &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28const_20tunecontext_20_26_2c_20const_20array_3c_20measurecandidate_20_3e_20_26_2c_20void_20_2ap_5faddr_29_3e_4917',['TypedPackedFunc&lt; void(const TuneContext &amp;, const Array&lt; MeasureCandidate &gt; &amp;, void *p_addr)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28const_20tuningrecord_20_26_29_3e_4918',['TypedPackedFunc&lt; void(const TuningRecord &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28size_5ft_2c_20void_20_2a_29_3e_4919',['TypedPackedFunc&lt; void(size_t, void *)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28string_29_3e_4920',['TypedPackedFunc&lt; void(String)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28tvm_3a_3adiagnosticcontext_20ctx_29_3e_4921',['TypedPackedFunc&lt; void(tvm::DiagnosticContext ctx)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20workload_28const_20irmodule_20_26_29_3e_4922',['TypedPackedFunc&lt; Workload(const IRModule &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typeequal_4923',['TypeEqual',['../namespacetvm_1_1runtime.html#aee574cee6755b0c2f1aa664c6967686f',1,'tvm::runtime']]],
-  ['typefunctor_4924',['TypeFunctor',['../classtvm_1_1TypeFunctor.html',1,'tvm']]],
-  ['typefunctor_3c_20r_28const_20type_20_26n_2c_20args_2e_2e_2e_29_3e_4925',['TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm']]],
-  ['typefunctor_3c_20type_28const_20type_20_26n_29_3e_4926',['TypeFunctor&lt; Type(const Type &amp;n)&gt;',['../classtvm_1_1TypeFunctor.html',1,'tvm']]],
-  ['typefunctor_3c_20void_28const_20type_20_26n_29_3e_4927',['TypeFunctor&lt; void(const Type &amp;n)&gt;',['../classtvm_1_1TypeFunctor.html',1,'tvm']]],
-  ['typeindex_4928',['TypeIndex',['../structtvm_1_1runtime_1_1TypeIndex.html',1,'tvm::runtime']]],
-  ['typeindex2key_4929',['TypeIndex2Key',['../classtvm_1_1runtime_1_1Object.html#a817ba6c23b7ee1821c48a75edf255a30',1,'tvm::runtime::Object']]],
-  ['typeindex2keyhash_4930',['TypeIndex2KeyHash',['../classtvm_1_1runtime_1_1Object.html#a6ee32a02dd44257da105fbbe5d9c8622',1,'tvm::runtime::Object']]],
-  ['typekey2index_4931',['TypeKey2Index',['../classtvm_1_1runtime_1_1Object.html#a6841f97e06e6614dd7e82c6dd41b818a',1,'tvm::runtime::Object']]],
-  ['typekind_4932',['TypeKind',['../namespacetvm.html#acd267f8d7f55da6ac681239831963279',1,'tvm']]],
-  ['typekind2string_4933',['TypeKind2String',['../namespacetvm.html#ab839d9f872e97b31e30c0059a3eb9a92',1,'tvm']]],
-  ['typematch_4934',['TypeMatch',['../namespacetvm_1_1runtime.html#adbabb7cfb79bfb6d802f65a9803e4eb6',1,'tvm::runtime']]],
-  ['typemutator_4935',['TypeMutator',['../classtvm_1_1TypeMutator.html',1,'tvm']]],
-  ['typename_4936',['TypeName',['../structtvm_1_1detail_1_1TypeName.html',1,'tvm::detail::TypeName&lt; T &gt;'],['../structtvm_1_1runtime_1_1ObjectTypeChecker.html#a3498eb545b33e1c23a417fa58ec51dd6',1,'tvm::runtime::ObjectTypeChecker::TypeName()'],['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Array_3_01T_01_4_01_4.html#aab22b555cfe16d040c204527c73a3287',1,'tvm::runtime::ObjectTypeChecker&lt; Array&lt; T &gt; &gt;::TypeName()'],['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Map_3 [...]
-  ['typename_3c_20bool_20_3e_4937',['TypeName&lt; bool &gt;',['../structtvm_1_1detail_1_1TypeName_3_01bool_01_4.html',1,'tvm::detail']]],
-  ['typename_3c_20datatype_20_3e_4938',['TypeName&lt; DataType &gt;',['../structtvm_1_1detail_1_1TypeName_3_01DataType_01_4.html',1,'tvm::detail']]],
-  ['typename_3c_20double_20_3e_4939',['TypeName&lt; double &gt;',['../structtvm_1_1detail_1_1TypeName_3_01double_01_4.html',1,'tvm::detail']]],
-  ['typename_3c_20int_20_3e_4940',['TypeName&lt; int &gt;',['../structtvm_1_1detail_1_1TypeName_3_01int_01_4.html',1,'tvm::detail']]],
-  ['typename_3c_20int64_5ft_20_3e_4941',['TypeName&lt; int64_t &gt;',['../structtvm_1_1detail_1_1TypeName_3_01int64__t_01_4.html',1,'tvm::detail']]],
-  ['typename_3c_20uint64_5ft_20_3e_4942',['TypeName&lt; uint64_t &gt;',['../structtvm_1_1detail_1_1TypeName_3_01uint64__t_01_4.html',1,'tvm::detail']]],
-  ['typename_3c_20void_20_2a_20_3e_4943',['TypeName&lt; void * &gt;',['../structtvm_1_1detail_1_1TypeName_3_01void_01_5_01_4.html',1,'tvm::detail']]],
-  ['typenode_4944',['TypeNode',['../classtvm_1_1TypeNode.html',1,'tvm::TypeNode'],['../namespacetvm_1_1relay.html#af6995f0c848d0d5cc4124a38f43aaf12',1,'tvm::relay::TypeNode()']]],
-  ['typepattern_4945',['TypePattern',['../classtvm_1_1relay_1_1TypePattern.html',1,'tvm::relay::TypePattern'],['../classtvm_1_1relay_1_1TypePattern.html#a3364c4747a676e0e33e8127fe17632ea',1,'tvm::relay::TypePattern::TypePattern()']]],
-  ['typepatternnode_4946',['TypePatternNode',['../classtvm_1_1relay_1_1TypePatternNode.html',1,'tvm::relay']]],
-  ['typerelation_4947',['TypeRelation',['../classtvm_1_1TypeRelation.html',1,'tvm::TypeRelation'],['../namespacetvm_1_1relay.html#adab0d56fd993df71df3068dea0cd5456',1,'tvm::relay::TypeRelation()'],['../classtvm_1_1TypeRelation.html#ac26b1897eab8197ed26606ab81b7403b',1,'tvm::TypeRelation::TypeRelation()']]],
-  ['typerelationfn_4948',['TypeRelationFn',['../namespacetvm_1_1relay.html#af253112249297a6cfb2a9b94cde0f235',1,'tvm::relay::TypeRelationFn()'],['../namespacetvm.html#a72dcba4493adfcd8908663898ece3514',1,'tvm::TypeRelationFn()']]],
-  ['typerelationnode_4949',['TypeRelationNode',['../classtvm_1_1TypeRelationNode.html',1,'tvm::TypeRelationNode'],['../namespacetvm_1_1relay.html#a89d812eaf13520b04e89a9414c51748c',1,'tvm::relay::TypeRelationNode()']]],
-  ['typereporter_4950',['TypeReporter',['../classtvm_1_1TypeReporter.html',1,'tvm::TypeReporter'],['../classtvm_1_1TypeReporter.html#a8e7e05a07f9f7ad9bea91f27afac9051',1,'tvm::TypeReporter::TypeReporter()'],['../classtvm_1_1TypeReporter.html#aa3dc38a3c84d324d0b3a9f358460a091',1,'tvm::TypeReporter::TypeReporter(ObjectPtr&lt; Object &gt; n)'],['../namespacetvm_1_1relay.html#afa9be9990c2006832cbfc02ebb35e527',1,'tvm::relay::TypeReporter()']]],
-  ['typereporternode_4951',['TypeReporterNode',['../classtvm_1_1TypeReporterNode.html',1,'tvm::TypeReporterNode'],['../namespacetvm_1_1relay.html#aaa3b5700ea20db399f539cec1abcb12b',1,'tvm::relay::TypeReporterNode()']]],
-  ['types_4952',['types',['../classtvm_1_1TupleAffineTypeNode.html#a30c834b7e1cb64467e6587ac16ebb187',1,'tvm::TupleAffineTypeNode']]],
-  ['typevar_4953',['TypeVar',['../classtvm_1_1TypeVar.html',1,'tvm::TypeVar'],['../classtvm_1_1TypeVar.html#adf5ef8e89d162735519b5d125c89e3e3',1,'tvm::TypeVar::TypeVar()'],['../namespacetvm_1_1relay.html#a63321eb51080f3f57dd7563a3ca0bfa6',1,'tvm::relay::TypeVar()']]],
-  ['typevarnode_4954',['TypeVarNode',['../classtvm_1_1TypeVarNode.html',1,'tvm::TypeVarNode'],['../namespacetvm_1_1relay.html#ab2cbe31b81ebd71ea8028a8404a7c9f3',1,'tvm::relay::TypeVarNode()']]],
-  ['typevisitor_4955',['TypeVisitor',['../classtvm_1_1TypeVisitor.html',1,'tvm']]],
-  ['usmp_4956',['usmp',['../namespacetvm_1_1tir_1_1usmp.html',1,'tvm::tir']]],
-  ['vision_4957',['vision',['../namespacetvm_1_1topi_1_1vision.html',1,'tvm::topi']]],
-  ['vm_4958',['vm',['../namespacetvm_1_1runtime_1_1vm.html',1,'tvm::runtime']]],
-  ['x86_4959',['x86',['../namespacetvm_1_1topi_1_1x86.html',1,'tvm::topi']]]
+  ['algo_4358',['algo',['../namespacetvm_1_1tir_1_1usmp_1_1algo.html',1,'tvm::tir::usmp']]],
+  ['arith_4359',['arith',['../namespacetvm_1_1arith.html',1,'tvm']]],
+  ['attr_4360',['attr',['../namespacetvm_1_1attr.html',1,'tvm::attr'],['../namespacetvm_1_1relay_1_1attr.html',1,'tvm::relay::attr'],['../namespacetvm_1_1tir_1_1attr.html',1,'tvm::tir::attr']]],
+  ['attrregistry_4361',['AttrRegistry',['../classtvm_1_1relay_1_1ExecutorRegEntry.html#a419335016b1f81770b99d7c075b4b535',1,'tvm::relay::ExecutorRegEntry::AttrRegistry()'],['../classtvm_1_1relay_1_1RuntimeRegEntry.html#a419335016b1f81770b99d7c075b4b535',1,'tvm::relay::RuntimeRegEntry::AttrRegistry()']]],
+  ['auto_5fscheduler_4362',['auto_scheduler',['../namespacetvm_1_1auto__scheduler.html',1,'tvm']]],
+  ['axis_4363',['axis',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html',1,'tvm::script::ir_builder::tir']]],
+  ['builtin_4364',['builtin',['../namespacetvm_1_1tir_1_1builtin.html',1,'tvm::tir']]],
+  ['codegen_4365',['codegen',['../namespacetvm_1_1codegen.html',1,'tvm']]],
+  ['contrib_4366',['contrib',['../namespacetvm_1_1runtime_1_1contrib.html',1,'tvm::runtime::contrib'],['../namespacetvm_1_1topi_1_1contrib.html',1,'tvm::topi::contrib']]],
+  ['cuda_4367',['cuda',['../namespacetvm_1_1topi_1_1cuda.html',1,'tvm::topi']]],
+  ['detail_4368',['detail',['../namespacetvm_1_1detail.html',1,'tvm']]],
+  ['details_4369',['details',['../namespacetvm_1_1script_1_1ir__builder_1_1details.html',1,'tvm::script::ir_builder']]],
+  ['generic_4370',['generic',['../namespacetvm_1_1topi_1_1generic.html',1,'tvm::topi']]],
+  ['instrument_4371',['instrument',['../namespacetvm_1_1instrument.html',1,'tvm']]],
+  ['ir_4372',['ir',['../namespacetvm_1_1script_1_1ir__builder_1_1ir.html',1,'tvm::script::ir_builder']]],
+  ['ir_5fbuilder_4373',['ir_builder',['../namespacetvm_1_1script_1_1ir__builder.html',1,'tvm::script']]],
+  ['legalize_4374',['legalize',['../namespacetvm_1_1relay_1_1legalize.html',1,'tvm::relay']]],
+  ['meta_5fschedule_4375',['meta_schedule',['../namespacetvm_1_1meta__schedule.html',1,'tvm']]],
+  ['metadata_4376',['metadata',['../namespacetvm_1_1runtime_1_1metadata.html',1,'tvm::runtime']]],
+  ['micro_5frpc_4377',['micro_rpc',['../namespacetvm_1_1runtime_1_1micro__rpc.html',1,'tvm::runtime']]],
+  ['nn_4378',['nn',['../namespacetvm_1_1topi_1_1nn.html',1,'tvm::topi']]],
+  ['objectequal_4379',['ObjectEqual',['../classtvm_1_1runtime_1_1String.html#ac0e10a4b0b377bd0783ee2b8feba4d2f',1,'tvm::runtime::String']]],
+  ['printer_4380',['printer',['../namespacetvm_1_1script_1_1printer.html',1,'tvm::script']]],
+  ['profiling_4381',['profiling',['../namespacetvm_1_1runtime_1_1profiling.html',1,'tvm::runtime']]],
+  ['qnn_4382',['qnn',['../namespacetvm_1_1relay_1_1qnn.html',1,'tvm::relay']]],
+  ['relay_4383',['relay',['../namespacetvm_1_1relay.html',1,'tvm']]],
+  ['rocm_4384',['rocm',['../namespacetvm_1_1topi_1_1rocm.html',1,'tvm::topi']]],
+  ['runtime_4385',['runtime',['../namespacetvm_1_1runtime.html',1,'tvm']]],
+  ['script_4386',['script',['../namespacetvm_1_1script.html',1,'tvm']]],
+  ['support_4387',['support',['../namespacetvm_1_1support.html',1,'tvm']]],
+  ['symbol_4388',['symbol',['../namespacetvm_1_1runtime_1_1symbol.html',1,'tvm::runtime']]],
+  ['table_4389',['Table',['../classtvm_1_1meta__schedule_1_1ProfilerNode.html#a7a1eb9c0d74739a7a4a124beebf7efcb',1,'tvm::meta_schedule::ProfilerNode']]],
+  ['tag_4390',['tag',['../classtvm_1_1TargetNode.html#a3046260cd16b7b134fa99705b41d2aee',1,'tvm::TargetNode::tag()'],['../classtvm_1_1runtime_1_1ADT.html#ae27124341aa924bfd3e8e0606fb16f1c',1,'tvm::runtime::ADT::tag()'],['../classtvm_1_1ConstructorNode.html#a396a76459b3a46eefdfcd952c69c5ee4',1,'tvm::ConstructorNode::tag()'],['../structtvm_1_1relay_1_1ConstructorValueObj.html#a4367c76ceee867b91ddd790931a1a362',1,'tvm::relay::ConstructorValueObj::tag()'],['../classtvm_1_1runtime_1_1ADTObj.h [...]
+  ['tag_2eh_4391',['tag.h',['../tag_8h.html',1,'']]],
+  ['tags_2eh_4392',['tags.h',['../tags_8h.html',1,'']]],
+  ['take_4393',['take',['../namespacetvm_1_1topi.html#aa1468cc7d8f47a44800fa38d6377ae67',1,'tvm::topi::take(const Tensor &amp;a, const Tensor &amp;indices, int batch_dims, std::string mode=&quot;clip&quot;, std::string name=&quot;T_take&quot;, std::string tag=kInjective)'],['../namespacetvm_1_1topi.html#a6d0f94f4b1cdb78ea65969d820a3903f',1,'tvm::topi::take(const Tensor &amp;a, const Tensor &amp;indices, int batch_dims, int axis, std::string mode=&quot;clip&quot;, std::string name=&quot;T [...]
+  ['takeattrs_4394',['TakeAttrs',['../structtvm_1_1relay_1_1TakeAttrs.html',1,'tvm::relay']]],
+  ['tan_4395',['tan',['../namespacetvm.html#af99838098788d40c80b402f29b3c2e8c',1,'tvm::tan()'],['../namespacetvm_1_1topi.html#a13b757fe52775f43a58d91c0a1330f97',1,'tvm::topi::tan(const Tensor &amp;x, std::string name=&quot;T_&quot; &quot;tan&quot;, std::string tag=kElementWise)']]],
+  ['tanh_4396',['tanh',['../namespacetvm_1_1topi.html#aec153e599d33c78a7592007cde1c02cb',1,'tvm::topi::tanh()'],['../namespacetvm.html#a12c5457301d8a2c03a2ba1163edd7cee',1,'tvm::tanh()']]],
+  ['target_4397',['target',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#acf4407e0c8dced81b05b34ec0426c933',1,'tvm::auto_scheduler::SearchTaskNode::target()'],['../classtvm_1_1meta__schedule_1_1BuilderInputNode.html#afc001f3e427cfc8c05236b615cfd2868',1,'tvm::meta_schedule::BuilderInputNode::target()'],['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html#a45a380cfa2edfd63056fb1a00f9aac35',1,'tvm::meta_schedule::TuningRecordNode::target()'],['../classtvm_1_1meta__schedule_1_1 [...]
+  ['target_4398',['Target',['../classtvm_1_1Target.html#a58a5a1e042e265fe5a6973045226fe1a',1,'tvm::Target::Target(std::nullptr_t)'],['../classtvm_1_1Target.html#a77f3d7cc97d8cfd7172af58b4e784d89',1,'tvm::Target::Target(const String &amp;tag_or_config_or_target_str)'],['../classtvm_1_1Target.html#ab825b350cf478bf948d807b6fdf636a0',1,'tvm::Target::Target(const Map&lt; String, ObjectRef &gt; &amp;config)'],['../classtvm_1_1Target.html#a1abb29217d8e394f0b88e28178c70bb0',1,'tvm::Target::Targe [...]
+  ['target_2eh_4399',['target.h',['../target_8h.html',1,'']]],
+  ['target_5fburst_5fbytes_4400',['target_burst_bytes',['../structtvm_1_1PoolInfoPropertiesNode.html#aa1efe29e920f5b003894a2ae3304da17',1,'tvm::PoolInfoPropertiesNode::target_burst_bytes()'],['../structtvm_1_1PoolInfoNode.html#a747c03e3eafc83b053637b735244c6d7',1,'tvm::PoolInfoNode::target_burst_bytes()']]],
+  ['target_5fdata_5ftype_5f_4401',['target_data_type_',['../classtvm_1_1tir_1_1IndexDataTypeNormalizer.html#ac3433736903957d9062b6ebd62cc3a81',1,'tvm::tir::IndexDataTypeNormalizer']]],
+  ['target_5fhost_4402',['target_host',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#afe27bf8cb82dc8a1b6fffb9e5a3e6c20',1,'tvm::auto_scheduler::SearchTaskNode']]],
+  ['target_5finfo_2eh_4403',['target_info.h',['../target__info_8h.html',1,'']]],
+  ['target_5fiter_5fid_4404',['target_iter_id',['../classtvm_1_1auto__scheduler_1_1ComputeAtStepNode.html#a5691967a42b989a54cf8c40c1627988e',1,'tvm::auto_scheduler::ComputeAtStepNode']]],
+  ['target_5fkind_2eh_4405',['target_kind.h',['../target__kind_8h.html',1,'']]],
+  ['target_5fparser_4406',['target_parser',['../classtvm_1_1TargetKindNode.html#a713525ca63d41aacadec9db01d28f59f',1,'tvm::TargetKindNode']]],
+  ['target_5fshape_4407',['target_shape',['../structtvm_1_1relay_1_1AffineGridAttrs.html#a3e8a722c28015e4fa002da324fc6d5b3',1,'tvm::relay::AffineGridAttrs']]],
+  ['target_5fstage_5fid_4408',['target_stage_id',['../classtvm_1_1auto__scheduler_1_1ComputeAtStepNode.html#ad3c69aebb4b821c8e975ce0c58dc8bbb',1,'tvm::auto_scheduler::ComputeAtStepNode']]],
+  ['targetfeatures_4409',['TargetFeatures',['../namespacetvm.html#ace51b98f2d1eed6026fff207a3065749',1,'tvm']]],
+  ['targetinternal_4410',['TargetInternal',['../classtvm_1_1TargetKind.html#a7924ccb2fdea6074cca1978c062fb034',1,'tvm::TargetKind::TargetInternal()'],['../classtvm_1_1TargetKindNode.html#a7924ccb2fdea6074cca1978c062fb034',1,'tvm::TargetKindNode::TargetInternal()'],['../classtvm_1_1Target.html#a7924ccb2fdea6074cca1978c062fb034',1,'tvm::Target::TargetInternal()'],['../classtvm_1_1TargetNode.html#a7924ccb2fdea6074cca1978c062fb034',1,'tvm::TargetNode::TargetInternal()']]],
+  ['targetjson_4411',['TargetJSON',['../namespacetvm.html#ad27a76489f3ede07b5d3f0dd3f97d93c',1,'tvm']]],
+  ['targetkind_4412',['TargetKind',['../classtvm_1_1TargetKind.html#ae811f35863758fb8d1340b14df5cd5fb',1,'tvm::TargetKind::TargetKind()'],['../classtvm_1_1TargetKindRegEntry.html#a75150485a300a03a22d9edad8619cc25',1,'tvm::TargetKindRegEntry::TargetKind()'],['../classtvm_1_1TargetKind.html',1,'tvm::TargetKind']]],
+  ['targetkindattrmap_4413',['TargetKindAttrMap',['../classtvm_1_1TargetKindAttrMap.html#abfd817be636e60822a33429ba30056bd',1,'tvm::TargetKindAttrMap::TargetKindAttrMap()'],['../classtvm_1_1TargetKindAttrMap.html',1,'tvm::TargetKindAttrMap&lt; ValueType &gt;']]],
+  ['targetkindnode_4414',['TargetKindNode',['../classtvm_1_1TargetKindNode.html',1,'tvm']]],
+  ['targetkindregentry_4415',['TargetKindRegEntry',['../classtvm_1_1TargetKindNode.html#a737fff60e8ac6c7549b2f44097fffb48',1,'tvm::TargetKindNode::TargetKindRegEntry()'],['../classtvm_1_1TargetKind.html#a737fff60e8ac6c7549b2f44097fffb48',1,'tvm::TargetKind::TargetKindRegEntry()'],['../classtvm_1_1TargetKindRegEntry.html',1,'tvm::TargetKindRegEntry']]],
+  ['targetnode_4416',['TargetNode',['../classtvm_1_1TargetNode.html',1,'tvm']]],
+  ['targets_4417',['targets',['../structtvm_1_1PoolInfoNode.html#a45f1c1873709d0f38d2e9098b7e979cb',1,'tvm::PoolInfoNode']]],
+  ['targettag_4418',['TargetTag',['../classtvm_1_1TargetTagRegEntry.html#a3674b5e98ceed5b98827394a3bf5d452',1,'tvm::TargetTagRegEntry::TargetTag()'],['../classtvm_1_1TargetTag.html',1,'tvm::TargetTag']]],
+  ['targettagnode_4419',['TargetTagNode',['../classtvm_1_1TargetTagNode.html',1,'tvm']]],
+  ['targettagregentry_4420',['TargetTagRegEntry',['../classtvm_1_1TargetTag.html#a512632a5c1441a191697b6d42860e757',1,'tvm::TargetTag::TargetTagRegEntry()'],['../classtvm_1_1TargetTagNode.html#a512632a5c1441a191697b6d42860e757',1,'tvm::TargetTagNode::TargetTagRegEntry()'],['../classtvm_1_1TargetTagRegEntry.html',1,'tvm::TargetTagRegEntry']]],
+  ['task_4421',['task',['../classtvm_1_1auto__scheduler_1_1MeasureInputNode.html#a317754c1f83425e8e8e7ee5fa2746da4',1,'tvm::auto_scheduler::MeasureInputNode']]],
+  ['task_5finput_5fnames_4422',['task_input_names',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#a910e7713ef04a1e5e125ed195968202e',1,'tvm::auto_scheduler::SearchTaskNode']]],
+  ['task_5fname_4423',['task_name',['../classtvm_1_1meta__schedule_1_1ExtractedTaskNode.html#a92f0cdb010a27536d1c96a8a3f0d0237',1,'tvm::meta_schedule::ExtractedTaskNode::task_name()'],['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#a5cd36a027a0a4b1840bf3884948c6298',1,'tvm::meta_schedule::TuneContextNode::task_name()']]],
+  ['task_5fscheduler_2eh_4424',['task_scheduler.h',['../task__scheduler_8h.html',1,'']]],
+  ['task_5fweight_4425',['task_weight',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html#a9f02491bea4ee36a64fdddee48c03891',1,'tvm::meta_schedule::TaskRecordNode']]],
+  ['taskrecord_4426',['TaskRecord',['../classtvm_1_1meta__schedule_1_1TaskRecord.html#a4acf50c4e2fcad8746243cfd7125d4c5',1,'tvm::meta_schedule::TaskRecord::TaskRecord()'],['../classtvm_1_1meta__schedule_1_1TaskRecord.html',1,'tvm::meta_schedule::TaskRecord']]],
+  ['taskrecordnode_4427',['TaskRecordNode',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html',1,'tvm::meta_schedule']]],
+  ['tasks_5f_4428',['tasks_',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#a6fd355e770730f154d98d07d6555dc6f',1,'tvm::meta_schedule::TaskSchedulerNode']]],
+  ['taskscheduler_4429',['TaskScheduler',['../classtvm_1_1meta__schedule_1_1TaskScheduler.html',1,'tvm::meta_schedule']]],
+  ['taskschedulernode_4430',['TaskSchedulerNode',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html',1,'tvm::meta_schedule']]],
+  ['tcalleffectkind_4431',['TCallEffectKind',['../namespacetvm_1_1tir.html#a651e82a046f157a7d286b0985b3edb84',1,'tvm::tir']]],
+  ['tcodes_4432',['tcodes',['../structTVMArgs.html#a029da77fa4c55b57f3953291072c7bda',1,'TVMArgs']]],
+  ['te_4433',['te',['../namespacetvm_1_1te.html',1,'tvm']]],
+  ['tempexpr_4434',['TempExpr',['../classtvm_1_1relay_1_1TempExpr.html',1,'tvm::relay']]],
+  ['tempexprnode_4435',['TempExprNode',['../classtvm_1_1relay_1_1TempExprNode.html',1,'tvm::relay']]],
+  ['tensor_4436',['tensor',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a22de469ea5521ba12e14f1e8181bae56',1,'tvm::runtime::vm::Instruction']]],
+  ['tensor_4437',['Tensor',['../classtvm_1_1te_1_1Tensor.html#afc8d8e74d1c840359661b39514d6fecf',1,'tvm::te::Tensor::Tensor()'],['../classtvm_1_1te_1_1Tensor.html',1,'tvm::te::Tensor']]],
+  ['tensor_2eh_4438',['tensor.h',['../tensor_8h.html',1,'']]],
+  ['tensor_5fintrin_4439',['tensor_intrin',['../classtvm_1_1te_1_1IterVarAttrNode.html#a6a0d96bbebfd716f851b2ad01738cb3f',1,'tvm::te::IterVarAttrNode']]],
+  ['tensor_5fintrin_2eh_4440',['tensor_intrin.h',['../tensor__intrin_8h.html',1,'']]],
+  ['tensor_5ftype_4441',['tensor_type',['../classtvm_1_1relay_1_1ConstantNode.html#aba3a8fe009d2b14308d6f9e2768e4e71',1,'tvm::relay::ConstantNode']]],
+  ['tensor_5ftype_2eh_4442',['tensor_type.h',['../tensor__type_8h.html',1,'']]],
+  ['tensor_5futils_2eh_4443',['tensor_utils.h',['../tensor__utils_8h.html',1,'']]],
+  ['tensoraffinetype_4444',['TensorAffineType',['../classtvm_1_1TensorAffineType.html#a4928323cbc7280eada697209f444a982',1,'tvm::TensorAffineType::TensorAffineType()'],['../classtvm_1_1TensorAffineType.html',1,'tvm::TensorAffineType']]],
+  ['tensoraffinetypenode_4445',['TensorAffineTypeNode',['../classtvm_1_1TensorAffineTypeNode.html',1,'tvm']]],
+  ['tensorcomputeop_4446',['TensorComputeOp',['../classtvm_1_1te_1_1TensorComputeOp.html#ab75792cce8f5dfd9de03534475f317c0',1,'tvm::te::TensorComputeOp::TensorComputeOp()'],['../classtvm_1_1te_1_1TensorComputeOp.html',1,'tvm::te::TensorComputeOp']]],
+  ['tensorcomputeopnode_4447',['TensorComputeOpNode',['../classtvm_1_1te_1_1TensorComputeOpNode.html#a6448f522f6326aaed2d4137376c9fc78',1,'tvm::te::TensorComputeOpNode::TensorComputeOpNode()'],['../classtvm_1_1te_1_1TensorComputeOpNode.html',1,'tvm::te::TensorComputeOpNode']]],
+  ['tensordom_4448',['TensorDom',['../structtvm_1_1te_1_1TensorDom.html#a1c1c057115d9dbeec11ff717bf18430b',1,'tvm::te::TensorDom::TensorDom()'],['../structtvm_1_1te_1_1TensorDom.html',1,'tvm::te::TensorDom']]],
+  ['tensordot_4449',['tensordot',['../namespacetvm_1_1topi.html#ac1a0190228326bbe8b98622c9696285e',1,'tvm::topi::tensordot(const Tensor &amp;A, const tvm::te::Tensor &amp;B, Array&lt; PrimExpr &gt; A_axes, Array&lt; PrimExpr &gt; B_axes, std::string name=&quot;T_tensordot&quot;, std::string tag=kMatMul)'],['../namespacetvm_1_1topi.html#abf2712c8265393c0582c9c7d5ae22da1',1,'tvm::topi::tensordot(const Tensor &amp;A, const tvm::te::Tensor &amp;B, int axes=2, std::string name=&quot;T_tensord [...]
+  ['tensorinfo_4450',['TensorInfo',['../classtvm_1_1meta__schedule_1_1TensorInfo.html#a4e465d00677302c2b6727f9caa248ca3',1,'tvm::meta_schedule::TensorInfo::TensorInfo()'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfo.html#a5150502c6ab08c7878538188939958d1',1,'tvm::runtime::metadata::TensorInfo::TensorInfo()'],['../classtvm_1_1meta__schedule_1_1TensorInfo.html',1,'tvm::meta_schedule::TensorInfo'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfo.html',1,'tvm::runtime::metadata::Tens [...]
+  ['tensorinfonode_4451',['TensorInfoNode',['../classtvm_1_1runtime_1_1metadata_1_1TensorInfoNode.html#a515ed589d62fb49976baabcaaffd106a',1,'tvm::runtime::metadata::TensorInfoNode::TensorInfoNode()'],['../classtvm_1_1meta__schedule_1_1TensorInfoNode.html',1,'tvm::meta_schedule::TensorInfoNode'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfoNode.html',1,'tvm::runtime::metadata::TensorInfoNode']]],
+  ['tensorintrin_4452',['TensorIntrin',['../classtvm_1_1tir_1_1TensorIntrin.html#af5a94c7b098b56056e02eaf187e6871c',1,'tvm::tir::TensorIntrin::TensorIntrin()'],['../classtvm_1_1te_1_1TensorIntrin.html#a4ff4237911227bf80b3076906dc3b7ea',1,'tvm::te::TensorIntrin::TensorIntrin()'],['../classtvm_1_1te_1_1TensorIntrin.html',1,'tvm::te::TensorIntrin'],['../classtvm_1_1tir_1_1TensorIntrin.html',1,'tvm::tir::TensorIntrin']]],
+  ['tensorintrincall_4453',['TensorIntrinCall',['../classtvm_1_1te_1_1TensorIntrinCall.html#a91c10074ce6babeba78fe72a0aab4b52',1,'tvm::te::TensorIntrinCall::TensorIntrinCall()'],['../classtvm_1_1te_1_1TensorIntrinCall.html',1,'tvm::te::TensorIntrinCall']]],
+  ['tensorintrincallnode_4454',['TensorIntrinCallNode',['../classtvm_1_1te_1_1TensorIntrinCallNode.html',1,'tvm::te']]],
+  ['tensorintrinnode_4455',['TensorIntrinNode',['../classtvm_1_1te_1_1TensorIntrinNode.html#ad59e7f2b881fc798a8c64fd3959f929c',1,'tvm::te::TensorIntrinNode::TensorIntrinNode()'],['../classtvm_1_1te_1_1TensorIntrinNode.html',1,'tvm::te::TensorIntrinNode'],['../classtvm_1_1tir_1_1TensorIntrinNode.html',1,'tvm::tir::TensorIntrinNode']]],
+  ['tensorize_4456',['Tensorize',['../classtvm_1_1tir_1_1ScheduleNode.html#afa67abdb51145a49d42cd1464429d928',1,'tvm::tir::ScheduleNode::Tensorize(const BlockRV &amp;block_rv, const String &amp;intrin, bool preserve_unit_iters=true)=0'],['../classtvm_1_1tir_1_1ScheduleNode.html#a66983e2dde6aeb18b443616398fff8bf',1,'tvm::tir::ScheduleNode::Tensorize(const LoopRV &amp;loop_rv, const String &amp;intrin, bool preserve_unit_iters=true)=0']]],
+  ['tensorize_4457',['tensorize',['../classtvm_1_1te_1_1Stage.html#ab5fe485e1d730c36b096c060b8d2ef9d',1,'tvm::te::Stage']]],
+  ['tensornode_4458',['TensorNode',['../classtvm_1_1te_1_1TensorNode.html#a153569448cb1bf9d2924d35639c3b8b8',1,'tvm::te::TensorNode::TensorNode()'],['../classtvm_1_1te_1_1TensorNode.html',1,'tvm::te::TensorNode']]],
+  ['tensors_4459',['tensors',['../classtvm_1_1auto__scheduler_1_1ComputeDAGNode.html#afc71b9ecc0d6b82a5c2ab3250f01514b',1,'tvm::auto_scheduler::ComputeDAGNode::tensors()'],['../classtvm_1_1te_1_1TensorIntrinCallNode.html#a92b543750ea55b9cfd6852139e2ddbd6',1,'tvm::te::TensorIntrinCallNode::tensors()']]],
+  ['tensortype_4460',['TensorType',['../namespacetvm_1_1relay.html#a52c13723bba53f4953dfd10c34d480f8',1,'tvm::relay::TensorType()'],['../classtvm_1_1TensorType.html#ade4460e9b02b42757a83808dec478b87',1,'tvm::TensorType::TensorType()'],['../classtvm_1_1TensorType.html',1,'tvm::TensorType']]],
+  ['tensortypenode_4461',['TensorTypeNode',['../namespacetvm_1_1relay.html#acfeff91bc774a278a2e9f6103a04387d',1,'tvm::relay::TensorTypeNode()'],['../classtvm_1_1TensorTypeNode.html',1,'tvm::TensorTypeNode']]],
+  ['terminalrenderer_4462',['TerminalRenderer',['../namespacetvm.html#a69a0e3f559d3a3b98d42701117d93ed0',1,'tvm']]],
+  ['terminatesession_4463',['TerminateSession',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a4816ac92f5e3a35e5c9c7bf8a8d8f35a',1,'tvm::runtime::micro_rpc::Session']]],
+  ['terminatetask_4464',['TerminateTask',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#a00bbe38e31855fd4534c08bfb4edadc7',1,'tvm::meta_schedule::TaskSchedulerNode']]],
+  ['test_4465',['test',['../classtvm_1_1script_1_1printer_1_1AssertDocNode.html#ac721eddf39251116e55dae1715f04635',1,'tvm::script::printer::AssertDocNode::test()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#adebc0e955069bdaa543df3a908351532',1,'tvm::runtime::vm::Instruction::test()']]],
+  ['texture2d_5fload_4466',['texture2d_load',['../namespacetvm_1_1tir_1_1builtin.html#ae52feb3e7828262a1b8a31062642ae36',1,'tvm::tir::builtin']]],
+  ['texture2d_5fstore_4467',['texture2d_store',['../namespacetvm_1_1tir_1_1builtin.html#a6ea87b06a1eb1050b7503ef6cc1bab34',1,'tvm::tir::builtin']]],
+  ['textureflatten_4468',['TextureFlatten',['../namespacetvm_1_1tir_1_1transform.html#ab19311e5b5ae82ad85ec3fdd7ea4556e',1,'tvm::tir::transform']]],
+  ['tglobalsymbol_4469',['TGlobalSymbol',['../namespacetvm_1_1tir.html#a0a81e48fe15eb305b96806e55e01a8c1',1,'tvm::tir']]],
+  ['thashcode_4470',['THashCode',['../classtvm_1_1meta__schedule_1_1WorkloadNode.html#aad19a5cb8e2c623e355ca5e2a98c4c18',1,'tvm::meta_schedule::WorkloadNode::THashCode()'],['../classtvm_1_1meta__schedule_1_1Workload.html#a561f6589a2577bd7fa502284d4d22445',1,'tvm::meta_schedule::Workload::THashCode()']]],
+  ['then_4471',['Then',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a289367554cd7d7de1d6c5b3496d1f453',1,'tvm::script::ir_builder::tir']]],
+  ['then_5fbranch_4472',['then_branch',['../classtvm_1_1script_1_1printer_1_1IfDocNode.html#a9b27f10e02e7a3ed7b7e549cd013f01d',1,'tvm::script::printer::IfDocNode']]],
+  ['then_5fcase_4473',['then_case',['../classtvm_1_1tir_1_1IfThenElseNode.html#ae7245cad13c62b608897f8c7ba70b6f6',1,'tvm::tir::IfThenElseNode']]],
+  ['then_5fstmts_4474',['then_stmts',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1IfFrameNode.html#a6e9c33bfd81abcb7e56caa8f30f16a45',1,'tvm::script::ir_builder::tir::IfFrameNode']]],
+  ['thenframe_4475',['ThenFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ThenFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['thenframenode_4476',['ThenFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ThenFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['thread_5faxis_4477',['thread_axis',['../namespacetvm_1_1te.html#aacca360b75a7db1eb79785bff8c6e205',1,'tvm::te']]],
+  ['thread_5fbind_2eh_4478',['thread_bind.h',['../thread__bind_8h.html',1,'']]],
+  ['thread_5fbinding_4479',['thread_binding',['../classtvm_1_1tir_1_1ForNode.html#a0defab036f2eb9d431f549b8522050c8',1,'tvm::tir::ForNode']]],
+  ['thread_5fextent_4480',['thread_extent',['../namespacetvm_1_1tir_1_1attr.html#a399eed804ecc482a607f0f65f07b78dd',1,'tvm::tir::attr']]],
+  ['thread_5ftag_4481',['thread_tag',['../classtvm_1_1tir_1_1IterVarNode.html#a2addeb80da895ace5d42423cc959f862',1,'tvm::tir::IterVarNode']]],
+  ['threadbinding_4482',['ThreadBinding',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a77dbf4a5b04d1c68ef5aae7c546a9a39',1,'tvm::script::ir_builder::tir']]],
+  ['threadgroup_4483',['ThreadGroup',['../classtvm_1_1runtime_1_1threading_1_1ThreadGroup.html#ac5d6f6fc93271e3627201be7cfcde287',1,'tvm::runtime::threading::ThreadGroup::ThreadGroup()'],['../classtvm_1_1runtime_1_1threading_1_1ThreadGroup.html',1,'tvm::runtime::threading::ThreadGroup']]],
+  ['threading_4484',['threading',['../namespacetvm_1_1runtime_1_1threading.html',1,'tvm::runtime']]],
+  ['threading_5fbackend_2eh_4485',['threading_backend.h',['../threading__backend_8h.html',1,'']]],
+  ['threadsync_4486',['ThreadSync',['../namespacetvm_1_1tir_1_1transform.html#a0010cbc20ee308819046d77ad58b62cf',1,'tvm::tir::transform']]],
+  ['threefrygenerateattrs_4487',['ThreefryGenerateAttrs',['../structtvm_1_1relay_1_1ThreefryGenerateAttrs.html',1,'tvm::relay']]],
+  ['threshold_4488',['threshold',['../structtvm_1_1relay_1_1ProposalAttrs.html#ae8473a10238c2e4f21875b7e40cbdc10',1,'tvm::relay::ProposalAttrs::threshold()'],['../structtvm_1_1relay_1_1MultiBoxTransformLocAttrs.html#aad37e7285068b8ea93b27caaad5409d2',1,'tvm::relay::MultiBoxTransformLocAttrs::threshold()']]],
+  ['tile_4489',['tile',['../namespacetvm_1_1topi.html#a46fb3ad8c3324ee094fb356ebc07245f',1,'tvm::topi::tile()'],['../classtvm_1_1te_1_1Stage.html#a7a42ba3166c506fcacf596ac13553b67',1,'tvm::te::Stage::tile()']]],
+  ['tile_5fcols_4490',['tile_cols',['../structtvm_1_1relay_1_1ConvGemmWeightTransformAttrs.html#a0f0514e508ccf44050c577f6b6959c3f',1,'tvm::relay::ConvGemmWeightTransformAttrs']]],
+  ['tile_5frows_4491',['tile_rows',['../structtvm_1_1relay_1_1ConvGemmWeightTransformAttrs.html#ae4937a44ca013ca6a91a86794ef9fb17',1,'tvm::relay::ConvGemmWeightTransformAttrs']]],
+  ['tile_5fsize_4492',['tile_size',['../structtvm_1_1relay_1_1Conv2DWinogradAttrs.html#a3d89aa2ad84ad78f147b5bf068f89f62',1,'tvm::relay::Conv2DWinogradAttrs::tile_size()'],['../structtvm_1_1relay_1_1Conv3DWinogradAttrs.html#a67f940d2505ef19f2d8b4fd4cb1fd6d7',1,'tvm::relay::Conv3DWinogradAttrs::tile_size()'],['../structtvm_1_1relay_1_1ConvWinogradWeightTransformAttrs.html#a53c9fee4031f509f6cd8bf148843690f',1,'tvm::relay::ConvWinogradWeightTransformAttrs::tile_size()']]],
+  ['tileattrs_4493',['TileAttrs',['../structtvm_1_1relay_1_1TileAttrs.html',1,'tvm::relay']]],
+  ['time_5fcost_4494',['time_cost',['../classtvm_1_1auto__scheduler_1_1BuildResultNode.html#a7ed923852fb8afd025326a2579ed8423',1,'tvm::auto_scheduler::BuildResultNode']]],
+  ['timedscope_4495',['TimedScope',['../classtvm_1_1meta__schedule_1_1Profiler.html#a1d1dec276ea195395fc88dc0a140e6e5',1,'tvm::meta_schedule::Profiler']]],
+  ['timeout_4496',['timeout',['../classtvm_1_1auto__scheduler_1_1ProgramBuilderNode.html#a4a4966dcb5eac6a1bce53cfd4dd483e6',1,'tvm::auto_scheduler::ProgramBuilderNode::timeout()'],['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html#af92f4d4bc16b73a6664cdea6e2dfde81',1,'tvm::auto_scheduler::ProgramRunnerNode::timeout()']]],
+  ['timer_4497',['timer',['../structtvm_1_1runtime_1_1profiling_1_1CallFrame.html#a2315e3b8fa996924b42df643d07d86ee',1,'tvm::runtime::profiling::CallFrame']]],
+  ['timer_4498',['Timer',['../classtvm_1_1runtime_1_1Timer.html',1,'tvm::runtime']]],
+  ['timernode_4499',['TimerNode',['../classtvm_1_1runtime_1_1TimerNode.html',1,'tvm::runtime']]],
+  ['timestamp_4500',['timestamp',['../classtvm_1_1auto__scheduler_1_1MeasureResultNode.html#a8b19513d8b082d04eba41a9f353beee0',1,'tvm::auto_scheduler::MeasureResultNode']]],
+  ['tir_4501',['tir',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html',1,'tvm::script::ir_builder::tir'],['../namespacetvm_1_1tir.html',1,'tvm::tir']]],
+  ['tir_5fprefix_4502',['tir_prefix',['../classtvm_1_1PrinterConfigNode.html#a2148a7bfe39c1cc3c645da5a00fc122c',1,'tvm::PrinterConfigNode']]],
+  ['tirframe_4503',['TIRFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrame.html#a70e34dbed98c143d552dff607368d8a6',1,'tvm::script::ir_builder::tir::TIRFrame::TIRFrame()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrame.html',1,'tvm::script::ir_builder::tir::TIRFrame']]],
+  ['tirframenode_4504',['TIRFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['tnoncomputational_4505',['TNonComputational',['../namespacetvm_1_1relay.html#ab4c835287dfc5ec074ea8431bcebbb17',1,'tvm::relay']]],
+  ['toanormalform_4506',['ToANormalForm',['../namespacetvm_1_1relay_1_1transform.html#a8cb03cf00b2ddf25f183ab837cde713c',1,'tvm::relay::transform::ToANormalForm()'],['../namespacetvm_1_1relay_1_1transform.html#a256d361d98e061197dd5d9d1d457fb19',1,'tvm::relay::transform::ToANormalForm(const Expr &amp;expr)']]],
+  ['tobasicblocknormalform_4507',['ToBasicBlockNormalForm',['../namespacetvm_1_1relay_1_1transform.html#a6185cc89297d9216551db7a3816d5180',1,'tvm::relay::transform']]],
+  ['tocps_4508',['ToCPS',['../namespacetvm_1_1relay_1_1transform.html#ad90e4d6ac08b62ef553755e759d398fa',1,'tvm::relay::transform::ToCPS()'],['../namespacetvm_1_1relay.html#ab9548d99857f7698f4fe64d056dcd46a',1,'tvm::relay::ToCPS()']]],
+  ['todebugstring_4509',['ToDebugString',['../classtvm_1_1TargetNode.html#a41181a3757227725abc614e976b264ad',1,'tvm::TargetNode']]],
+  ['todevice_4510',['ToDevice',['../classtvm_1_1VirtualDeviceNode.html#ac3324e6e3ddb8938f7e98c9312b44c64',1,'tvm::VirtualDeviceNode']]],
+  ['todlpack_4511',['ToDLPack',['../classtvm_1_1runtime_1_1NDArray.html#ab7238434803d6a171318495fe46dc977',1,'tvm::runtime::NDArray']]],
+  ['todual_4512',['ToDual',['../classtvm_1_1tir_1_1LayoutAxis.html#a54c175b562828e2ea878aaaa5411930a',1,'tvm::tir::LayoutAxis']]],
+  ['tographnormalform_4513',['ToGraphNormalForm',['../namespacetvm_1_1relay_1_1transform.html#a2cfef0e02cca22f3e0e85e0a10a82f5b',1,'tvm::relay::transform']]],
+  ['top_5fk_4514',['top_k',['../structtvm_1_1relay_1_1NonMaximumSuppressionAttrs.html#ad9e2e281399a290410757e948784b93a',1,'tvm::relay::NonMaximumSuppressionAttrs']]],
+  ['topi_4515',['topi',['../namespacetvm_1_1topi.html',1,'tvm']]],
+  ['topi_5fdeclare_5funary_5fop_4516',['TOPI_DECLARE_UNARY_OP',['../elemwise_8h.html#af185de28568db73253bc391f202b6b02',1,'elemwise.h']]],
+  ['topi_5fdefine_5fbcast_5fop_4517',['TOPI_DEFINE_BCAST_OP',['../broadcast_8h.html#acb867d9c8250a748f59b5a47711b495a',1,'broadcast.h']]],
+  ['topi_5fdefine_5fop_5foverload_4518',['TOPI_DEFINE_OP_OVERLOAD',['../broadcast_8h.html#a7f3943bdaba8836ffa7d14457a7d730c',1,'broadcast.h']]],
+  ['topisstateful_4519',['TOpIsStateful',['../namespacetvm_1_1relay.html#afb8a8d4dd43830d4ce7d566abcd1c450',1,'tvm::relay']]],
+  ['topkattrs_4520',['TopKAttrs',['../structtvm_1_1relay_1_1TopKAttrs.html',1,'tvm::relay']]],
+  ['toppattern_4521',['TOpPattern',['../namespacetvm_1_1relay.html#a5dab2ddae20ac7564a81ab3a0a9aba76',1,'tvm::relay']]],
+  ['toprimal_4522',['ToPrimal',['../classtvm_1_1tir_1_1LayoutAxis.html#a45791b7980bfaf0791bdf8e97f1775b0',1,'tvm::tir::LayoutAxis']]],
+  ['topythonstring_4523',['ToPythonString',['../classtvm_1_1tir_1_1IndexMapNode.html#ac1d6436a2b756319e6005da64d5edebd',1,'tvm::tir::IndexMapNode']]],
+  ['torchruntimecreate_4524',['TorchRuntimeCreate',['../namespacetvm_1_1runtime_1_1contrib.html#a9f22008a54a14d817f75207666ef433d',1,'tvm::runtime::contrib']]],
+  ['tosizevar_4525',['ToSizeVar',['../classtvm_1_1tir_1_1AnyNode.html#a741b57467c114e83182910df14fca3be',1,'tvm::tir::AnyNode']]],
+  ['tostr_4526',['ToStr',['../classtvm_1_1auto__scheduler_1_1State.html#acc2b1cbaece2a0cd0d0d26f808b2331b',1,'tvm::auto_scheduler::State']]],
+  ['tostring_4527',['ToString',['../classtvm_1_1relay_1_1FeatureSet.html#ad496face33ee548cd323d80ff8e098d3',1,'tvm::relay::FeatureSet']]],
+  ['tosubordinate_4528',['ToSubordinate',['../classtvm_1_1tir_1_1LayoutAxis.html#a51c581fb0346938402eef49d12398d79',1,'tvm::tir::LayoutAxis']]],
+  ['total_5ftimer_4529',['total_timer',['../classtvm_1_1meta__schedule_1_1ProfilerNode.html#a97841f5cf4d1cf688fb38715507865ad',1,'tvm::meta_schedule::ProfilerNode']]],
+  ['totupletype_4530',['ToTupleType',['../namespacetvm_1_1relay.html#ae6757a008816e31cce4109e8dfc2bc16',1,'tvm::relay']]],
+  ['touchtask_4531',['TouchTask',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#af2471f9b70cc8bfd39c1e1f26310da85',1,'tvm::meta_schedule::TaskSchedulerNode']]],
+  ['tovar_4532',['ToVar',['../classtvm_1_1tir_1_1AnyNode.html#ae01ebbba2378afb6509a22de97f8fb30',1,'tvm::tir::AnyNode']]],
+  ['tparent_4533',['TParent',['../classtvm_1_1OpAttrMap.html#a316480ca7450209650fc1a62f7ce4a14',1,'tvm::OpAttrMap::TParent()'],['../classtvm_1_1TargetKindAttrMap.html#a37eb6bfb0d881cf897147b17ff7d3265',1,'tvm::TargetKindAttrMap::TParent()']]],
+  ['trace_4534',['Trace',['../classtvm_1_1tir_1_1Trace.html#af79bccf1bde25efea387bb1b82dacaa6',1,'tvm::tir::Trace::Trace(Array&lt; Instruction &gt; insts, Map&lt; Instruction, ObjectRef &gt; decisions)'],['../classtvm_1_1tir_1_1Trace.html#a8e09abffd0b9b1afac7b832cf16c142d',1,'tvm::tir::Trace::Trace()']]],
+  ['trace_4535',['trace',['../classtvm_1_1tir_1_1ScheduleNode.html#a953bca4123b5a758adfdcd65634a5f3b',1,'tvm::tir::ScheduleNode::trace()'],['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html#a8cc2d64f796593a1a774eef259f17b29',1,'tvm::meta_schedule::TuningRecordNode::trace()']]],
+  ['trace_4536',['Trace',['../classtvm_1_1tir_1_1Trace.html',1,'tvm::tir']]],
+  ['trace_2eh_4537',['trace.h',['../trace_8h.html',1,'']]],
+  ['traced_4538',['Traced',['../classtvm_1_1tir_1_1Schedule.html#a15eec0ab3d2caa651f68ad7c88ed31eb',1,'tvm::tir::Schedule']]],
+  ['tracenode_4539',['TraceNode',['../classtvm_1_1tir_1_1TraceNode.html',1,'tvm::tir']]],
+  ['trandstate_4540',['TRandState',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#aa184b0eb048b357df078c4ed5e0155e6',1,'tvm::meta_schedule::TuneContextNode::TRandState()'],['../classtvm_1_1meta__schedule_1_1TuneContext.html#af7fd90f18bece51fa3c212b73eca2f27',1,'tvm::meta_schedule::TuneContext::TRandState()'],['../classtvm_1_1support_1_1LinearCongruentialEngine.html#a4d3a3a94a3f3d2dfab4b5ccb1a7e97de',1,'tvm::support::LinearCongruentialEngine::TRandState()']]],
+  ['transform_4541',['Transform',['../classtvm_1_1te_1_1Transform.html#a51422cc2290f6b87fe61edb0db691125',1,'tvm::te::Transform']]],
+  ['transform_4542',['transform',['../namespacetvm_1_1relay_1_1qnn_1_1transform.html',1,'tvm::relay::qnn::transform'],['../namespacetvm_1_1relay_1_1transform.html',1,'tvm::relay::transform']]],
+  ['transform_4543',['Transform',['../classtvm_1_1te_1_1Transform.html',1,'tvm::te']]],
+  ['transform_4544',['transform',['../namespacetvm_1_1tir_1_1transform.html',1,'tvm::tir::transform'],['../namespacetvm_1_1tir_1_1usmp_1_1transform.html',1,'tvm::tir::usmp::transform'],['../namespacetvm_1_1transform.html',1,'tvm::transform']]],
+  ['transform_2eh_4545',['transform.h',['../topi_2transform_8h.html',1,'(Global Namespace)'],['../ir_2transform_8h.html',1,'(Global Namespace)'],['../relay_2attrs_2transform_8h.html',1,'(Global Namespace)'],['../relay_2qnn_2transform_8h.html',1,'(Global Namespace)'],['../relay_2transform_8h.html',1,'(Global Namespace)'],['../tir_2transform_8h.html',1,'(Global Namespace)'],['../tir_2usmp_2transform_8h.html',1,'(Global Namespace)']]],
+  ['transform_5flayout_4546',['transform_layout',['../classtvm_1_1te_1_1Stage.html#acec77eca6c9a4f1738a7c119d7ac2c2c',1,'tvm::te::Stage']]],
+  ['transform_5fstep_2eh_4547',['transform_step.h',['../transform__step_8h.html',1,'']]],
+  ['transform_5fsteps_4548',['transform_steps',['../classtvm_1_1auto__scheduler_1_1StateNode.html#a980f03e5744ed104cf231219a4895d5e',1,'tvm::auto_scheduler::StateNode']]],
+  ['transformblocklayout_4549',['TransformBlockLayout',['../classtvm_1_1tir_1_1ScheduleNode.html#a998b22e37ef63a697a984c8ebcc39ca2',1,'tvm::tir::ScheduleNode']]],
+  ['transformed_5fvariables_4550',['transformed_variables',['../classtvm_1_1te_1_1TransformNode.html#a034d22228133e50074502bfe1f495935',1,'tvm::te::TransformNode']]],
+  ['transformlayout_4551',['TransformLayout',['../classtvm_1_1tir_1_1ScheduleNode.html#af4943cc242cec3064a5127515c22219b',1,'tvm::tir::ScheduleNode']]],
+  ['transformmmabufferlayout_4552',['TransformMmaBufferLayout',['../namespacetvm_1_1tir_1_1transform.html#a1956116a1d54ea3f33c68b35792f720e',1,'tvm::tir::transform']]],
+  ['transformnode_4553',['TransformNode',['../classtvm_1_1te_1_1TransformNode.html',1,'tvm::te']]],
+  ['transitive_5fcomparisons_4554',['transitive_comparisons',['../classtvm_1_1arith_1_1Analyzer.html#a23e266bcb0d9b5ca6cc01c691271db72',1,'tvm::arith::Analyzer']]],
+  ['transitivecomparisonanalyzer_4555',['TransitiveComparisonAnalyzer',['../classtvm_1_1arith_1_1TransitiveComparisonAnalyzer.html',1,'tvm::arith']]],
+  ['transpose_4556',['transpose',['../namespacetvm_1_1topi.html#a1488ee98fd053e8b01b481f720df77fa',1,'tvm::topi']]],
+  ['transpose_5fa_4557',['transpose_a',['../structtvm_1_1relay_1_1BatchMatmulAttrs.html#aea3a5e93559981fc31122615d677d831',1,'tvm::relay::BatchMatmulAttrs::transpose_a()'],['../structtvm_1_1relay_1_1MatmulAttrs.html#a397aa1573fc7e0bc13930390298a22fc',1,'tvm::relay::MatmulAttrs::transpose_a()']]],
+  ['transpose_5fb_4558',['transpose_b',['../structtvm_1_1relay_1_1BatchMatmulAttrs.html#a05710acb6565be899d567f642a26639a',1,'tvm::relay::BatchMatmulAttrs::transpose_b()'],['../structtvm_1_1relay_1_1MatmulAttrs.html#afd2c250d27a093cd4afbdb6d6fa7e370',1,'tvm::relay::MatmulAttrs::transpose_b()']]],
+  ['transposeattrs_4559',['TransposeAttrs',['../structtvm_1_1relay_1_1TransposeAttrs.html',1,'tvm::relay']]],
+  ['traverseafterreduce_4560',['TraverseAfterReduce',['../namespacetvm_1_1topi_1_1cuda.html#a9009672dab261008d66d4e59d896935f',1,'tvm::topi::cuda']]],
+  ['traversebeforereduce_4561',['TraverseBeforeReduce',['../namespacetvm_1_1topi_1_1cuda.html#a9d51320c5b9bd9147018689b1b5f1279',1,'tvm::topi::cuda']]],
+  ['treshapeop_4562',['TReshapeOp',['../namespacetvm_1_1relay.html#a7c86efe3d58b34726786cfe79f616191',1,'tvm::relay']]],
+  ['triluattrs_4563',['TriluAttrs',['../structtvm_1_1relay_1_1TriluAttrs.html',1,'tvm::relay']]],
+  ['true_5fbranch_4564',['true_branch',['../classtvm_1_1relay_1_1IfPatternNode.html#ad292c855acc88167ab25acd200c01d48',1,'tvm::relay::IfPatternNode::true_branch()'],['../classtvm_1_1relay_1_1IfNode.html#abbda9637de8fac5d227ef7c305e5f34e',1,'tvm::relay::IfNode::true_branch()']]],
+  ['true_5foffset_4565',['true_offset',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a58e6e5eba0eba678b94273ef7deb1df2',1,'tvm::runtime::vm::Instruction']]],
+  ['true_5fvalue_4566',['true_value',['../classtvm_1_1tir_1_1SelectNode.html#a35e913f542bd1231b92adfc2d58938ef',1,'tvm::tir::SelectNode']]],
+  ['trunc_4567',['trunc',['../namespacetvm_1_1topi.html#a358fbedbd89b1225958eeff6230cf926',1,'tvm::topi::trunc()'],['../namespacetvm.html#a5472f967969aebee254e8e78f2396436',1,'tvm::trunc()']]],
+  ['trunc_5fdivide_4568',['trunc_divide',['../namespacetvm_1_1topi.html#aa705916cb0961abc998d4a4d9efac74b',1,'tvm::topi::trunc_divide(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;trunc_divide&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#acd9b99bd322a6d7339b6aea2fa7e49ae',1,'tvm::topi::trunc_divide(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B, std::string name=&quot;T_&quot; &quot;trunc_divide&quot;, s [...]
+  ['trunc_5fmod_4569',['trunc_mod',['../namespacetvm_1_1topi.html#a39441ae0b7b4003c05efb1ce8bafdd83',1,'tvm::topi::trunc_mod(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;trunc_mod&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#a69c65a01037ee4f8c785ddaf3f640dbd',1,'tvm::topi::trunc_mod(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B, std::string name=&quot;T_&quot; &quot;trunc_mod&quot;, std::string tag=kEl [...]
+  ['truncdiv_4570',['truncdiv',['../namespacetvm.html#aa71d2e133a1311571af1b1a61bcf956d',1,'tvm::truncdiv(int a, const PrimExpr &amp;b, Span span=Span())'],['../namespacetvm.html#acb5a87ae3bdd224a421df3f22a64338d',1,'tvm::truncdiv(const PrimExpr &amp;a, int b, Span span=Span())'],['../namespacetvm.html#ac62b239b36ad259a118bb20cb78a01a2',1,'tvm::truncdiv(PrimExpr a, PrimExpr b, Span span=Span())']]],
+  ['truncmod_4571',['truncmod',['../namespacetvm.html#a2428ea0e23bd9f7218aebd066bb2cd88',1,'tvm::truncmod(PrimExpr a, PrimExpr b, Span span=Span())'],['../namespacetvm.html#aa7e06644ed017169c5ce6829445ab754',1,'tvm::truncmod(const PrimExpr &amp;a, int b, Span span=Span())'],['../namespacetvm.html#aa388c5d23548438d00d64d0084e82391',1,'tvm::truncmod(int a, const PrimExpr &amp;b, Span span=Span())']]],
+  ['trycompare_4572',['TryCompare',['../classtvm_1_1arith_1_1TransitiveComparisonAnalyzer.html#ad00ac744402de84c7c3d6f2f46a6f7c7',1,'tvm::arith::TransitiveComparisonAnalyzer']]],
+  ['tscriptdtypeprintlocation_4573',['TScriptDtypePrintLocation',['../namespacetvm_1_1tir.html#ae85a9723d52c53bd767ae70236358289',1,'tvm::tir']]],
+  ['tscriptprintername_4574',['TScriptPrinterName',['../namespacetvm_1_1tir.html#a617163e8161d114528cfc73199d0cc3c',1,'tvm::tir']]],
+  ['tself_4575',['TSelf',['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#afaeae1b79f815895ab9ab09b444e7ba3',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;::TSelf()'],['../classtvm_1_1runtime_1_1PackedFuncSubObj.html#a87d39179dda6dd2c54112b80b9acc89d',1,'tvm::runtime::PackedFuncSubObj::TSelf()'],['../classtvm_1_1TypedEnvFunc_3_01R_07Args_8_8_8_08_4.html#a29adf4db79c99318db779a71f26acc0f',1,'tvm::TypedEnvFunc&lt; R(Args...)&gt;::TSelf()'],['../structtvm_1_1det [...]
+  ['tshapedatadependent_4576',['TShapeDataDependent',['../namespacetvm_1_1relay.html#a75edb2b00671af1cf3274a5975ecba77',1,'tvm::relay']]],
+  ['tune_4577',['Tune',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#aaf52b3eeb6010128d146cee6413ce8d3',1,'tvm::meta_schedule::TaskSchedulerNode::Tune()'],['../classtvm_1_1meta__schedule_1_1PyTaskSchedulerNode.html#a941c230f85e3e60d33b47eaacbfcd160',1,'tvm::meta_schedule::PyTaskSchedulerNode::Tune()']]],
+  ['tune_5fcontext_2eh_4578',['tune_context.h',['../tune__context_8h.html',1,'']]],
+  ['tunecontext_4579',['TuneContext',['../classtvm_1_1meta__schedule_1_1TuneContext.html#a9e88241c8f068410b475d443082fd52d',1,'tvm::meta_schedule::TuneContext::TuneContext()'],['../classtvm_1_1meta__schedule_1_1TuneContext.html',1,'tvm::meta_schedule::TuneContext']]],
+  ['tunecontextnode_4580',['TuneContextNode',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html',1,'tvm::meta_schedule']]],
+  ['tuningoptions_4581',['TuningOptions',['../classtvm_1_1auto__scheduler_1_1TuningOptions.html#ac869d3a3e888c77677b62d064fec0f6e',1,'tvm::auto_scheduler::TuningOptions::TuningOptions()'],['../classtvm_1_1auto__scheduler_1_1TuningOptions.html',1,'tvm::auto_scheduler::TuningOptions']]],
+  ['tuningoptionsnode_4582',['TuningOptionsNode',['../classtvm_1_1auto__scheduler_1_1TuningOptionsNode.html',1,'tvm::auto_scheduler']]],
+  ['tuningrecord_4583',['TuningRecord',['../classtvm_1_1meta__schedule_1_1TuningRecord.html#aa4699af50f91bda306e6c199766c4757',1,'tvm::meta_schedule::TuningRecord::TuningRecord()'],['../classtvm_1_1meta__schedule_1_1TuningRecord.html',1,'tvm::meta_schedule::TuningRecord']]],
+  ['tuningrecordnode_4584',['TuningRecordNode',['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html',1,'tvm::meta_schedule']]],
+  ['tuple_4585',['Tuple',['../classtvm_1_1relay_1_1Tuple.html#a284e236318986fd385a02aa68bd3e938',1,'tvm::relay::Tuple::Tuple()'],['../classtvm_1_1runtime_1_1ADT.html#a871e902541f0a7e550e74ae0c621994c',1,'tvm::runtime::ADT::Tuple()']]],
+  ['tuple_4586',['tuple',['../classtvm_1_1relay_1_1TupleGetItemPatternNode.html#a1fdd79b2fbbf3d7a14cea7e7efc38574',1,'tvm::relay::TupleGetItemPatternNode::tuple()'],['../classtvm_1_1relay_1_1TupleGetItemNode.html#aade4882f84d828975c689b5c6b1b68e6',1,'tvm::relay::TupleGetItemNode::tuple()']]],
+  ['tuple_4587',['Tuple',['../classtvm_1_1relay_1_1Tuple.html',1,'tvm::relay']]],
+  ['tupleaffinetype_4588',['TupleAffineType',['../classtvm_1_1TupleAffineType.html#afced247570984fed7386c147d02efb79',1,'tvm::TupleAffineType::TupleAffineType()'],['../classtvm_1_1TupleAffineType.html',1,'tvm::TupleAffineType']]],
+  ['tupleaffinetypenode_4589',['TupleAffineTypeNode',['../classtvm_1_1TupleAffineTypeNode.html',1,'tvm']]],
+  ['tupledoc_4590',['TupleDoc',['../classtvm_1_1script_1_1printer_1_1TupleDoc.html#ac3ec09b672b619376fa70cead671de78',1,'tvm::script::printer::TupleDoc::TupleDoc()'],['../classtvm_1_1script_1_1printer_1_1TupleDoc.html#a78ef6fe46a358a34df8cf8c797ce3d6e',1,'tvm::script::printer::TupleDoc::TupleDoc(Array&lt; ExprDoc &gt; elements)'],['../classtvm_1_1script_1_1printer_1_1TupleDoc.html',1,'tvm::script::printer::TupleDoc']]],
+  ['tupledocnode_4591',['TupleDocNode',['../classtvm_1_1script_1_1printer_1_1TupleDocNode.html',1,'tvm::script::printer']]],
+  ['tuplegetitem_4592',['TupleGetItem',['../classtvm_1_1relay_1_1TupleGetItem.html#a744f50341d00e504ae4d677723433b7c',1,'tvm::relay::TupleGetItem::TupleGetItem()'],['../classtvm_1_1relay_1_1TupleGetItem.html',1,'tvm::relay::TupleGetItem']]],
+  ['tuplegetitemnode_4593',['TupleGetItemNode',['../classtvm_1_1relay_1_1TupleGetItemNode.html',1,'tvm::relay']]],
+  ['tuplegetitempattern_4594',['TupleGetItemPattern',['../classtvm_1_1relay_1_1TupleGetItemPattern.html#adbf5ac16dedf9bb90603d0379b1cb6c8',1,'tvm::relay::TupleGetItemPattern::TupleGetItemPattern()'],['../classtvm_1_1relay_1_1TupleGetItemPattern.html',1,'tvm::relay::TupleGetItemPattern']]],
+  ['tuplegetitempatternnode_4595',['TupleGetItemPatternNode',['../classtvm_1_1relay_1_1TupleGetItemPatternNode.html',1,'tvm::relay']]],
+  ['tuplenode_4596',['TupleNode',['../classtvm_1_1relay_1_1TupleNode.html',1,'tvm::relay']]],
+  ['tuplepattern_4597',['TuplePattern',['../classtvm_1_1relay_1_1TuplePattern.html#a5556053a3dea336f75706644c463d996',1,'tvm::relay::TuplePattern::TuplePattern()'],['../classtvm_1_1relay_1_1TuplePattern.html',1,'tvm::relay::TuplePattern']]],
+  ['tuplepatternnode_4598',['TuplePatternNode',['../classtvm_1_1relay_1_1TuplePatternNode.html',1,'tvm::relay']]],
+  ['tupletype_4599',['TupleType',['../classtvm_1_1TupleType.html#ad9d079fcb60dcafad7048c77b3758f37',1,'tvm::TupleType::TupleType()'],['../namespacetvm_1_1relay.html#ace9102638dffea6747ae9fa9be9f00f2',1,'tvm::relay::TupleType()'],['../classtvm_1_1TupleType.html',1,'tvm::TupleType']]],
+  ['tupletypenode_4600',['TupleTypeNode',['../classtvm_1_1TupleTypeNode.html#a1e9cbe8e91816366c181fb888ddc18d9',1,'tvm::TupleTypeNode::TupleTypeNode()'],['../namespacetvm_1_1relay.html#a916609c894ac1000b66f9582f338d965',1,'tvm::relay::TupleTypeNode()'],['../classtvm_1_1TupleTypeNode.html',1,'tvm::TupleTypeNode']]],
+  ['tvectorizable_4601',['TVectorizable',['../namespacetvm_1_1tir.html#a0c5d42cf669b8ceeb42aa13d95cb5262',1,'tvm::tir']]],
+  ['tvm_4602',['tvm',['../namespacetvm.html',1,'']]],
+  ['tvm_5faccess_5fptr_4603',['tvm_access_ptr',['../namespacetvm_1_1tir_1_1builtin.html#a3e84c73dbbcf7f97008ac84c169feae9',1,'tvm::tir::builtin']]],
+  ['tvm_5fadd_5ffileline_4604',['TVM_ADD_FILELINE',['../registry_8h.html#a4658d181c38630e35f37c98fd1b0bde9',1,'registry.h']]],
+  ['tvm_5fattr_5ffield_4605',['TVM_ATTR_FIELD',['../ir_2attrs_8h.html#a578da113eb199bad72e26c03ad24832f',1,'attrs.h']]],
+  ['tvm_5fattribute_5funused_4606',['TVM_ATTRIBUTE_UNUSED',['../c__runtime__api_8h.html#a744c2fdb7ff7dc43070c1841a5873c84',1,'TVM_ATTRIBUTE_UNUSED():&#160;c_runtime_api.h'],['../object_8h.html#a744c2fdb7ff7dc43070c1841a5873c84',1,'TVM_ATTRIBUTE_UNUSED():&#160;object.h']]],
+  ['tvm_5faverage_5ffunction_5fname_5fstrlen_5fbytes_4607',['TVM_AVERAGE_FUNCTION_NAME_STRLEN_BYTES',['../func__registry_8h.html#a39dff58b9fce1890c7ffc208e4971ccc',1,'func_registry.h']]],
+  ['tvm_5fbmma_5fsync_4608',['tvm_bmma_sync',['../namespacetvm_1_1tir_1_1builtin.html#a8d5e173f1a16740172a9ad6f2aa85a08',1,'tvm::tir::builtin']]],
+  ['tvm_5fcall_5fcpacked_4609',['tvm_call_cpacked',['../namespacetvm_1_1tir_1_1builtin.html#a1e35988d61914016c49d3cf8a5af3f54',1,'tvm::tir::builtin']]],
+  ['tvm_5fcall_5fcpacked_5flowered_4610',['tvm_call_cpacked_lowered',['../namespacetvm_1_1tir_1_1builtin.html#a3131992ea9acd964fb7d3ca782d74805',1,'tvm::tir::builtin']]],
+  ['tvm_5fcall_5fpacked_4611',['tvm_call_packed',['../namespacetvm_1_1tir_1_1builtin.html#a2c13c6e4b2f92e17f357665f9f11736c',1,'tvm::tir::builtin']]],
+  ['tvm_5fcall_5fpacked_5flowered_4612',['tvm_call_packed_lowered',['../namespacetvm_1_1tir_1_1builtin.html#a0117a4a76af962576a6a3bbf32f97b36',1,'tvm::tir::builtin']]],
+  ['tvm_5fcall_5ftrace_5fpacked_4613',['tvm_call_trace_packed',['../namespacetvm_1_1tir_1_1builtin.html#ac54288cc9f1fee8c26db9bd87ac320ee',1,'tvm::tir::builtin']]],
+  ['tvm_5fcall_5ftrace_5fpacked_5flowered_4614',['tvm_call_trace_packed_lowered',['../namespacetvm_1_1tir_1_1builtin.html#aa6e23eac98abb8378b9837011a5c04b5',1,'tvm::tir::builtin']]],
+  ['tvm_5fcheck_5freturn_4615',['tvm_check_return',['../namespacetvm_1_1tir_1_1builtin.html#a23897d81faa46ac29ab0d6d8fc618707',1,'tvm::tir::builtin']]],
+  ['tvm_5fcheck_5ftype_5fcode_4616',['TVM_CHECK_TYPE_CODE',['../packed__func_8h.html#ad1e150bb815d5cb964e15d4fa7b5fd93',1,'packed_func.h']]],
+  ['tvm_5fcontext_5fid_4617',['tvm_context_id',['../namespacetvm_1_1tir_1_1builtin.html#a21c2ad8b095dcbefa786394981ea0b71',1,'tvm::tir::builtin']]],
+  ['tvm_5fcrt_5ferror_5fcategory_5fmsk_4618',['TVM_CRT_ERROR_CATEGORY_Msk',['../error__codes_8h.html#ae678431f8a855cdb34d534326c555347',1,'error_codes.h']]],
+  ['tvm_5fcrt_5ferror_5fcategory_5fpos_4619',['TVM_CRT_ERROR_CATEGORY_Pos',['../error__codes_8h.html#a30d468bc8b5e5f220808f03d5cd8c449',1,'error_codes.h']]],
+  ['tvm_5fcrt_5ferror_5fcategory_5ft_4620',['tvm_crt_error_category_t',['../error__codes_8h.html#afb9e14425d21e5e28a3223f7697a0808',1,'error_codes.h']]],
+  ['tvm_5fcrt_5ferror_5fcode_5fmsk_4621',['TVM_CRT_ERROR_CODE_Msk',['../error__codes_8h.html#a8fb17aed4ed7d554247beed0a56ac11e',1,'error_codes.h']]],
+  ['tvm_5fcrt_5ferror_5fcode_5fpos_4622',['TVM_CRT_ERROR_CODE_Pos',['../error__codes_8h.html#a58117d4a7d0c4911d8813985dde1d41c',1,'error_codes.h']]],
+  ['tvm_5fcrt_5ferror_5ft_4623',['tvm_crt_error_t',['../error__codes_8h.html#a77b4da0131882f0c9b887a47dd34467a',1,'error_codes.h']]],
+  ['tvm_5fdeclare_5fattrs_4624',['TVM_DECLARE_ATTRS',['../structtvm_1_1relay_1_1TransposeAttrs.html#a99db019c5b5fe6ac6fa59f566a72bad6',1,'tvm::relay::TransposeAttrs::TVM_DECLARE_ATTRS()'],['../structtvm_1_1relay_1_1CastAttrs.html#a460996bb6ac2eb42602b245721a4b2d3',1,'tvm::relay::CastAttrs::TVM_DECLARE_ATTRS()'],['../structtvm_1_1relay_1_1ReshapeAttrs.html#adb72dc00148149948a282e4fdbd1cd28',1,'tvm::relay::ReshapeAttrs::TVM_DECLARE_ATTRS()'],['../structtvm_1_1relay_1_1ReshapeLikeAttrs.html [...]
+  ['tvm_5fdeclare_5fbase_5fobject_5finfo_4625',['TVM_DECLARE_BASE_OBJECT_INFO',['../classtvm_1_1relay_1_1TempExprNode.html#a96299d26d32ecba0f0975ed531d8ee4b',1,'tvm::relay::TempExprNode::TVM_DECLARE_BASE_OBJECT_INFO()'],['../classtvm_1_1relay_1_1DFPatternNode.html#a1da73a611341e9f3fe252d9fc3b8e6e8',1,'tvm::relay::DFPatternNode::TVM_DECLARE_BASE_OBJECT_INFO()'],['../classtvm_1_1relay_1_1DFPatternCallbackNode.html#a0b09756dcd17877284e01d1a90a31d00',1,'tvm::relay::DFPatternCallbackNode::TVM [...]
+  ['tvm_5fdeclare_5ffinal_5fobject_5finfo_4626',['TVM_DECLARE_FINAL_OBJECT_INFO',['../classtvm_1_1script_1_1printer_1_1ListDocNode.html#a57a2c6b08129ee35fc84bd00f0798f9a',1,'tvm::script::printer::ListDocNode::TVM_DECLARE_FINAL_OBJECT_INFO()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1RealizeFrameNode.html#a08a429ac84e25a3399906e3c15fb7831',1,'tvm::script::ir_builder::tir::RealizeFrameNode::TVM_DECLARE_FINAL_OBJECT_INFO()'],['../classtvm_1_1script_1_1printer_1_1TupleDocNode.html#ad [...]
+  ['tvm_5fdeclare_5fintrin_5fbinary_4627',['TVM_DECLARE_INTRIN_BINARY',['../tir_2op_8h.html#abc43baea1e8f1c876bfa743a063a5928',1,'op.h']]],
+  ['tvm_5fdeclare_5fintrin_5funary_4628',['TVM_DECLARE_INTRIN_UNARY',['../tir_2op_8h.html#a032e3ae6824990aad98b8992f90a83c9',1,'op.h']]],
+  ['tvm_5fdefine_5fassign_5fop_5foverload_4629',['TVM_DEFINE_ASSIGN_OP_OVERLOAD',['../tir_2op_8h.html#a34c733b88658efba336e09ccd85e576c',1,'op.h']]],
+  ['tvm_5fdefine_5fbinop_5fconst_5fval_5foverload_4630',['TVM_DEFINE_BINOP_CONST_VAL_OVERLOAD',['../tir_2op_8h.html#aabcf618a12e97c38fccecf7351392154',1,'op.h']]],
+  ['tvm_5fdefine_5fbinop_5fconst_5fval_5foverload_5fspanned_4631',['TVM_DEFINE_BINOP_CONST_VAL_OVERLOAD_SPANNED',['../tir_2op_8h.html#a8fc539385c2bb11740d0a6bef19be7b8',1,'op.h']]],
+  ['tvm_5fdefine_5fdefault_5fcopy_5fmove_5fand_5fassign_4632',['TVM_DEFINE_DEFAULT_COPY_MOVE_AND_ASSIGN',['../object_8h.html#a06b57185e07e0065383528eb655fe4d8',1,'TVM_DEFINE_DEFAULT_COPY_MOVE_AND_ASSIGN():&#160;object.h'],['../classtvm_1_1auto__scheduler_1_1RandomModel.html#a6ac9fdc1f2b660d552e605c658f53351',1,'tvm::auto_scheduler::RandomModel::TVM_DEFINE_DEFAULT_COPY_MOVE_AND_ASSIGN()']]],
+  ['tvm_5fdefine_5fint_5fop_5fconst_5fval_5foverload_4633',['TVM_DEFINE_INT_OP_CONST_VAL_OVERLOAD',['../tir_2op_8h.html#a0ad19625381aae20ca7a930260089c47',1,'op.h']]],
+  ['tvm_5fdefine_5fint_5fop_5fconst_5fval_5foverload_5fspanned_4634',['TVM_DEFINE_INT_OP_CONST_VAL_OVERLOAD_SPANNED',['../tir_2op_8h.html#ac211367ff4e2382caf322a3903f8c629',1,'op.h']]],
+  ['tvm_5fdefine_5flogical_5fop_5fconst_5fval_5foverload_4635',['TVM_DEFINE_LOGICAL_OP_CONST_VAL_OVERLOAD',['../tir_2op_8h.html#ab6a17993efa67183ba992dac29284c80',1,'op.h']]],
+  ['tvm_5fdefine_5flogical_5fop_5fconst_5fval_5foverload_5fspanned_4636',['TVM_DEFINE_LOGICAL_OP_CONST_VAL_OVERLOAD_SPANNED',['../tir_2op_8h.html#a29826503ae15ba83c6bc8e6cbe218a69',1,'op.h']]],
+  ['tvm_5fdefine_5fmutable_5fnotnullable_5fobject_5fref_5fmethods_4637',['TVM_DEFINE_MUTABLE_NOTNULLABLE_OBJECT_REF_METHODS',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1WhileFrame.html#ab4db6cc6a2a1f73abe491e04acab144a',1,'tvm::script::ir_builder::tir::WhileFrame::TVM_DEFINE_MUTABLE_NOTNULLABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AssertFrame.html#a9172bd20b60e2103454328c9724e5329',1,'tvm::script::ir_builder::tir::AssertFrame::TVM_DEFINE_MUTABLE_NO [...]
+  ['tvm_5fdefine_5fmutable_5fobject_5fref_5fmethods_4638',['TVM_DEFINE_MUTABLE_OBJECT_REF_METHODS',['../classtvm_1_1runtime_1_1metadata_1_1MetadataArray.html#afc026f29b2a2fa475690d006e6b9945e',1,'tvm::runtime::metadata::MetadataArray::TVM_DEFINE_MUTABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1runtime_1_1metadata_1_1MetadataBase.html#a98bb2f373db5ffafce12493806cc53a9',1,'tvm::runtime::metadata::MetadataBase::TVM_DEFINE_MUTABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1runtime_1_1metadata_1_ [...]
+  ['tvm_5fdefine_5fnotnullable_5fobject_5fref_5fmethods_4639',['TVM_DEFINE_NOTNULLABLE_OBJECT_REF_METHODS',['../classtvm_1_1script_1_1printer_1_1ExprStmtDoc.html#ab5a0a5ff5c1be64b00ab38ef8d47406f',1,'tvm::script::printer::ExprStmtDoc::TVM_DEFINE_NOTNULLABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1script_1_1printer_1_1ScopeDoc.html#a26980ac9d335ce9b88e4e2c3459fe1b1',1,'tvm::script::printer::ScopeDoc::TVM_DEFINE_NOTNULLABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1script_1_1printer_1_1ForDo [...]
+  ['tvm_5fdefine_5fobject_5fref_5fcow_5fmethod_4640',['TVM_DEFINE_OBJECT_REF_COW_METHOD',['../classtvm_1_1tir_1_1Ramp.html#a483517d064c72e5cdd2691a8f5bffe78',1,'tvm::tir::Ramp::TVM_DEFINE_OBJECT_REF_COW_METHOD()'],['../classtvm_1_1tir_1_1Broadcast.html#ac66be95e763508c9f5ad8e190229282f',1,'tvm::tir::Broadcast::TVM_DEFINE_OBJECT_REF_COW_METHOD()'],['../classtvm_1_1tir_1_1Let.html#a73126fef9985a8378e4870ba7b0f6338',1,'tvm::tir::Let::TVM_DEFINE_OBJECT_REF_COW_METHOD()'],['../classtvm_1_1tir [...]
+  ['tvm_5fdefine_5fobject_5fref_5fmethods_4641',['TVM_DEFINE_OBJECT_REF_METHODS',['../classtvm_1_1te_1_1TensorIntrin.html#a0613d0606d62717cfc90a3db766d0050',1,'tvm::te::TensorIntrin::TVM_DEFINE_OBJECT_REF_METHODS()'],['../classtvm_1_1te_1_1Tensor.html#af7962b812b3df90676471d0b3754908f',1,'tvm::te::Tensor::TVM_DEFINE_OBJECT_REF_METHODS()'],['../classtvm_1_1te_1_1SpecializedCondition.html#ae220d758fa35788a78e1c61089d746c0',1,'tvm::te::SpecializedCondition::TVM_DEFINE_OBJECT_REF_METHODS()'] [...]
+  ['tvm_5fdescribe_4642',['TVM_DESCRIBE',['../registry_8h.html#aa26ce86513967e27c7571bf70cb8a57e',1,'registry.h']]],
+  ['tvm_5fdev_5fmblob_4643',['tvm_dev_mblob',['../namespacetvm_1_1runtime_1_1symbol.html#a316b327224938ffb2a73a9c4432d1da9',1,'tvm::runtime::symbol']]],
+  ['tvm_5fdispatch_5fmap_4644',['TVM_DISPATCH_MAP',['../map_8h.html#a1d45968795b6054f63824cb9c5512d5a',1,'map.h']]],
+  ['tvm_5fdispatch_5fmap_5fconst_4645',['TVM_DISPATCH_MAP_CONST',['../map_8h.html#a04a1af748cfbdfdf0a5707c02c55652e',1,'map.h']]],
+  ['tvm_5fdll_5fexport_5fpacked_5ffunc_4646',['TVM_DLL_EXPORT_PACKED_FUNC',['../packed__func_8h.html#aee75208638f500a4ce5e726c9d87d8ff',1,'packed_func.h']]],
+  ['tvm_5fdll_5fexport_5ftyped_5ffunc_4647',['TVM_DLL_EXPORT_TYPED_FUNC',['../packed__func_8h.html#ae5b445392a64daa55bef53b4cf53025c',1,'packed_func.h']]],
+  ['tvm_5fentrypoint_5fsuffix_4648',['tvm_entrypoint_suffix',['../namespacetvm_1_1runtime_1_1symbol.html#a00aad7edbef6fd3a1e2f6f94582fea8d',1,'tvm::runtime::symbol']]],
+  ['tvm_5fexecutor_5fregister_5fvar_5fdef_4649',['TVM_EXECUTOR_REGISTER_VAR_DEF',['../executor_8h.html#adc8dc40ffafbd72a2217bba13024acb3',1,'executor.h']]],
+  ['tvm_5ffill_5ffragment_4650',['tvm_fill_fragment',['../namespacetvm_1_1tir_1_1builtin.html#a616992eb291d701cac42c670d83c206c',1,'tvm::tir::builtin']]],
+  ['tvm_5ffunc_5freg_5fvar_5fdef_4651',['TVM_FUNC_REG_VAR_DEF',['../registry_8h.html#a11b8ea3ea0ce4b6cd2d7be2d5a5627b8',1,'registry.h']]],
+  ['tvm_5ffunction_5findex_5ft_4652',['tvm_function_index_t',['../func__registry_8h.html#a1d8ab7ca0c7903c699f805e8e9aa395a',1,'func_registry.h']]],
+  ['tvm_5fgeneric_5ffunc_5freg_5fvar_5fdef_4653',['TVM_GENERIC_FUNC_REG_VAR_DEF',['../generic__func_8h.html#a10648c82893ca73b419af99573860159',1,'generic_func.h']]],
+  ['tvm_5fget_5fc_5fmetadata_4654',['tvm_get_c_metadata',['../namespacetvm_1_1runtime_1_1symbol.html#aff7a6c169b32757c856f6fc505316361',1,'tvm::runtime::symbol']]],
+  ['tvm_5fglobal_5fbarrier_5fkinit_4655',['tvm_global_barrier_kinit',['../namespacetvm_1_1tir_1_1builtin.html#ac8e7bc86b8fa81453291ae5299062001',1,'tvm::tir::builtin']]],
+  ['tvm_5fglobal_5fbarrier_5fstate_4656',['tvm_global_barrier_state',['../namespacetvm_1_1runtime_1_1symbol.html#ac21d436bd65464b49858ba4bc55fb3ec',1,'tvm::runtime::symbol']]],
+  ['tvm_5findex_5ft_4657',['tvm_index_t',['../c__runtime__api_8h.html#ace8007daffd9f2c6d954c24d870bfcc4',1,'c_runtime_api.h']]],
+  ['tvm_5finst_5fkind_5fregister_5fvar_5fdef_4658',['TVM_INST_KIND_REGISTER_VAR_DEF',['../instruction_8h.html#a013bf2d8c6153ab1ed207f9639cfffd2',1,'instruction.h']]],
+  ['tvm_5fload_5fmatrix_5fsync_4659',['tvm_load_matrix_sync',['../namespacetvm_1_1tir_1_1builtin.html#a93b4dd000c31a1d35f493786380d108d',1,'tvm::tir::builtin']]],
+  ['tvm_5flookup_5flinked_5fparam_4660',['tvm_lookup_linked_param',['../namespacetvm_1_1runtime_1_1symbol.html#a209395ae8f4fe3b2a46e2b4a205e4772',1,'tvm::runtime::symbol']]],
+  ['tvm_5fmap_5ffail_5fif_5fchanged_4661',['TVM_MAP_FAIL_IF_CHANGED',['../map_8h.html#a06c210bfb319f0bf0e436f4542e40369',1,'map.h']]],
+  ['tvm_5fmetadata_5fversion_4662',['TVM_METADATA_VERSION',['../metadata_8h.html#a700f5dfbc1a51a0186d4c5a298ea3fd6',1,'metadata.h']]],
+  ['tvm_5fmicro_5fruntime_5fapi_5fapi_4663',['TVM_MICRO_RUNTIME_API_API',['../microtvm__runtime_8h.html#a82bcf22ac3cc35341edf8624944d2b6e',1,'microtvm_runtime.h']]],
+  ['tvm_5fmma_5fsync_4664',['tvm_mma_sync',['../namespacetvm_1_1tir_1_1builtin.html#a0cbd267877168afd5bbea35f0e5d70fe',1,'tvm::tir::builtin']]],
+  ['tvm_5fmodule_5fctx_4665',['tvm_module_ctx',['../namespacetvm_1_1runtime_1_1symbol.html#aeebcec34a8e6cc24c495710aedea5de9',1,'tvm::runtime::symbol']]],
+  ['tvm_5fmodule_5findex_5ft_4666',['tvm_module_index_t',['../func__registry_8h.html#a42d7461ea18444226216141298f1c24c',1,'func_registry.h']]],
+  ['tvm_5fmodule_5fmain_4667',['tvm_module_main',['../namespacetvm_1_1runtime_1_1symbol.html#abfc81fd15b5d8087b956a8cef4152bf4',1,'tvm::runtime::symbol']]],
+  ['tvm_5fobject_5fatomic_5fref_5fcounter_4668',['TVM_OBJECT_ATOMIC_REF_COUNTER',['../object_8h.html#a5f80f888f69ef72c51ba04cac65d033c',1,'object.h']]],
+  ['tvm_5fobject_5fenable_5fscript_5fprinter_4669',['TVM_OBJECT_ENABLE_SCRIPT_PRINTER',['../classtvm_1_1PrimExprNode.html#a418d4b34295c08f6d03ac70667e4e4bb',1,'tvm::PrimExprNode::TVM_OBJECT_ENABLE_SCRIPT_PRINTER()'],['../script__printer_8h.html#aeb27774525547e9a0656235a3506bbed',1,'TVM_OBJECT_ENABLE_SCRIPT_PRINTER():&#160;script_printer.h'],['../classtvm_1_1tir_1_1BufferNode.html#ab024a51cd27286a2b1300012736f81d3',1,'tvm::tir::BufferNode::TVM_OBJECT_ENABLE_SCRIPT_PRINTER()'],['../classtv [...]
+  ['tvm_5fobject_5freg_5fvar_5fdef_4670',['TVM_OBJECT_REG_VAR_DEF',['../object_8h.html#ac63db332fce1a32738566c8880f0d425',1,'object.h']]],
+  ['tvm_5fop_5fregister_5fvar_5fdef_4671',['TVM_OP_REGISTER_VAR_DEF',['../ir_2op_8h.html#ab5474fc13be62edade1979771c0c2a49',1,'op.h']]],
+  ['tvm_5fparam_5fprefix_4672',['tvm_param_prefix',['../namespacetvm_1_1runtime_1_1symbol.html#ae37724090c492bb8cdf40f967eef111c',1,'tvm::runtime::symbol']]],
+  ['tvm_5fpass_5fctx_5fconfig_5fvar_5fdef_4673',['TVM_PASS_CTX_CONFIG_VAR_DEF',['../ir_2transform_8h.html#af18caf4263a1f9e5f8f300c4aae5e094',1,'transform.h']]],
+  ['tvm_5fprepare_5fglobal_5fbarrier_4674',['tvm_prepare_global_barrier',['../namespacetvm_1_1runtime_1_1symbol.html#af4a5fe87c6e95d6b082a2be1960efbe5',1,'tvm::runtime::symbol']]],
+  ['tvm_5freflection_5freg_5fvar_5fdef_4675',['TVM_REFLECTION_REG_VAR_DEF',['../reflection_8h.html#a889418628421484559c553a6636f3dcf',1,'reflection.h']]],
+  ['tvm_5freg_5ffunc_5fvar_5fdef_4676',['TVM_REG_FUNC_VAR_DEF',['../functor_8h.html#a0e447ad7c49540ce24c2d6e2d89f4eba',1,'functor.h']]],
+  ['tvm_5fregister_5fexecutor_4677',['TVM_REGISTER_EXECUTOR',['../executor_8h.html#abbb3f564f6e8f6ba09ded4d4bdad4754',1,'executor.h']]],
+  ['tvm_5fregister_5fgeneric_5ffunc_4678',['TVM_REGISTER_GENERIC_FUNC',['../generic__func_8h.html#acab90de01c5afdb5bf990640a676232b',1,'generic_func.h']]],
+  ['tvm_5fregister_5fglobal_4679',['TVM_REGISTER_GLOBAL',['../registry_8h.html#a10f047b126505a3deda0b7f68718fa37',1,'registry.h']]],
+  ['tvm_5fregister_5finst_5fkind_4680',['TVM_REGISTER_INST_KIND',['../instruction_8h.html#a67ee92e4c9644b9ea19b6aaae1a62bbe',1,'instruction.h']]],
+  ['tvm_5fregister_5fnode_5ftype_4681',['TVM_REGISTER_NODE_TYPE',['../reflection_8h.html#a12fd7a4323c2f8ad77d48448009f2129',1,'reflection.h']]],
+  ['tvm_5fregister_5fobject_5ftype_4682',['TVM_REGISTER_OBJECT_TYPE',['../object_8h.html#ae1029068e5e7608770038906ca30db09',1,'object.h']]],
+  ['tvm_5fregister_5fop_4683',['TVM_REGISTER_OP',['../ir_2op_8h.html#ab695572ca7d8604832410ac4e5ea5713',1,'op.h']]],
+  ['tvm_5fregister_5fpass_5fconfig_5foption_4684',['TVM_REGISTER_PASS_CONFIG_OPTION',['../ir_2transform_8h.html#a5576002bc3ea1141558d1afa1aca33b6',1,'transform.h']]],
+  ['tvm_5fregister_5freflection_5fvtable_4685',['TVM_REGISTER_REFLECTION_VTABLE',['../reflection_8h.html#ad7093e57035dde5910eb7cdb2f08c64f',1,'reflection.h']]],
+  ['tvm_5fregister_5fruntime_4686',['TVM_REGISTER_RUNTIME',['../runtime_8h.html#af7309f599aa010b9064119e22ec91f2c',1,'runtime.h']]],
+  ['tvm_5fregister_5ftarget_5fkind_4687',['TVM_REGISTER_TARGET_KIND',['../target__kind_8h.html#a036b7ecec73974b7782644f28671c786',1,'target_kind.h']]],
+  ['tvm_5fregister_5ftarget_5ftag_4688',['TVM_REGISTER_TARGET_TAG',['../tag_8h.html#a7fe29db2695f0c156ce18bb2506290e6',1,'tag.h']]],
+  ['tvm_5fruntime_5falloc_5falignment_5fbytes_4689',['TVM_RUNTIME_ALLOC_ALIGNMENT_BYTES',['../stack__allocator_8h.html#ad59ee29e418fab065b127993d2ebb0e2',1,'stack_allocator.h']]],
+  ['tvm_5fruntime_5fheader_5fonly_4690',['TVM_RUNTIME_HEADER_ONLY',['../packed__func_8h.html#a50e8d21ff57c58c35bc4cc9310e64273',1,'packed_func.h']]],
+  ['tvm_5fruntime_5fregister_5fvar_5fdef_4691',['TVM_RUNTIME_REGISTER_VAR_DEF',['../runtime_8h.html#af8e75590a4116ea35a37322634ef803e',1,'runtime.h']]],
+  ['tvm_5fset_5fdevice_4692',['tvm_set_device',['../namespacetvm_1_1runtime_1_1symbol.html#aaad9cf8f51a55b2ec5b19854d692bd21',1,'tvm::runtime::symbol']]],
+  ['tvm_5fsref_5fas_5for_5ferr_4693',['TVM_SREF_AS_OR_ERR',['../tir_2utils_8h.html#ae3c276f44cdd20825ab5677f3223a235',1,'utils.h']]],
+  ['tvm_5fsref_5fto_5fblock_4694',['TVM_SREF_TO_BLOCK',['../tir_2utils_8h.html#a4ce2bd31269f012cf4a8d59b8c63a75a',1,'utils.h']]],
+  ['tvm_5fsref_5fto_5ffor_4695',['TVM_SREF_TO_FOR',['../tir_2utils_8h.html#a212c570fa89535310f30664deb0d5305',1,'utils.h']]],
+  ['tvm_5fstack_5falloca_4696',['tvm_stack_alloca',['../namespacetvm_1_1tir_1_1builtin.html#a15c5e0e0478e0ebff91690f60992cf3f',1,'tvm::tir::builtin']]],
+  ['tvm_5fstack_5fmake_5farray_4697',['tvm_stack_make_array',['../namespacetvm_1_1tir_1_1builtin.html#a28f99e6dd767482765b854ee9fc71f2c',1,'tvm::tir::builtin']]],
+  ['tvm_5fstack_5fmake_5fshape_4698',['tvm_stack_make_shape',['../namespacetvm_1_1tir_1_1builtin.html#abd540cb73407771ecfb4f78722ce5a1b',1,'tvm::tir::builtin']]],
+  ['tvm_5fstatic_5fhandle_4699',['tvm_static_handle',['../namespacetvm_1_1tir_1_1builtin.html#a6aeb24a28d19cdc60e4e1fa7b420d7fd',1,'tvm::tir::builtin']]],
+  ['tvm_5fstatic_5fir_5ffunctor_4700',['TVM_STATIC_IR_FUNCTOR',['../functor_8h.html#a2a8f992f14c3ef28a35830bb8499d538',1,'functor.h']]],
+  ['tvm_5fstorage_5fsync_4701',['tvm_storage_sync',['../namespacetvm_1_1tir_1_1builtin.html#a925a45e5bb05e0cbf2daf2ffdbdcf53a',1,'tvm::tir::builtin']]],
+  ['tvm_5fstore_5fmatrix_5fsync_4702',['tvm_store_matrix_sync',['../namespacetvm_1_1tir_1_1builtin.html#afc81da8cbcd7f34ec5e1e80d837ca265',1,'tvm::tir::builtin']]],
+  ['tvm_5fstr_5fconcat_4703',['TVM_STR_CONCAT',['../object_8h.html#a73bf3e57b9d7a6e0dd55d901321d01ed',1,'object.h']]],
+  ['tvm_5fstr_5fconcat_5f_4704',['TVM_STR_CONCAT_',['../object_8h.html#a7b72d9155e59e0d4dcdf674c90e64c7e',1,'object.h']]],
+  ['tvm_5fstringize_4705',['TVM_STRINGIZE',['../registry_8h.html#a9695ac0f55d84dc1188cdf29c3c58454',1,'registry.h']]],
+  ['tvm_5fstringize_5fdetail_4706',['TVM_STRINGIZE_DETAIL',['../registry_8h.html#a013dedecd9c6f457ed4ecc475fbdbd50',1,'registry.h']]],
+  ['tvm_5fstruct_5fget_4707',['tvm_struct_get',['../namespacetvm_1_1tir_1_1builtin.html#a23003bd9331efaa58d8420529ea96c0b',1,'tvm::tir::builtin']]],
+  ['tvm_5fstruct_5fset_4708',['tvm_struct_set',['../namespacetvm_1_1tir_1_1builtin.html#a6df03eca1d9cc14d0db6cdd39120a867',1,'tvm::tir::builtin']]],
+  ['tvm_5ftarget_5fkind_5fregister_5fvar_5fdef_4709',['TVM_TARGET_KIND_REGISTER_VAR_DEF',['../target__kind_8h.html#a2341708a81fcee611c3c5a156596522c',1,'target_kind.h']]],
+  ['tvm_5ftarget_5ftag_5fregister_5fvar_5fdef_4710',['TVM_TARGET_TAG_REGISTER_VAR_DEF',['../tag_8h.html#a58fe38cda73eab8c7c4938b464782dcf',1,'tag.h']]],
+  ['tvm_5fthread_5fallreduce_4711',['tvm_thread_allreduce',['../namespacetvm_1_1tir_1_1builtin.html#aa1d19e758595200998a4e1ea39767b6b',1,'tvm::tir::builtin']]],
+  ['tvm_5fthread_5fcontext_4712',['tvm_thread_context',['../namespacetvm_1_1tir_1_1builtin.html#a6be181be34fba13d129aadc6c9a23f73',1,'tvm::tir::builtin']]],
+  ['tvm_5fthrow_5flast_5ferror_4713',['tvm_throw_last_error',['../namespacetvm_1_1tir_1_1builtin.html#a92624d2aa5c435cd7a0ea8efb698a115',1,'tvm::tir::builtin']]],
+  ['tvm_5ftir_5fir_5fbuilder_5fdef_5fdtype_5fcast_4714',['TVM_TIR_IR_BUILDER_DEF_DTYPE_CAST',['../tir_2ir_8h.html#a411d701e2f5c55c20f1471c339b2866d',1,'ir.h']]],
+  ['tvm_5ftir_5fir_5fbuilder_5fdef_5fdtype_5fcast_5flanes_4715',['TVM_TIR_IR_BUILDER_DEF_DTYPE_CAST_LANES',['../tir_2ir_8h.html#af4333f8d928fe3c9e799afd516d0f04c',1,'ir.h']]],
+  ['tvm_5ftir_5fir_5fbuilder_5fdef_5fdtype_5fcast_5fsizes_4716',['TVM_TIR_IR_BUILDER_DEF_DTYPE_CAST_SIZES',['../tir_2ir_8h.html#a492f0c9cc3b778f85c7827e7e719e9c9',1,'ir.h']]],
+  ['tvm_5ftir_5fir_5fbuilder_5fdef_5fdtype_5fcast_5fsizes_5flanes_4717',['TVM_TIR_IR_BUILDER_DEF_DTYPE_CAST_SIZES_LANES',['../tir_2ir_8h.html#a9410989688d1a84ad2d088103f988b26',1,'ir.h']]],
+  ['tvm_5ftir_5fregister_5fop_4718',['TVM_TIR_REGISTER_OP',['../tir_2op_8h.html#a27947eef4b3043099d3c5e5f5bfe13f4',1,'op.h']]],
+  ['tvm_5ftuple_4719',['tvm_tuple',['../namespacetvm_1_1tir_1_1builtin.html#ab424ca353ceedd88a95fc37eeb9628a9',1,'tvm::tir::builtin']]],
+  ['tvm_5ftype_5fas_4720',['TVM_TYPE_AS',['../tir_2utils_8h.html#ab60c1e6848b181005be55ce1c10cf920',1,'utils.h']]],
+  ['tvm_5ftype_5fas_5for_5ferr_4721',['TVM_TYPE_AS_OR_ERR',['../tir_2utils_8h.html#a616e279b3f53b9afdd51b7f8c8aa670a',1,'utils.h']]],
+  ['tvm_5ftype_5ffunctor_5fdispatch_4722',['TVM_TYPE_FUNCTOR_DISPATCH',['../type__functor_8h.html#afaa114a04d18cd3f8f11995628692d74',1,'type_functor.h']]],
+  ['tvm_5fversion_4723',['TVM_VERSION',['../c__runtime__api_8h.html#a429515e9851601ec44c8ed421521ab6d',1,'c_runtime_api.h']]],
+  ['tvm_5fwarp_5factivemask_4724',['tvm_warp_activemask',['../namespacetvm_1_1tir_1_1builtin.html#afc4086a245ded9076de226ae802ced32',1,'tvm::tir::builtin']]],
+  ['tvm_5fwarp_5fshuffle_4725',['tvm_warp_shuffle',['../namespacetvm_1_1tir_1_1builtin.html#aca44a85c87273dfab1731421f4edd2bf',1,'tvm::tir::builtin']]],
+  ['tvm_5fwarp_5fshuffle_5fdown_4726',['tvm_warp_shuffle_down',['../namespacetvm_1_1tir_1_1builtin.html#af6d1c48570e10287683d58f22e4de98f',1,'tvm::tir::builtin']]],
+  ['tvm_5fwarp_5fshuffle_5fup_4727',['tvm_warp_shuffle_up',['../namespacetvm_1_1tir_1_1builtin.html#ae0470bd69bb03047aae4cb52e1e6e337',1,'tvm::tir::builtin']]],
+  ['tvm_5fweak_4728',['TVM_WEAK',['../c__runtime__api_8h.html#a4d6bcd569c115f7ae4ddc455b14ca395',1,'c_runtime_api.h']]],
+  ['tvm_5fworkspace_5ft_4729',['tvm_workspace_t',['../structtvm__workspace__t.html',1,'']]],
+  ['tvmaotexecutor_4730',['TVMAotExecutor',['../aot__executor_8h.html#a52f4678d3b012d3c1022b40ac4c0f7f5',1,'TVMAotExecutor():&#160;aot_executor.h'],['../structTVMAotExecutor.html',1,'TVMAotExecutor']]],
+  ['tvmaotexecutor_5fcreate_4731',['TVMAotExecutor_Create',['../aot__executor_8h.html#ab663a086fc2c4f6df166625a9ac83ee1',1,'aot_executor.h']]],
+  ['tvmaotexecutor_5fgetinputindex_4732',['TVMAotExecutor_GetInputIndex',['../aot__executor_8h.html#ad3b4f9b4d0b73d9c1b7e42d77076ffdb',1,'aot_executor.h']]],
+  ['tvmaotexecutor_5fgetinputname_4733',['TVMAotExecutor_GetInputName',['../aot__executor_8h.html#a92c15e55b7c94225d8849b2669a639fc',1,'aot_executor.h']]],
+  ['tvmaotexecutor_5fgetnuminputs_4734',['TVMAotExecutor_GetNumInputs',['../aot__executor_8h.html#a84924c70598933ebb941961f77cdd977',1,'aot_executor.h']]],
+  ['tvmaotexecutor_5fgetnumoutputs_4735',['TVMAotExecutor_GetNumOutputs',['../aot__executor_8h.html#a7f42e0f9479896def33b3427f9a97518',1,'aot_executor.h']]],
+  ['tvmaotexecutor_5frelease_4736',['TVMAotExecutor_Release',['../aot__executor_8h.html#a15512a380d3f9cbd9c24ad9289f1dfd6',1,'aot_executor.h']]],
+  ['tvmaotexecutor_5frun_4737',['TVMAotExecutor_Run',['../aot__executor_8h.html#aa576382ee317ceef3e1858d0a953df95',1,'aot_executor.h']]],
+  ['tvmaotexecutormodule_5fregister_4738',['TVMAotExecutorModule_Register',['../aot__executor__module_8h.html#a7367944cb3bd7078b1e8a1630d0343d3',1,'aot_executor_module.h']]],
+  ['tvmapisetlasterror_4739',['TVMAPISetLastError',['../c__runtime__api_8h.html#a477111f9accd70633dc5f2e7139b6cf4',1,'c_runtime_api.h']]],
+  ['tvmapisetlastpythonerror_4740',['TVMAPISetLastPythonError',['../c__runtime__api_8h.html#a5c4a7d6f88c0eaa5d67ca70df0991244',1,'c_runtime_api.h']]],
+  ['tvmargs_4741',['TVMArgs',['../classtvm_1_1runtime_1_1TVMArgs.html',1,'tvm::runtime::TVMArgs'],['../crt_2packed__func_8h.html#a7e6f4ecedf23b1d2bf1aaf360ae1a2e7',1,'TVMArgs():&#160;packed_func.h'],['../structTVMArgs.html',1,'TVMArgs'],['../classtvm_1_1runtime_1_1TVMArgs.html#a5d2aeac7387e436e6e19d7a6dfe91307',1,'tvm::runtime::TVMArgs::TVMArgs()'],['../classtvm_1_1BaseAttrsNode.html#a257561dad74174cbdc08f6725a45d8ac',1,'tvm::BaseAttrsNode::TVMArgs()']]],
+  ['tvmargs_5fasmodulehandle_4742',['TVMArgs_AsModuleHandle',['../crt_2packed__func_8h.html#ac9ff726610266833998a62d68488fc68',1,'packed_func.h']]],
+  ['tvmargs_5fcreate_4743',['TVMArgs_Create',['../crt_2packed__func_8h.html#af6ecccc431f7a06935e8dd1c57502b07',1,'packed_func.h']]],
+  ['tvmargssetter_4744',['TVMArgsSetter',['../classtvm_1_1runtime_1_1TVMArgsSetter.html',1,'tvm::runtime::TVMArgsSetter'],['../classtvm_1_1runtime_1_1NDArray.html#a35ae555d4becf356b16aeacd353d41ee',1,'tvm::runtime::NDArray::TVMArgsSetter()'],['../classtvm_1_1runtime_1_1ObjectRef.html#a35ae555d4becf356b16aeacd353d41ee',1,'tvm::runtime::ObjectRef::TVMArgsSetter()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a35ae555d4becf356b16aeacd353d41ee',1,'tvm::runtime::ObjectPtr::TVMArgsSetter()'],[' [...]
+  ['tvmargssetterapply_4745',['TVMArgsSetterApply',['../structtvm_1_1runtime_1_1TVMArgsSetterApply.html',1,'tvm::runtime']]],
+  ['tvmargtypecode_4746',['TVMArgTypeCode',['../c__runtime__api_8h.html#a190e81769e805cca153514137a66e793',1,'c_runtime_api.h']]],
+  ['tvmargvalue_4747',['TVMArgValue',['../classtvm_1_1runtime_1_1TVMArgValue.html',1,'tvm::runtime::TVMArgValue'],['../classtvm_1_1runtime_1_1TVMArgValue.html#a5fbd71750e5bbba6edc9094178af9276',1,'tvm::runtime::TVMArgValue::TVMArgValue(TVMValue value, int type_code)'],['../classtvm_1_1runtime_1_1TVMArgValue.html#a987b2fb283cea5484d4655e3f711c046',1,'tvm::runtime::TVMArgValue::TVMArgValue()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a7e8b2c6a4fde079ee813c425d2eb6b24',1,'tvm::runtime::Ob [...]
+  ['tvmarrayalloc_4748',['TVMArrayAlloc',['../c__runtime__api_8h.html#a014b89c1a3b17473d82cb3973eeedba7',1,'c_runtime_api.h']]],
+  ['tvmarraycopyfrombytes_4749',['TVMArrayCopyFromBytes',['../c__runtime__api_8h.html#a50e9b3dfdc1f4228bf52a26da14456a1',1,'c_runtime_api.h']]],
+  ['tvmarraycopyfromto_4750',['TVMArrayCopyFromTo',['../c__runtime__api_8h.html#a120628b5226af6deee4010c5a512f618',1,'c_runtime_api.h']]],
+  ['tvmarraycopytobytes_4751',['TVMArrayCopyToBytes',['../c__runtime__api_8h.html#ae246eaa00342c042f3f194605ad9bc7a',1,'c_runtime_api.h']]],
+  ['tvmarrayfree_4752',['TVMArrayFree',['../c__runtime__api_8h.html#a591e48e52098965e235657dab56bc096',1,'c_runtime_api.h']]],
+  ['tvmarrayfromdlpack_4753',['TVMArrayFromDLPack',['../c__runtime__api_8h.html#ad67b8f8766fce6b62c82a458e3c9b053',1,'c_runtime_api.h']]],
+  ['tvmarrayhandle_4754',['TVMArrayHandle',['../c__runtime__api_8h.html#a73ca58cb32f4a4adf71d274dc1e27be4',1,'c_runtime_api.h']]],
+  ['tvmarrayhandletoobjecthandle_4755',['TVMArrayHandleToObjectHandle',['../namespacetvm_1_1runtime.html#afdd7050eda88b079f0a962bd413a34ea',1,'tvm::runtime']]],
+  ['tvmarraytodlpack_4756',['TVMArrayToDLPack',['../c__runtime__api_8h.html#ae690840d1af9c7b0fe5b9b457456f60d',1,'c_runtime_api.h']]],
+  ['tvmbackendallocworkspace_4757',['TVMBackendAllocWorkspace',['../c__backend__api_8h.html#a07eaf7d1b748d99aa7715c7adbdea231',1,'c_backend_api.h']]],
+  ['tvmbackendfreeworkspace_4758',['TVMBackendFreeWorkspace',['../c__backend__api_8h.html#a4560d2cbefdae811371596672e455dfd',1,'c_backend_api.h']]],
+  ['tvmbackendgetfuncfromenv_4759',['TVMBackendGetFuncFromEnv',['../c__backend__api_8h.html#a56a654a9aeba2f1ccf3e10918dd88ec5',1,'c_backend_api.h']]],
+  ['tvmbackendpackedcfunc_4760',['TVMBackendPackedCFunc',['../c__backend__api_8h.html#a58f5af221f14f8e68bd57f83643b3cac',1,'c_backend_api.h']]],
+  ['tvmbackendparallelbarrier_4761',['TVMBackendParallelBarrier',['../c__backend__api_8h.html#a2d54b04a58a58eb9ff43e33c320e60a7',1,'c_backend_api.h']]],
+  ['tvmbackendparallellaunch_4762',['TVMBackendParallelLaunch',['../c__backend__api_8h.html#a6ff8662943d0f003d55d9046cd24daf8',1,'c_backend_api.h']]],
+  ['tvmbackendregisterenvcapi_4763',['TVMBackendRegisterEnvCAPI',['../c__backend__api_8h.html#a1a73615a9c1d736554b6b29912299922',1,'c_backend_api.h']]],
+  ['tvmbackendregistersystemlibsymbol_4764',['TVMBackendRegisterSystemLibSymbol',['../c__backend__api_8h.html#a0c57deb5acb9338ec778d91bd6e42191',1,'c_backend_api.h']]],
+  ['tvmbackendrunonce_4765',['TVMBackendRunOnce',['../c__backend__api_8h.html#ae70bd3ee026eb55b438ada05b08f0ce8',1,'c_backend_api.h']]],
+  ['tvmbytearray_4766',['TVMByteArray',['../structTVMByteArray.html',1,'']]],
+  ['tvmbytearrayfree_4767',['TVMByteArrayFree',['../c__runtime__api_8h.html#aa9f2237f2fdcb96a75e7f3c6fa4209aa',1,'c_runtime_api.h']]],
+  ['tvmcbargtoreturn_4768',['TVMCbArgToReturn',['../c__runtime__api_8h.html#a6fee438ab81fbf4235375d9f17f36d6f',1,'c_runtime_api.h']]],
+  ['tvmcfuncsetreturn_4769',['TVMCFuncSetReturn',['../c__runtime__api_8h.html#ad0c98ff469bd844546a71f61d2e164f3',1,'c_runtime_api.h']]],
+  ['tvmconstantinfo_4770',['TVMConstantInfo',['../structTVMConstantInfo.html',1,'']]],
+  ['tvmdeviceallocdataspace_4771',['TVMDeviceAllocDataSpace',['../c__runtime__api_8h.html#a06455d20ce57f3d3d13776ff6bdf0b61',1,'c_runtime_api.h']]],
+  ['tvmdeviceallocdataspacewithscope_4772',['TVMDeviceAllocDataSpaceWithScope',['../c__runtime__api_8h.html#a54212f093a2fc24a5fd7c3fecf29813a',1,'c_runtime_api.h']]],
+  ['tvmdevicecopydatafromto_4773',['TVMDeviceCopyDataFromTo',['../c__runtime__api_8h.html#aa588ee4bed71a4e7cb1f08ae8c46568f',1,'c_runtime_api.h']]],
+  ['tvmdeviceexttype_4774',['TVMDeviceExtType',['../c__runtime__api_8h.html#a57cbccb14c35a0e62dbc1b911188fcef',1,'c_runtime_api.h']]],
+  ['tvmdeviceexttype_5fend_4775',['TVMDeviceExtType_End',['../c__runtime__api_8h.html#a57cbccb14c35a0e62dbc1b911188fcefa474961a2b52fee7b33e529015162e884',1,'c_runtime_api.h']]],
+  ['tvmdevicefreedataspace_4776',['TVMDeviceFreeDataSpace',['../c__runtime__api_8h.html#a05c7535a79c99bddaf9e3aebde6013e6',1,'c_runtime_api.h']]],
+  ['tvmdlmanagedtensorcalldeleter_4777',['TVMDLManagedTensorCallDeleter',['../c__runtime__api_8h.html#a6483791fc218022e690b6e0d81a095b0',1,'c_runtime_api.h']]],
+  ['tvmextensionfuncdeclarer_4778',['TVMExtensionFuncDeclarer',['../c__runtime__api_8h.html#a3980ab80477e57fc6045c12ea8b9e48d',1,'c_runtime_api.h']]],
+  ['tvmfunccall_4779',['TVMFuncCall',['../c__runtime__api_8h.html#a8a01e20ffd5784465df0759c950154b5',1,'c_runtime_api.h']]],
+  ['tvmfunccreatefromcfunc_4780',['TVMFuncCreateFromCFunc',['../c__runtime__api_8h.html#adfd8d1f9e7229625d4acd7da3b10287c',1,'c_runtime_api.h']]],
+  ['tvmfuncfree_4781',['TVMFuncFree',['../c__runtime__api_8h.html#af420f0d74004615c03bb40270ad5d489',1,'c_runtime_api.h']]],
+  ['tvmfuncgetglobal_4782',['TVMFuncGetGlobal',['../c__runtime__api_8h.html#ad3bd42da244a0e32ac82d7428e01a010',1,'c_runtime_api.h']]],
+  ['tvmfunclistglobalnames_4783',['TVMFuncListGlobalNames',['../c__runtime__api_8h.html#a392740d028710fcd356b2b63ab90fd01',1,'c_runtime_api.h']]],
+  ['tvmfuncregisterglobal_4784',['TVMFuncRegisterGlobal',['../c__runtime__api_8h.html#a9642167d9a76bfe7e81174e8776d504b',1,'c_runtime_api.h']]],
+  ['tvmfuncregistry_4785',['TVMFuncRegistry',['../structTVMFuncRegistry.html',1,'TVMFuncRegistry'],['../func__registry_8h.html#a38e83c5fe99e0dfd2c131cc44cd74c18',1,'TVMFuncRegistry():&#160;func_registry.h']]],
+  ['tvmfuncregistry_5fget0thfunctionname_4786',['TVMFuncRegistry_Get0thFunctionName',['../func__registry_8h.html#ae7b93356e31f8ce196c3dc02e1e7aa4a',1,'func_registry.h']]],
+  ['tvmfuncregistry_5fgetbyindex_4787',['TVMFuncRegistry_GetByIndex',['../func__registry_8h.html#a7181f6969391c489ac4c76d4e5daa809',1,'func_registry.h']]],
+  ['tvmfuncregistry_5fgetnumfuncs_4788',['TVMFuncRegistry_GetNumFuncs',['../func__registry_8h.html#a5e18e8e0656f55f7c2677332856c9de3',1,'func_registry.h']]],
+  ['tvmfuncregistry_5flookup_4789',['TVMFuncRegistry_Lookup',['../func__registry_8h.html#a2533d67f0ce5fbcf4cd1ba3fba721e2b',1,'func_registry.h']]],
+  ['tvmfuncregistry_5fsetnumfuncs_4790',['TVMFuncRegistry_SetNumFuncs',['../func__registry_8h.html#a33ba627f9b0011b3a3e00ac5b253c0fd',1,'func_registry.h']]],
+  ['tvmfuncremoveglobal_4791',['TVMFuncRemoveGlobal',['../c__runtime__api_8h.html#ab98d6b66089da8b33b662ccdb243b26b',1,'c_runtime_api.h']]],
+  ['tvmfunctionhandle_4792',['TVMFunctionHandle',['../c__runtime__api_8h.html#acf57d257a6e0841d84ebbd2a339d183e',1,'c_runtime_api.h']]],
+  ['tvmgetlasterror_4793',['TVMGetLastError',['../c__runtime__api_8h.html#a775383bcd8c0237e36bdf0c9654d62c3',1,'c_runtime_api.h']]],
+  ['tvmgraphexecutor_4794',['TVMGraphExecutor',['../graph__executor_8h.html#aa07e21a523d91145595f95e9aadf5e61',1,'graph_executor.h']]],
+  ['tvmgraphexecutor_5fcreate_4795',['TVMGraphExecutor_Create',['../graph__executor_8h.html#ada04cf7114e79511f2575453d244ea48',1,'graph_executor.h']]],
+  ['tvmgraphexecutor_5fgetinputindex_4796',['TVMGraphExecutor_GetInputIndex',['../graph__executor_8h.html#ace4739ee4e9e6b20605e9c565065de1b',1,'graph_executor.h']]],
+  ['tvmgraphexecutor_5fgetnuminputs_4797',['TVMGraphExecutor_GetNumInputs',['../graph__executor_8h.html#a52f5b9fc4c62f7f239e76534ec20369e',1,'graph_executor.h']]],
+  ['tvmgraphexecutor_5fgetnumoutputs_4798',['TVMGraphExecutor_GetNumOutputs',['../graph__executor_8h.html#adae931df07cb504e7951d82722b3706d',1,'graph_executor.h']]],
+  ['tvmgraphexecutor_5fgetoutput_4799',['TVMGraphExecutor_GetOutput',['../graph__executor_8h.html#a81fb1497f82a1a942fcbfa8be29c1646',1,'graph_executor.h']]],
+  ['tvmgraphexecutor_5floadparams_4800',['TVMGraphExecutor_LoadParams',['../graph__executor_8h.html#a4ffb31d1bae06cc87a488955bc27f56d',1,'graph_executor.h']]],
+  ['tvmgraphexecutor_5frelease_4801',['TVMGraphExecutor_Release',['../graph__executor_8h.html#afc898800b81cecb2b6fd5f57a6ba153d',1,'graph_executor.h']]],
+  ['tvmgraphexecutor_5frun_4802',['TVMGraphExecutor_Run',['../graph__executor_8h.html#aef2d3b3f4c26ed751da9a60ca1ac082b',1,'graph_executor.h']]],
+  ['tvmgraphexecutor_5fsetinput_4803',['TVMGraphExecutor_SetInput',['../graph__executor_8h.html#a54b808f6646bc01a94e97bec002ffd0c',1,'graph_executor.h']]],
+  ['tvmgraphexecutorgraphattr_4804',['TVMGraphExecutorGraphAttr',['../graph__executor_8h.html#a0017621bbbb01622a3544117e8437395',1,'TVMGraphExecutorGraphAttr():&#160;graph_executor.h'],['../structTVMGraphExecutorGraphAttr.html',1,'TVMGraphExecutorGraphAttr']]],
+  ['tvmgraphexecutormodule_5fregister_4805',['TVMGraphExecutorModule_Register',['../graph__executor__module_8h.html#a174fff47eabdfcc1ecad5e7616020a35',1,'graph_executor_module.h']]],
+  ['tvminitializeruntime_4806',['TVMInitializeRuntime',['../crt_8h.html#aa5daf120863bdc94e142ebb127b65f38',1,'crt.h']]],
+  ['tvmmetadata_4807',['TVMMetadata',['../aot__executor_8h.html#a4599d4a62bfcf460b9682d8a715360c7',1,'TVMMetadata():&#160;aot_executor.h'],['../structTVMMetadata.html',1,'TVMMetadata']]],
+  ['tvmmodcreatefromcmodule_4808',['TVMModCreateFromCModule',['../runtime_2crt_2module_8h.html#a4c11ce8105182e14068bb9a427605a81',1,'module.h']]],
+  ['tvmmodfree_4809',['TVMModFree',['../c__runtime__api_8h.html#ac16764609b45ac911a5c9971e195e377',1,'c_runtime_api.h']]],
+  ['tvmmodgetfunction_4810',['TVMModGetFunction',['../c__runtime__api_8h.html#a5a19a18084c94396a673efa309405a6c',1,'c_runtime_api.h']]],
+  ['tvmmodimport_4811',['TVMModImport',['../c__runtime__api_8h.html#aaeb0d67f6d7e90e671dd5a53b1d6b81a',1,'c_runtime_api.h']]],
+  ['tvmmodloadfromfile_4812',['TVMModLoadFromFile',['../c__runtime__api_8h.html#a47f79bb2004573a8e36a175d1b73fc64',1,'c_runtime_api.h']]],
+  ['tvmmodule_4813',['TVMModule',['../runtime_2crt_2module_8h.html#abd47b4fef13cf3b4dd7f7b7ad45357cf',1,'TVMModule():&#160;module.h'],['../structTVMModule.html',1,'TVMModule']]],
+  ['tvmmodulehandle_4814',['TVMModuleHandle',['../c__runtime__api_8h.html#ac4b0321c489287dcf4637e15328298ce',1,'c_runtime_api.h']]],
+  ['tvmmovableargvalue_5f_4815',['TVMMovableArgValue_',['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html',1,'tvm::runtime::TVMMovableArgValue_'],['../classtvm_1_1runtime_1_1ObjectPtr.html#acd985550cba6cf8509122cbd996c1557',1,'tvm::runtime::ObjectPtr::TVMMovableArgValue_()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#acd985550cba6cf8509122cbd996c1557',1,'tvm::runtime::TVMPODValue_::TVMMovableArgValue_()'],['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html#a8eca9048535541f374a58 [...]
+  ['tvmmovableargvaluewithcontext_5f_4816',['TVMMovableArgValueWithContext_',['../classtvm_1_1runtime_1_1TVMMovableArgValueWithContext__.html',1,'tvm::runtime::TVMMovableArgValueWithContext_'],['../classtvm_1_1runtime_1_1TVMMovableArgValueWithContext__.html#adf0eff794c9dd05da7e61c03b03f6bc7',1,'tvm::runtime::TVMMovableArgValueWithContext_::TVMMovableArgValueWithContext_()']]],
+  ['tvmmutablefuncregistry_4817',['TVMMutableFuncRegistry',['../func__registry_8h.html#ad3e4a30d33cbb84e33d3665373f82df1',1,'TVMMutableFuncRegistry():&#160;func_registry.h'],['../structTVMMutableFuncRegistry.html',1,'TVMMutableFuncRegistry']]],
+  ['tvmmutablefuncregistry_5fcreate_4818',['TVMMutableFuncRegistry_Create',['../func__registry_8h.html#a305cf0e49c5aac2d4cc744bf6417adca',1,'func_registry.h']]],
+  ['tvmmutablefuncregistry_5fset_4819',['TVMMutableFuncRegistry_Set',['../func__registry_8h.html#a6a0639e41bbb0efbf4d5b0f8c6fd206d',1,'func_registry.h']]],
+  ['tvmobjectderivedfrom_4820',['TVMObjectDerivedFrom',['../c__runtime__api_8h.html#a1d193b0c247af874dad4b31afc8ee7d8',1,'c_runtime_api.h']]],
+  ['tvmobjectfree_4821',['TVMObjectFree',['../c__runtime__api_8h.html#a660b709e0d509be7909fc31e3690d3f9',1,'c_runtime_api.h']]],
+  ['tvmobjectgettypeindex_4822',['TVMObjectGetTypeIndex',['../c__runtime__api_8h.html#ab9ddee6cf53918337cd4ca68d8bfc8b1',1,'c_runtime_api.h']]],
+  ['tvmobjecthandle_4823',['TVMObjectHandle',['../c__runtime__api_8h.html#aff795d001e3761c75b2b8f422465d5a0',1,'c_runtime_api.h']]],
+  ['tvmobjectretain_4824',['TVMObjectRetain',['../c__runtime__api_8h.html#ae899a6a211b7913e92420a01b804db64',1,'c_runtime_api.h']]],
+  ['tvmobjecttypeindex2key_4825',['TVMObjectTypeIndex2Key',['../c__runtime__api_8h.html#ae867a91b746fbe91e289b0d2ab0446aa',1,'c_runtime_api.h']]],
+  ['tvmobjecttypekey2index_4826',['TVMObjectTypeKey2Index',['../c__runtime__api_8h.html#a77d84581b6d0d78c77d88139c6adbf81',1,'c_runtime_api.h']]],
+  ['tvmopparam_4827',['TVMOpParam',['../structTVMOpParam.html',1,'TVMOpParam'],['../graph__executor_8h.html#a79b221d404ca5d2989ec971e6385c8a7',1,'TVMOpParam():&#160;graph_executor.h']]],
+  ['tvmpackedcfunc_4828',['TVMPackedCFunc',['../c__runtime__api_8h.html#a07954203342f2787acf988c4c351d9c3',1,'c_runtime_api.h']]],
+  ['tvmpackedcfuncfinalizer_4829',['TVMPackedCFuncFinalizer',['../c__runtime__api_8h.html#ac035a1354f2e5d7f8613af73cc735742',1,'c_runtime_api.h']]],
+  ['tvmpackedfunc_4830',['TVMPackedFunc',['../structTVMPackedFunc.html',1,'TVMPackedFunc'],['../crt_2packed__func_8h.html#a4c9d8154e6e2fda7435a53626ae96923',1,'TVMPackedFunc():&#160;packed_func.h']]],
+  ['tvmpackedfunc_5fcall_4831',['TVMPackedFunc_Call',['../crt_2packed__func_8h.html#acb0ddf3dca19377b657d6829b34dd57b',1,'packed_func.h']]],
+  ['tvmpackedfunc_5finitglobalfunc_4832',['TVMPackedFunc_InitGlobalFunc',['../crt_2packed__func_8h.html#ad19fd169419265a9122c4f257b4093d8',1,'packed_func.h']]],
+  ['tvmpackedfunc_5finitmodulefunc_4833',['TVMPackedFunc_InitModuleFunc',['../crt_2packed__func_8h.html#a65f35e3b3f521d105d7aa71347135efd',1,'packed_func.h']]],
+  ['tvmpackedfunc_5fsetargs_4834',['TVMPackedFunc_SetArgs',['../crt_2packed__func_8h.html#af145c1c723cc05360ab7b66bcf6f435e',1,'packed_func.h']]],
+  ['tvmparallelgroupenv_4835',['TVMParallelGroupEnv',['../structTVMParallelGroupEnv.html',1,'']]],
+  ['tvmplatformabort_4836',['TVMPlatformAbort',['../platform_8h.html#a47980e4ea2182978f94ca87cc15ca0c8',1,'platform.h']]],
+  ['tvmplatformaftermeasurement_4837',['TVMPlatformAfterMeasurement',['../platform_8h.html#a20e1528da0256cd8be25c91ea76a5f7b',1,'platform.h']]],
+  ['tvmplatformbeforemeasurement_4838',['TVMPlatformBeforeMeasurement',['../platform_8h.html#afd94474b764a8526d53fd6230ce4b475',1,'platform.h']]],
+  ['tvmplatformformatmessage_4839',['TVMPlatformFormatMessage',['../platform_8h.html#a6dfecb024ace62e724817f90b6407285',1,'platform.h']]],
+  ['tvmplatformgeneraterandom_4840',['TVMPlatformGenerateRandom',['../platform_8h.html#a92f8606f31e9b8ccaf02024bd4dbeb0e',1,'platform.h']]],
+  ['tvmplatforminitialize_4841',['TVMPlatformInitialize',['../platform_8h.html#a77204d888fc5e68c74b8bdf1e91bada2',1,'platform.h']]],
+  ['tvmplatformmemoryallocate_4842',['TVMPlatformMemoryAllocate',['../platform_8h.html#a133959eaf3ec68c568bdb71fcb94ddcb',1,'platform.h']]],
+  ['tvmplatformmemoryfree_4843',['TVMPlatformMemoryFree',['../platform_8h.html#a890631daad5b14e3c9fd34b94e41eaed',1,'platform.h']]],
+  ['tvmplatformtimerstart_4844',['TVMPlatformTimerStart',['../platform_8h.html#a1accf5aa6941b2f22e69b2f1870a1be6',1,'platform.h']]],
+  ['tvmplatformtimerstop_4845',['TVMPlatformTimerStop',['../platform_8h.html#a02a9ab0c374c97f35295008eff51d034',1,'platform.h']]],
+  ['tvmpodvalue_5f_4846',['TVMPODValue_',['../classtvm_1_1runtime_1_1TVMPODValue__.html',1,'tvm::runtime::TVMPODValue_'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a9a9fd94393cfd7d4b6e6029348e3e19a',1,'tvm::runtime::ObjectPtr::TVMPODValue_()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#a2f46b59a6c1d5eb4575d7f583b5f1a0c',1,'tvm::runtime::TVMPODValue_::TVMPODValue_()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#afe1837bdbafe8341c2031c5cebcf6e74',1,'tvm::runtime::TVMPODValue_::TVMPO [...]
+  ['tvmretvalue_4847',['TVMRetValue',['../classtvm_1_1runtime_1_1TVMRetValue.html',1,'tvm::runtime::TVMRetValue'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#ae0ea8b4adc6dab8c74086bceaef6b3e1',1,'tvm::runtime::TVMPODValue_::TVMRetValue()'],['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#ae0ea8b4adc6dab8c74086bceaef6b3e1',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;::TVMRetValue()'],['../classtvm_1_1runtime_1_1ObjectRef.html#ae0ea8b4adc6dab8c74086bceaef6 [...]
+  ['tvmretvaluehandle_4848',['TVMRetValueHandle',['../c__runtime__api_8h.html#a6cd1076476117e74454f67931c2da1d4',1,'c_runtime_api.h']]],
+  ['tvmscriptprinter_4849',['TVMScriptPrinter',['../classtvm_1_1TVMScriptPrinter.html',1,'tvm']]],
+  ['tvmsetstream_4850',['TVMSetStream',['../c__runtime__api_8h.html#ac414ed248ddb1bfb561685bba3de5e89',1,'c_runtime_api.h']]],
+  ['tvmstreamcreate_4851',['TVMStreamCreate',['../c__runtime__api_8h.html#a71d0c47cca9068b17cf0a1f4c58d8ca9',1,'c_runtime_api.h']]],
+  ['tvmstreamfree_4852',['TVMStreamFree',['../c__runtime__api_8h.html#a9dd0545318bfee4e2f4ccce7d7008e01',1,'c_runtime_api.h']]],
+  ['tvmstreamhandle_4853',['TVMStreamHandle',['../c__runtime__api_8h.html#ab1d5f6b7945e1410602a8a057fda5757',1,'c_runtime_api.h']]],
+  ['tvmstreamstreamsynchronize_4854',['TVMStreamStreamSynchronize',['../c__runtime__api_8h.html#aa91f776ed41a36790409f78725f81419',1,'c_runtime_api.h']]],
+  ['tvmstructfieldkind_4855',['TVMStructFieldKind',['../namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1c',1,'tvm::tir::builtin']]],
+  ['tvmsynchronize_4856',['TVMSynchronize',['../c__runtime__api_8h.html#a386d7efd946bc750af8bf109f93f6ce2',1,'c_runtime_api.h']]],
+  ['tvmsystemlibentrypoint_4857',['TVMSystemLibEntryPoint',['../runtime_2crt_2module_8h.html#a32fdb5a1df93075a184a36d2549833fa',1,'module.h']]],
+  ['tvmtensorinfo_4858',['TVMTensorInfo',['../structTVMTensorInfo.html',1,'']]],
+  ['tvmvalue_4859',['TVMValue',['../unionTVMValue.html',1,'']]],
+  ['type_4860',['Type',['../classtvm_1_1Type.html',1,'tvm']]],
+  ['type_4861',['type',['../classtvm_1_1runtime_1_1vm_1_1Allocator.html#a7cfb6d4ea480436801276fe2e7660eb2',1,'tvm::runtime::vm::Allocator::type()'],['../classtvm_1_1relay_1_1TypePatternNode.html#aab5faa2a58862707b8dc18b59cccac19',1,'tvm::relay::TypePatternNode::type()'],['../structtvm_1_1detail_1_1is__specialized_3_01Container_3_01Args_8_8_8_01_4_00_01Container_01_4.html#a8dee3a1604498d6bc64948f1c0d19dc2',1,'tvm::detail::is_specialized&lt; Container&lt; Args... &gt;, Container &gt;::type [...]
+  ['type_4862',['Type',['../namespacetvm_1_1relay.html#a661d95f170bca230773914caeef3fe52',1,'tvm::relay']]],
+  ['type_2eh_4863',['type.h',['../ir_2type_8h.html',1,'(Global Namespace)'],['../relay_2type_8h.html',1,'(Global Namespace)']]],
+  ['type_5fannotation_4864',['type_annotation',['../classtvm_1_1relay_1_1VarNode.html#a79a56885eaf2a9326ff490164a5c1f0e',1,'tvm::relay::VarNode::type_annotation()'],['../classtvm_1_1tir_1_1VarNode.html#a7a84c6d137a79e9a5b9c4b6183f18353',1,'tvm::tir::VarNode::type_annotation()']]],
+  ['type_5fargs_4865',['type_args',['../classtvm_1_1relay_1_1CallNode.html#ad23d97a6ae1cc1bea903d4c714f811d6',1,'tvm::relay::CallNode']]],
+  ['type_5fas_4866',['type_as',['../classtvm_1_1RelayExprNode.html#aa6a49e1f6c40d4e24452114232bd1152',1,'tvm::RelayExprNode']]],
+  ['type_5fcode_4867',['type_code',['../classtvm_1_1runtime_1_1TVMPODValue__.html#aefca71073146f4be36d6a4a0de33d6e0',1,'tvm::runtime::TVMPODValue_']]],
+  ['type_5fcode_5f_4868',['type_code_',['../classtvm_1_1runtime_1_1TVMPODValue__.html#aed3e983e990c5c4ed3ac09b95055297e',1,'tvm::runtime::TVMPODValue_']]],
+  ['type_5fcodes_4869',['type_codes',['../classtvm_1_1runtime_1_1TVMArgs.html#abdb0f929087b14eb28d75c7a047cef9e',1,'tvm::runtime::TVMArgs']]],
+  ['type_5fconstraints_4870',['type_constraints',['../classtvm_1_1FuncTypeNode.html#a6dd6e8ed07019caa87943ec47d065499',1,'tvm::FuncTypeNode']]],
+  ['type_5fdefinitions_4871',['type_definitions',['../classtvm_1_1IRModuleNode.html#a547dbf39f1ecf5324153e10f7ca724c3',1,'tvm::IRModuleNode']]],
+  ['type_5ffunctor_2eh_4872',['type_functor.h',['../type__functor_8h.html',1,'']]],
+  ['type_5ffunctor_5fdefault_4873',['TYPE_FUNCTOR_DEFAULT',['../type__functor_8h.html#ad222ca7b5f1a4a8c626d1f1e4b53cdb0',1,'type_functor.h']]],
+  ['type_5findex_4874',['type_index',['../classtvm_1_1runtime_1_1Object.html#a481f01923b14e1851ebd38506e9c66ea',1,'tvm::runtime::Object']]],
+  ['type_5findex_5f_4875',['type_index_',['../classtvm_1_1runtime_1_1Object.html#a4bfc2586cb55f2af47728187b3256255',1,'tvm::runtime::Object']]],
+  ['type_5finfo_4876',['type_info',['../classtvm_1_1AttrFieldInfoNode.html#aa0e740cddb317c3a74a12302ab531b9b',1,'tvm::AttrFieldInfoNode']]],
+  ['type_5fkey_4877',['type_key',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a00f035566a720dbac56f5dea042fb0ec',1,'tvm::runtime::vm::VirtualMachine::type_key()'],['../classtvm_1_1runtime_1_1vm_1_1Executable.html#aef4f3663ee57fcbdf2d775f4dbbe79dc',1,'tvm::runtime::vm::Executable::type_key()'],['../classtvm_1_1runtime_1_1ModuleNode.html#a5834549180e1c668e7126562cea9a557',1,'tvm::runtime::ModuleNode::type_key()'],['../classtvm_1_1runtime_1_1metadata_1_1MetadataArrayNode.html#a452a [...]
+  ['type_5fkey_5f_4878',['type_key_',['../structtvm_1_1detail_1_1AttrInitEntry.html#a573a25648ca0d3d8fe28f6c7614248bf',1,'tvm::detail::AttrInitEntry']]],
+  ['type_5fparams_4879',['type_params',['../classtvm_1_1FuncTypeNode.html#acc1bb373c5851f6cec016a874f304d05',1,'tvm::FuncTypeNode::type_params()'],['../classtvm_1_1relay_1_1FunctionNode.html#a558ecb3ab57111b1a0f347764054d5e1',1,'tvm::relay::FunctionNode::type_params()']]],
+  ['type_5frelation_2eh_4880',['type_relation.h',['../type__relation_8h.html',1,'']]],
+  ['type_5fvars_4881',['type_vars',['../classtvm_1_1TypeDataNode.html#a350a23efc88be1def5b93d27ac6fa88b',1,'tvm::TypeDataNode']]],
+  ['typeannotation_4882',['TypeAnnotation',['../namespacetvm_1_1tir.html#abf355a4fdeb063b1adb4946cad5fca68',1,'tvm::tir']]],
+  ['typecall_4883',['TypeCall',['../classtvm_1_1TypeCall.html',1,'tvm::TypeCall'],['../namespacetvm_1_1relay.html#ab406a37acee11226e3e2e119beee439e',1,'tvm::relay::TypeCall()'],['../classtvm_1_1TypeCall.html#a54ca5beebff2a428241cf7564b496e02',1,'tvm::TypeCall::TypeCall()']]],
+  ['typecallnode_4884',['TypeCallNode',['../classtvm_1_1TypeCallNode.html',1,'tvm::TypeCallNode'],['../namespacetvm_1_1relay.html#af4dccabc877b8fd7db47cb73fb93883e',1,'tvm::relay::TypeCallNode()']]],
+  ['typecode_4885',['TypeCode',['../classtvm_1_1runtime_1_1DataType.html#a3c9ce1627be2550f656cd37b6c698c7d',1,'tvm::runtime::DataType']]],
+  ['typeconstraint_4886',['TypeConstraint',['../classtvm_1_1TypeConstraint.html',1,'tvm::TypeConstraint'],['../namespacetvm_1_1relay.html#a64e2e93fe04716efd8334ab4e39c92ce',1,'tvm::relay::TypeConstraint()']]],
+  ['typeconstraintnode_4887',['TypeConstraintNode',['../classtvm_1_1TypeConstraintNode.html',1,'tvm::TypeConstraintNode'],['../namespacetvm_1_1relay.html#a565e027589acded20ca38df22be098dc',1,'tvm::relay::TypeConstraintNode()']]],
+  ['typedata_4888',['TypeData',['../classtvm_1_1TypeData.html',1,'tvm::TypeData'],['../classtvm_1_1TypeData.html#a0a98fd1095812379d2bd1337db1511c1',1,'tvm::TypeData::TypeData()'],['../namespacetvm_1_1relay.html#a6e725a1cb4c83346e261eac7dc7292a8',1,'tvm::relay::TypeData()']]],
+  ['typedatanode_4889',['TypeDataNode',['../classtvm_1_1TypeDataNode.html',1,'tvm::TypeDataNode'],['../namespacetvm_1_1relay.html#a2b8c0d5920eaca88569907e92df6066f',1,'tvm::relay::TypeDataNode()']]],
+  ['typedenvfunc_4890',['TypedEnvFunc',['../classtvm_1_1TypedEnvFunc.html',1,'tvm::TypedEnvFunc&lt; FType &gt;'],['../classtvm_1_1TypedEnvFunc_3_01R_07Args_8_8_8_08_4.html#a0d72a6fa7263821c14bcd37837998ed9',1,'tvm::TypedEnvFunc&lt; R(Args...)&gt;::TypedEnvFunc(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1TypedEnvFunc_3_01R_07Args_8_8_8_08_4.html#a41a6b9014d0feeb628ca7edfd0d26f0b',1,'tvm::TypedEnvFunc&lt; R(Args...)&gt;::TypedEnvFunc()']]],
+  ['typedenvfunc_3c_20bool_28const_20array_3c_20type_20_3e_20_26args_2c_20int_20num_5finputs_2c_20const_20attrs_20_26attrs_2c_20const_20typereporter_20_26reporter_29_3e_4891',['TypedEnvFunc&lt; bool(const Array&lt; Type &gt; &amp;args, int num_inputs, const Attrs &amp;attrs, const TypeReporter &amp;reporter)&gt;',['../classtvm_1_1TypedEnvFunc.html',1,'tvm']]],
+  ['typedenvfunc_3c_20r_28args_2e_2e_2e_29_3e_4892',['TypedEnvFunc&lt; R(Args...)&gt;',['../classtvm_1_1TypedEnvFunc_3_01R_07Args_8_8_8_08_4.html',1,'tvm']]],
+  ['typedpackedfunc_4893',['TypedPackedFunc',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime::TypedPackedFunc&lt; FType &gt;'],['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#a4abadc6786dd14a3aed6e2b5b342d1d6',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;::TypedPackedFunc()'],['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#a36ca0d1876544463ee848766e70e5e96',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;::Typed [...]
+  ['typedpackedfunc_3c_20array_3c_20objectref_20_3e_28objectref_20json_5fattrs_29_3e_4894',['TypedPackedFunc&lt; Array&lt; ObjectRef &gt;(ObjectRef json_attrs)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20array_3c_20objectref_20_3e_28schedule_20sch_2c_20const_20array_3c_20objectref_20_3e_20_26inputs_2c_20const_20array_3c_20objectref_20_3e_20_26attrs_2c_20const_20optional_3c_20objectref_20_3e_20_26decision_29_3e_4895',['TypedPackedFunc&lt; Array&lt; ObjectRef &gt;(Schedule sch, const Array&lt; ObjectRef &gt; &amp;inputs, const Array&lt; ObjectRef &gt; &amp;attrs, const Optional&lt; ObjectRef &gt; &amp;decision)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html' [...]
+  ['typedpackedfunc_3c_20array_3c_20runnerresult_20_3e_28int_29_3e_4896',['TypedPackedFunc&lt; Array&lt; RunnerResult &gt;(int)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20array_3c_20te_3a_3atensor_20_3e_28const_20attrs_20_26attrs_2c_20const_20array_3c_20te_3a_3atensor_20_3e_20_26inputs_2c_20const_20type_20_26out_5ftype_29_3e_4897',['TypedPackedFunc&lt; Array&lt; te::Tensor &gt;(const Attrs &amp;attrs, const Array&lt; te::Tensor &gt; &amp;inputs, const Type &amp;out_type)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20array_3c_20tuningrecord_20_3e_28_29_3e_4898',['TypedPackedFunc&lt; Array&lt; TuningRecord &gt;()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20array_3c_20tuningrecord_20_3e_28const_20workload_20_26_2c_20int_29_3e_4899',['TypedPackedFunc&lt; Array&lt; TuningRecord &gt;(const Workload &amp;, int)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20array_3c_20tvm_3a_3aruntime_3a_3andarray_20_3e_28const_20tunecontext_20_26context_2c_20const_20array_3c_20measurecandidate_20_3e_20_26candidates_29_3e_4900',['TypedPackedFunc&lt; Array&lt; tvm::runtime::NDArray &gt;(const TuneContext &amp;context, const Array&lt; MeasureCandidate &gt; &amp;candidates)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20bool_28_29_3e_4901',['TypedPackedFunc&lt; bool()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20bool_28const_20irmodule_20_26_29_3e_4902',['TypedPackedFunc&lt; bool(const IRModule &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20int_28_29_3e_4903',['TypedPackedFunc&lt; int()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20int64_5ft_28_29_3e_4904',['TypedPackedFunc&lt; int64_t()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20objectref_28array_3c_20objectref_20_3e_20attrs_29_3e_4905',['TypedPackedFunc&lt; ObjectRef(Array&lt; ObjectRef &gt; attrs)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20optional_3c_20irmodule_20_3e_28const_20irmodule_20_26_2c_20const_20target_20_26_2c_20const_20string_20_26_29_3e_4906',['TypedPackedFunc&lt; Optional&lt; IRModule &gt;(const IRModule &amp;, const Target &amp;, const String &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20optional_3c_20tir_3a_3aschedule_20_3e_28const_20irmodule_20_26_2c_20const_20target_20_26_2c_20const_20string_20_26_29_3e_4907',['TypedPackedFunc&lt; Optional&lt; tir::Schedule &gt;(const IRModule &amp;, const Target &amp;, const String &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20optional_3c_20tuningrecord_20_3e_28const_20irmodule_20_26_2c_20const_20target_20_26_2c_20const_20string_20_26_29_3e_4908',['TypedPackedFunc&lt; Optional&lt; TuningRecord &gt;(const IRModule &amp;, const Target &amp;, const String &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20r_28args_2e_2e_2e_29_3e_4909',['TypedPackedFunc&lt; R(Args...)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20runnerresult_28_29_3e_4910',['TypedPackedFunc&lt; RunnerResult()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20string_28_29_3e_4911',['TypedPackedFunc&lt; String()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20string_28const_20array_3c_20objectref_20_3e_20_26inputs_2c_20const_20array_3c_20objectref_20_3e_20_26attrs_2c_20const_20optional_3c_20objectref_20_3e_20_26decision_2c_20const_20array_3c_20string_20_3e_20_26outputs_29_3e_4912',['TypedPackedFunc&lt; String(const Array&lt; ObjectRef &gt; &amp;inputs, const Array&lt; ObjectRef &gt; &amp;attrs, const Optional&lt; ObjectRef &gt; &amp;decision, const Array&lt; String &gt; &amp;outputs)&gt;',['../classtvm_1_1runtime_1_1T [...]
+  ['typedpackedfunc_3c_20targetjson_28targetjson_29_3e_4913',['TypedPackedFunc&lt; TargetJSON(TargetJSON)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20te_3a_3aschedule_28const_20attrs_20_26attrs_2c_20const_20array_3c_20te_3a_3atensor_20_3e_20_26outs_2c_20const_20target_20_26target_29_3e_4914',['TypedPackedFunc&lt; te::Schedule(const Attrs &amp;attrs, const Array&lt; te::Tensor &gt; &amp;outs, const Target &amp;target)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20tvm_3a_3atir_3a_3astmt_28array_3c_20tvm_3a_3atir_3a_3avar_20_3e_20loop_5fvars_2c_20array_3c_20range_20_3e_20loop_5fextents_2c_20tvm_3a_3atir_3a_3astmt_20loop_5fbody_29_3e_4915',['TypedPackedFunc&lt; tvm::tir::Stmt(Array&lt; tvm::tir::Var &gt; loop_vars, Array&lt; Range &gt; loop_extents, tvm::tir::Stmt loop_body)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28_29_3e_4916',['TypedPackedFunc&lt; void()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28array_3c_20tunecontext_20_3e_20tasks_2c_20array_3c_20floatimm_20_3e_20task_5fweights_2c_20int_20max_5ftrials_5fglobal_2c_20int_20max_5ftrials_5fper_5ftask_2c_20int_20num_5ftrials_5fper_5fiter_2c_20builder_20builder_2c_20runner_20runner_2c_20array_3c_20measurecallback_20_3e_20measure_5fcallbacks_2c_20optional_3c_20database_20_3e_20database_2c_20optional_3c_20costmodel_20_3e_20cost_5fmodel_29_3e_4917',['TypedPackedFunc&lt; void(Array&lt; TuneContext &gt; tas [...]
+  ['typedpackedfunc_3c_20void_28const_20taskscheduler_20_26task_5fscheduler_2c_20int_20task_5fid_2c_20const_20array_3c_20measurecandidate_20_3e_20_26measure_5fcandidates_2c_20const_20array_3c_20builderresult_20_3e_20_26builds_2c_20const_20array_3c_20runnerresult_20_3e_20_26results_29_3e_4918',['TypedPackedFunc&lt; void(const TaskScheduler &amp;task_scheduler, int task_id, const Array&lt; MeasureCandidate &gt; &amp;measure_candidates, const Array&lt; BuilderResult &gt; &amp;builds, const  [...]
+  ['typedpackedfunc_3c_20void_28const_20tunecontext_20_26_2c_20const_20array_3c_20measurecandidate_20_3e_20_26_2c_20const_20array_3c_20runnerresult_20_3e_20_26_29_3e_4919',['TypedPackedFunc&lt; void(const TuneContext &amp;, const Array&lt; MeasureCandidate &gt; &amp;, const Array&lt; RunnerResult &gt; &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28const_20tunecontext_20_26_2c_20const_20array_3c_20measurecandidate_20_3e_20_26_2c_20void_20_2ap_5faddr_29_3e_4920',['TypedPackedFunc&lt; void(const TuneContext &amp;, const Array&lt; MeasureCandidate &gt; &amp;, void *p_addr)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28const_20tuningrecord_20_26_29_3e_4921',['TypedPackedFunc&lt; void(const TuningRecord &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28size_5ft_2c_20void_20_2a_29_3e_4922',['TypedPackedFunc&lt; void(size_t, void *)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28string_29_3e_4923',['TypedPackedFunc&lt; void(String)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28tvm_3a_3adiagnosticcontext_20ctx_29_3e_4924',['TypedPackedFunc&lt; void(tvm::DiagnosticContext ctx)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20workload_28const_20irmodule_20_26_29_3e_4925',['TypedPackedFunc&lt; Workload(const IRModule &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typeequal_4926',['TypeEqual',['../namespacetvm_1_1runtime.html#aee574cee6755b0c2f1aa664c6967686f',1,'tvm::runtime']]],
+  ['typefunctor_4927',['TypeFunctor',['../classtvm_1_1TypeFunctor.html',1,'tvm']]],
+  ['typefunctor_3c_20r_28const_20type_20_26n_2c_20args_2e_2e_2e_29_3e_4928',['TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm']]],
+  ['typefunctor_3c_20type_28const_20type_20_26n_29_3e_4929',['TypeFunctor&lt; Type(const Type &amp;n)&gt;',['../classtvm_1_1TypeFunctor.html',1,'tvm']]],
+  ['typefunctor_3c_20void_28const_20type_20_26n_29_3e_4930',['TypeFunctor&lt; void(const Type &amp;n)&gt;',['../classtvm_1_1TypeFunctor.html',1,'tvm']]],
+  ['typeindex_4931',['TypeIndex',['../structtvm_1_1runtime_1_1TypeIndex.html',1,'tvm::runtime']]],
+  ['typeindex2key_4932',['TypeIndex2Key',['../classtvm_1_1runtime_1_1Object.html#a817ba6c23b7ee1821c48a75edf255a30',1,'tvm::runtime::Object']]],
+  ['typeindex2keyhash_4933',['TypeIndex2KeyHash',['../classtvm_1_1runtime_1_1Object.html#a6ee32a02dd44257da105fbbe5d9c8622',1,'tvm::runtime::Object']]],
+  ['typekey2index_4934',['TypeKey2Index',['../classtvm_1_1runtime_1_1Object.html#a6841f97e06e6614dd7e82c6dd41b818a',1,'tvm::runtime::Object']]],
+  ['typekind_4935',['TypeKind',['../namespacetvm.html#acd267f8d7f55da6ac681239831963279',1,'tvm']]],
+  ['typekind2string_4936',['TypeKind2String',['../namespacetvm.html#ab839d9f872e97b31e30c0059a3eb9a92',1,'tvm']]],
+  ['typematch_4937',['TypeMatch',['../namespacetvm_1_1runtime.html#adbabb7cfb79bfb6d802f65a9803e4eb6',1,'tvm::runtime']]],
+  ['typemutator_4938',['TypeMutator',['../classtvm_1_1TypeMutator.html',1,'tvm']]],
+  ['typename_4939',['TypeName',['../structtvm_1_1detail_1_1TypeName.html',1,'tvm::detail::TypeName&lt; T &gt;'],['../structtvm_1_1runtime_1_1ObjectTypeChecker.html#a3498eb545b33e1c23a417fa58ec51dd6',1,'tvm::runtime::ObjectTypeChecker::TypeName()'],['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Array_3_01T_01_4_01_4.html#aab22b555cfe16d040c204527c73a3287',1,'tvm::runtime::ObjectTypeChecker&lt; Array&lt; T &gt; &gt;::TypeName()'],['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Map_3 [...]
+  ['typename_3c_20bool_20_3e_4940',['TypeName&lt; bool &gt;',['../structtvm_1_1detail_1_1TypeName_3_01bool_01_4.html',1,'tvm::detail']]],
+  ['typename_3c_20datatype_20_3e_4941',['TypeName&lt; DataType &gt;',['../structtvm_1_1detail_1_1TypeName_3_01DataType_01_4.html',1,'tvm::detail']]],
+  ['typename_3c_20double_20_3e_4942',['TypeName&lt; double &gt;',['../structtvm_1_1detail_1_1TypeName_3_01double_01_4.html',1,'tvm::detail']]],
+  ['typename_3c_20int_20_3e_4943',['TypeName&lt; int &gt;',['../structtvm_1_1detail_1_1TypeName_3_01int_01_4.html',1,'tvm::detail']]],
+  ['typename_3c_20int64_5ft_20_3e_4944',['TypeName&lt; int64_t &gt;',['../structtvm_1_1detail_1_1TypeName_3_01int64__t_01_4.html',1,'tvm::detail']]],
+  ['typename_3c_20uint64_5ft_20_3e_4945',['TypeName&lt; uint64_t &gt;',['../structtvm_1_1detail_1_1TypeName_3_01uint64__t_01_4.html',1,'tvm::detail']]],
+  ['typename_3c_20void_20_2a_20_3e_4946',['TypeName&lt; void * &gt;',['../structtvm_1_1detail_1_1TypeName_3_01void_01_5_01_4.html',1,'tvm::detail']]],
+  ['typenode_4947',['TypeNode',['../classtvm_1_1TypeNode.html',1,'tvm::TypeNode'],['../namespacetvm_1_1relay.html#af6995f0c848d0d5cc4124a38f43aaf12',1,'tvm::relay::TypeNode()']]],
+  ['typepattern_4948',['TypePattern',['../classtvm_1_1relay_1_1TypePattern.html',1,'tvm::relay::TypePattern'],['../classtvm_1_1relay_1_1TypePattern.html#a3364c4747a676e0e33e8127fe17632ea',1,'tvm::relay::TypePattern::TypePattern()']]],
+  ['typepatternnode_4949',['TypePatternNode',['../classtvm_1_1relay_1_1TypePatternNode.html',1,'tvm::relay']]],
+  ['typerelation_4950',['TypeRelation',['../classtvm_1_1TypeRelation.html',1,'tvm::TypeRelation'],['../namespacetvm_1_1relay.html#adab0d56fd993df71df3068dea0cd5456',1,'tvm::relay::TypeRelation()'],['../classtvm_1_1TypeRelation.html#ac26b1897eab8197ed26606ab81b7403b',1,'tvm::TypeRelation::TypeRelation()']]],
+  ['typerelationfn_4951',['TypeRelationFn',['../namespacetvm_1_1relay.html#af253112249297a6cfb2a9b94cde0f235',1,'tvm::relay::TypeRelationFn()'],['../namespacetvm.html#a72dcba4493adfcd8908663898ece3514',1,'tvm::TypeRelationFn()']]],
+  ['typerelationnode_4952',['TypeRelationNode',['../classtvm_1_1TypeRelationNode.html',1,'tvm::TypeRelationNode'],['../namespacetvm_1_1relay.html#a89d812eaf13520b04e89a9414c51748c',1,'tvm::relay::TypeRelationNode()']]],
+  ['typereporter_4953',['TypeReporter',['../classtvm_1_1TypeReporter.html',1,'tvm::TypeReporter'],['../classtvm_1_1TypeReporter.html#a8e7e05a07f9f7ad9bea91f27afac9051',1,'tvm::TypeReporter::TypeReporter()'],['../classtvm_1_1TypeReporter.html#aa3dc38a3c84d324d0b3a9f358460a091',1,'tvm::TypeReporter::TypeReporter(ObjectPtr&lt; Object &gt; n)'],['../namespacetvm_1_1relay.html#afa9be9990c2006832cbfc02ebb35e527',1,'tvm::relay::TypeReporter()']]],
+  ['typereporternode_4954',['TypeReporterNode',['../classtvm_1_1TypeReporterNode.html',1,'tvm::TypeReporterNode'],['../namespacetvm_1_1relay.html#aaa3b5700ea20db399f539cec1abcb12b',1,'tvm::relay::TypeReporterNode()']]],
+  ['types_4955',['types',['../classtvm_1_1TupleAffineTypeNode.html#a30c834b7e1cb64467e6587ac16ebb187',1,'tvm::TupleAffineTypeNode']]],
+  ['typevar_4956',['TypeVar',['../classtvm_1_1TypeVar.html',1,'tvm::TypeVar'],['../classtvm_1_1TypeVar.html#adf5ef8e89d162735519b5d125c89e3e3',1,'tvm::TypeVar::TypeVar()'],['../namespacetvm_1_1relay.html#a63321eb51080f3f57dd7563a3ca0bfa6',1,'tvm::relay::TypeVar()']]],
+  ['typevarnode_4957',['TypeVarNode',['../classtvm_1_1TypeVarNode.html',1,'tvm::TypeVarNode'],['../namespacetvm_1_1relay.html#ab2cbe31b81ebd71ea8028a8404a7c9f3',1,'tvm::relay::TypeVarNode()']]],
+  ['typevisitor_4958',['TypeVisitor',['../classtvm_1_1TypeVisitor.html',1,'tvm']]],
+  ['usmp_4959',['usmp',['../namespacetvm_1_1tir_1_1usmp.html',1,'tvm::tir']]],
+  ['vision_4960',['vision',['../namespacetvm_1_1topi_1_1vision.html',1,'tvm::topi']]],
+  ['vm_4961',['vm',['../namespacetvm_1_1runtime_1_1vm.html',1,'tvm::runtime']]],
+  ['x86_4962',['x86',['../namespacetvm_1_1topi_1_1x86.html',1,'tvm::topi']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_16.js b/docs/reference/api/doxygen/search/all_16.js
index 369e8605f3..25c729726a 100644
--- a/docs/reference/api/doxygen/search/all_16.js
+++ b/docs/reference/api/doxygen/search/all_16.js
@@ -1,76 +1,76 @@
 var searchData=
 [
-  ['uint_4960',['UInt',['../classtvm_1_1runtime_1_1DataType.html#ad1cf4571ee1a22c188c66ee2e6e6c042',1,'tvm::runtime::DataType']]],
-  ['uint16_4961',['UInt16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a7a3cd3dbea53feb2e7043e6452260d8a',1,'tvm::script::ir_builder::tir']]],
-  ['uint16x16_4962',['UInt16x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a4e2e296491ba53b33d0555f9edd7b9d2',1,'tvm::script::ir_builder::tir']]],
-  ['uint16x32_4963',['UInt16x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a0dbc9e2fb673e412abfb1c77d49a053d',1,'tvm::script::ir_builder::tir']]],
-  ['uint16x4_4964',['UInt16x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ae3b27f43f0de67f3e5ba799888bbefa7',1,'tvm::script::ir_builder::tir']]],
-  ['uint16x64_4965',['UInt16x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a2a0336d85cc5c8061fe29886dc871b2b',1,'tvm::script::ir_builder::tir']]],
-  ['uint16x8_4966',['UInt16x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#acb9032673c555649c524dcb423bd3ec9',1,'tvm::script::ir_builder::tir']]],
-  ['uint32_4967',['UInt32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ad46043363fa7a3d8cc4b53bd69c5c57a',1,'tvm::script::ir_builder::tir']]],
-  ['uint32x16_4968',['UInt32x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ada1efb68ea879efc0ecc27196a3068a4',1,'tvm::script::ir_builder::tir']]],
-  ['uint32x32_4969',['UInt32x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a17c245f82bc5002bbe5eb9c225325a85',1,'tvm::script::ir_builder::tir']]],
-  ['uint32x4_4970',['UInt32x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a9492cfc58991919e32a9a9653a0544a6',1,'tvm::script::ir_builder::tir']]],
-  ['uint32x64_4971',['UInt32x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a096f4ffaf512c1fefa0ceaefad55e593',1,'tvm::script::ir_builder::tir']]],
-  ['uint32x8_4972',['UInt32x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ac81a6cbb9e308a006df32b073e05098f',1,'tvm::script::ir_builder::tir']]],
-  ['uint64_4973',['UInt64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a11d2e4a9eba311bd85a70cc8793aaf75',1,'tvm::script::ir_builder::tir']]],
-  ['uint64x16_4974',['UInt64x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a33feb22ecf4d6708312e93a950e43637',1,'tvm::script::ir_builder::tir']]],
-  ['uint64x32_4975',['UInt64x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a625cdc68ea89d04b9bf314c28f397234',1,'tvm::script::ir_builder::tir']]],
-  ['uint64x4_4976',['UInt64x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#afa4e70a7e0ad235f3d2a1160c0093625',1,'tvm::script::ir_builder::tir']]],
-  ['uint64x64_4977',['UInt64x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#abdc950ea7ac026f00b8858e86b0fd81b',1,'tvm::script::ir_builder::tir']]],
-  ['uint64x8_4978',['UInt64x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a920b270cb2b11f7ce4fee459f7f1a619',1,'tvm::script::ir_builder::tir']]],
-  ['uint8_4979',['UInt8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ae492cc7293526e8e42ad4bf2b4a64cc0',1,'tvm::script::ir_builder::tir']]],
-  ['uint8x16_4980',['UInt8x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#affd5053dacbb25688ef6f067bbb78761',1,'tvm::script::ir_builder::tir']]],
-  ['uint8x32_4981',['UInt8x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#abbe8c3cb652b5fe7948080f8caf1570c',1,'tvm::script::ir_builder::tir']]],
-  ['uint8x4_4982',['UInt8x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ab84a0811f857d82faecf6c9cbbad5780',1,'tvm::script::ir_builder::tir']]],
-  ['uint8x64_4983',['UInt8x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a97cd0230ef0458bc166a387d49fa0250',1,'tvm::script::ir_builder::tir']]],
-  ['uint8x8_4984',['UInt8x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a227658e263028f9233da9f55c248a43f',1,'tvm::script::ir_builder::tir']]],
-  ['unannotate_4985',['Unannotate',['../classtvm_1_1tir_1_1ScheduleNode.html#a7c310bca5d1583e61a3f27052a1dd5d0',1,'tvm::tir::ScheduleNode::Unannotate(const BlockRV &amp;block_rv, const String &amp;ann_key)=0'],['../classtvm_1_1tir_1_1ScheduleNode.html#a45cd553c09ec836dfcbff81379647f07',1,'tvm::tir::ScheduleNode::Unannotate(const LoopRV &amp;loop_rv, const String &amp;ann_key)=0']]],
-  ['unbiased_4986',['unbiased',['../structtvm_1_1relay_1_1VarianceAttrs.html#a7de5da9ca0327074013e5c1ed7e9a5ed',1,'tvm::relay::VarianceAttrs']]],
-  ['uncps_4987',['UnCPS',['../namespacetvm_1_1relay.html#a1677d450d58078811f52fd70d67db515',1,'tvm::relay']]],
-  ['undef_4988',['Undef',['../classtvm_1_1tir_1_1Layout.html#a1c7bdf466d341ad61aba104db318ee0e',1,'tvm::tir::Layout']]],
-  ['undef_4989',['undef',['../namespacetvm_1_1tir_1_1builtin.html#a77a72a2e21fe0ea2118479924b4fb877',1,'tvm::tir::builtin']]],
-  ['undefinedvars_4990',['UndefinedVars',['../namespacetvm_1_1tir.html#ae110e02855d8bc12eb8b6f92ed391844',1,'tvm::tir::UndefinedVars(const Stmt &amp;stmt, const Array&lt; Var &gt; &amp;defs)'],['../namespacetvm_1_1tir.html#a99de316b20c7af2e8b4f06773ee69a5a',1,'tvm::tir::UndefinedVars(const PrimExpr &amp;expr)'],['../namespacetvm_1_1tir.html#a2c96d742d4feef9425424ecf1423b2f7',1,'tvm::tir::UndefinedVars(const PrimExpr &amp;expr, const Array&lt; Var &gt; &amp;defs)']]],
-  ['unframer_4991',['Unframer',['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html',1,'tvm::runtime::micro_rpc::Unframer'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html#a58d68e70d1819a706669c9934dd07639',1,'tvm::runtime::micro_rpc::Unframer::Unframer()']]],
-  ['unifiedstaticmemoryplanner_4992',['UnifiedStaticMemoryPlanner',['../namespacetvm_1_1tir_1_1transform.html#aca44076eb1085d664877596a8b8587d4',1,'tvm::tir::transform']]],
-  ['uniformattrs_4993',['UniformAttrs',['../structtvm_1_1relay_1_1UniformAttrs.html',1,'tvm::relay']]],
-  ['unifythreadbinding_4994',['UnifyThreadBinding',['../namespacetvm_1_1tir_1_1transform.html#a8b45a049536f7786b7821a5c1c32f0b3',1,'tvm::tir::transform']]],
-  ['union_4995',['Union',['../namespacetvm_1_1arith.html#a68a0523bf0384e492ab222d30be9160e',1,'tvm::arith']]],
-  ['uniondatabase_4996',['UnionDatabase',['../classtvm_1_1meta__schedule_1_1Database.html#affcd4ddc4911a83f281de558366a6d63',1,'tvm::meta_schedule::Database']]],
-  ['unionlowerbound_4997',['UnionLowerBound',['../namespacetvm_1_1arith.html#ab22d7fd95abb5fa372843a40e19d80c5',1,'tvm::arith']]],
-  ['unionregion_4998',['UnionRegion',['../namespacetvm_1_1arith.html#ad27c4f216e41eb8e81296fb7ec4b9453',1,'tvm::arith']]],
-  ['unionregionlowerbound_4999',['UnionRegionLowerBound',['../namespacetvm_1_1arith.html#a4c3dedfa4cba4ad39c953eb51eb83e4d',1,'tvm::arith']]],
-  ['unipolar_5000',['unipolar',['../structtvm_1_1relay_1_1BinaryConv2DAttrs.html#a7e0ad68dce226079b769a678aa01dc49',1,'tvm::relay::BinaryConv2DAttrs::unipolar()'],['../structtvm_1_1relay_1_1BinaryDenseAttrs.html#af21cdb9dac67ab9ecea5a19642658d8a',1,'tvm::relay::BinaryDenseAttrs::unipolar()']]],
-  ['unique_5001',['unique',['../classtvm_1_1runtime_1_1ObjectRef.html#a4e7cdb1574b93a59e784d70aa47b8da7',1,'tvm::runtime::ObjectRef::unique()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#af95c6c6fcd89da0f62b93f1167b72314',1,'tvm::runtime::ObjectPtr::unique()'],['../classtvm_1_1runtime_1_1Object.html#afd548730a6139d19fe24473ad66026d7',1,'tvm::runtime::Object::unique()']]],
-  ['unique_5002',['Unique',['../classtvm_1_1VirtualDeviceCache.html#a25ba1351484aa58a2cc7cef8f8e4423c',1,'tvm::VirtualDeviceCache']]],
-  ['uniqueattrs_5003',['UniqueAttrs',['../structtvm_1_1relay_1_1UniqueAttrs.html',1,'tvm::relay']]],
-  ['uniqueglobalfor_5004',['UniqueGlobalFor',['../classtvm_1_1GlobalVarSupplyNode.html#af67bad5d9d93381c440a7886cbef430a',1,'tvm::GlobalVarSupplyNode']]],
-  ['unit_5fbits_5005',['unit_bits',['../classtvm_1_1MemoryInfoNode.html#a505c2f2dd0dd0c28a12b9113e2176a8d',1,'tvm::MemoryInfoNode']]],
-  ['units_5006',['units',['../structtvm_1_1relay_1_1BinaryDenseAttrs.html#a5373b2f2aac19653ae21aec74c69cdb0',1,'tvm::relay::BinaryDenseAttrs::units()'],['../structtvm_1_1relay_1_1MatmulAttrs.html#a5893df9ad99c6717c4e6cb440d60c6a1',1,'tvm::relay::MatmulAttrs::units()'],['../structtvm_1_1relay_1_1DenseAttrs.html#a497487f7ccced8c7492a5ed03f78fa8f',1,'tvm::relay::DenseAttrs::units()'],['../structtvm_1_1relay_1_1DensePackAttrs.html#aa0096c26c832166de13881a032ba3fbf',1,'tvm::relay::DensePackAt [...]
-  ['unknownattributeaccesspath_5007',['UnknownAttributeAccessPath',['../classtvm_1_1UnknownAttributeAccessPath.html',1,'tvm']]],
-  ['unknownattributeaccesspathnode_5008',['UnknownAttributeAccessPathNode',['../classtvm_1_1UnknownAttributeAccessPathNode.html',1,'tvm::UnknownAttributeAccessPathNode'],['../classtvm_1_1UnknownAttributeAccessPathNode.html#a1882e9e591466a2785acc761dc63d56e',1,'tvm::UnknownAttributeAccessPathNode::UnknownAttributeAccessPathNode()']]],
-  ['unmatchedcases_5009',['UnmatchedCases',['../namespacetvm_1_1relay.html#aa3a8cace40f8056fd6412f39c3eaa605',1,'tvm::relay']]],
-  ['unravel_5findex_5010',['unravel_index',['../namespacetvm_1_1topi.html#a8811a02532bbe3047986bf1a8449ac0e',1,'tvm::topi']]],
-  ['unroll_5011',['unroll',['../classtvm_1_1auto__scheduler_1_1State.html#aa68a9d2e226bae38a36e4be4af1d1ae4',1,'tvm::auto_scheduler::State::unroll()'],['../classtvm_1_1te_1_1Stage.html#af83ad8672660403504f472228b044b33',1,'tvm::te::Stage::unroll()']]],
-  ['unroll_5012',['Unroll',['../classtvm_1_1tir_1_1ScheduleNode.html#a84ec742f6295f59390592a6d0d90a552',1,'tvm::tir::ScheduleNode::Unroll()'],['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#acd41556b0c4088d0f309ef5495aaebe3',1,'tvm::script::ir_builder::tir::Unroll()']]],
-  ['unrollloop_5013',['UnrollLoop',['../namespacetvm_1_1tir_1_1transform.html#ab2f279e91071fa96a1edb24fa004ea6a',1,'tvm::tir::transform']]],
-  ['unsafehidebufferaccess_5014',['UnsafeHideBufferAccess',['../classtvm_1_1tir_1_1ScheduleNode.html#aeb480358a51c505991e1636b94eae5ac',1,'tvm::tir::ScheduleNode']]],
-  ['unsafesetdtype_5015',['UnsafeSetDType',['../classtvm_1_1tir_1_1ScheduleNode.html#ac797a00135c910d65da297038b930ed6',1,'tvm::tir::ScheduleNode']]],
-  ['update_5016',['Update',['../classtvm_1_1arith_1_1CanonicalSimplifier.html#a790c032e12c7d93e9e940297b860c509',1,'tvm::arith::CanonicalSimplifier']]],
-  ['update_5017',['update',['../classtvm_1_1te_1_1ScanOpNode.html#ace2bf7e43cd4197324ec6363626fc60a',1,'tvm::te::ScanOpNode']]],
-  ['update_5018',['Update',['../classtvm_1_1arith_1_1ConstIntBoundAnalyzer.html#a5ae0699196c4bbc754bbdd4c3a6c7ca7',1,'tvm::arith::ConstIntBoundAnalyzer::Update()'],['../classtvm_1_1arith_1_1ModularSetAnalyzer.html#a04156fac580981f3005af3b8e676720d',1,'tvm::arith::ModularSetAnalyzer::Update()'],['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#a970b00b0eb1bf6b88eea2711b58c4d1d',1,'tvm::meta_schedule::PyCostModelNode::Update()'],['../classtvm_1_1meta__schedule_1_1CostModelNode.html#a [...]
-  ['update_5ffunc_5019',['update_func',['../classtvm_1_1auto__scheduler_1_1PythonBasedModelNode.html#ade9364c152a36501d4f24fa4f0111519',1,'tvm::auto_scheduler::PythonBasedModelNode']]],
-  ['updatecostmodel_5020',['UpdateCostModel',['../classtvm_1_1meta__schedule_1_1MeasureCallback.html#afdf5503c6e6f53767de132d91a7b53f9',1,'tvm::meta_schedule::MeasureCallback']]],
-  ['updateiters_5021',['UpdateIters',['../classtvm_1_1auto__scheduler_1_1AttachMap.html#ab45b991ef2bcfb1bc191601aac42e778',1,'tvm::auto_scheduler::AttachMap']]],
-  ['updatescopeblockinfo_5022',['UpdateScopeBlockInfo',['../classtvm_1_1tir_1_1ScheduleStateNode.html#abac7984938cf7013b6cedf3e018972f3',1,'tvm::tir::ScheduleStateNode']]],
-  ['updatestagetoaxesmap_5023',['UpdateStageToAxesMap',['../namespacetvm_1_1auto__scheduler.html#af721042ba0b561f387dbcc5d2fe3b5b0',1,'tvm::auto_scheduler']]],
-  ['updatetypedef_5024',['UpdateTypeDef',['../classtvm_1_1IRModuleNode.html#a63b73181faaa31a95e8d71e1cf5b80b2',1,'tvm::IRModuleNode']]],
-  ['upper_5025',['upper',['../structtvm_1_1relay_1_1TriluAttrs.html#a756c8f48263f01a7aae18948d3db5211',1,'tvm::relay::TriluAttrs::upper()'],['../classtvm_1_1arith_1_1IntGroupBoundsNode.html#a0473d6f99a005affcbb47c63d6094b9b',1,'tvm::arith::IntGroupBoundsNode::upper()']]],
-  ['upsampling3dattrs_5026',['UpSampling3DAttrs',['../structtvm_1_1relay_1_1UpSampling3DAttrs.html',1,'tvm::relay']]],
-  ['upsamplingattrs_5027',['UpSamplingAttrs',['../structtvm_1_1relay_1_1UpSamplingAttrs.html',1,'tvm::relay']]],
-  ['use_5fcount_5028',['use_count',['../classtvm_1_1runtime_1_1ObjectRef.html#a0ae0da21d247cd87ea94fe3777c4405e',1,'tvm::runtime::ObjectRef::use_count()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a7e9be91964e9a50d32d85280eabff23a',1,'tvm::runtime::ObjectPtr::use_count()'],['../classtvm_1_1runtime_1_1NDArray.html#aa1e7d2346052e198b409966eb67be92b',1,'tvm::runtime::NDArray::use_count()']]],
-  ['use_5ffallback_5fstl_5fmap_5029',['USE_FALLBACK_STL_MAP',['../map_8h.html#ab1d917df41ea16c0eb385b7a4661765e',1,'map.h']]],
-  ['usedmemory_5030',['UsedMemory',['../classtvm_1_1runtime_1_1vm_1_1Allocator.html#a66e334404d87a2716422a21e3813109e',1,'tvm::runtime::vm::Allocator']]],
-  ['usesvar_5031',['UsesVar',['../namespacetvm_1_1tir.html#ad41992c8a069ebdfde7ff87d67dd66bd',1,'tvm::tir::UsesVar(const Stmt &amp;stmt, std::function&lt; bool(const VarNode *)&gt; vset_contains)'],['../namespacetvm_1_1tir.html#a60b80ecfe05e8cbc1ae824c169c4dc5c',1,'tvm::tir::UsesVar(const PrimExpr &amp;expr, std::function&lt; bool(const VarNode *)&gt; vset_contains)']]],
-  ['utils_2eh_5032',['utils.h',['../topi_2utils_8h.html',1,'(Global Namespace)'],['../tir_2utils_8h.html',1,'(Global Namespace)'],['../tir_2usmp_2utils_8h.html',1,'(Global Namespace)']]]
+  ['uint_4963',['UInt',['../classtvm_1_1runtime_1_1DataType.html#ad1cf4571ee1a22c188c66ee2e6e6c042',1,'tvm::runtime::DataType']]],
+  ['uint16_4964',['UInt16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a7a3cd3dbea53feb2e7043e6452260d8a',1,'tvm::script::ir_builder::tir']]],
+  ['uint16x16_4965',['UInt16x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a4e2e296491ba53b33d0555f9edd7b9d2',1,'tvm::script::ir_builder::tir']]],
+  ['uint16x32_4966',['UInt16x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a0dbc9e2fb673e412abfb1c77d49a053d',1,'tvm::script::ir_builder::tir']]],
+  ['uint16x4_4967',['UInt16x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ae3b27f43f0de67f3e5ba799888bbefa7',1,'tvm::script::ir_builder::tir']]],
+  ['uint16x64_4968',['UInt16x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a2a0336d85cc5c8061fe29886dc871b2b',1,'tvm::script::ir_builder::tir']]],
+  ['uint16x8_4969',['UInt16x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#acb9032673c555649c524dcb423bd3ec9',1,'tvm::script::ir_builder::tir']]],
+  ['uint32_4970',['UInt32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ad46043363fa7a3d8cc4b53bd69c5c57a',1,'tvm::script::ir_builder::tir']]],
+  ['uint32x16_4971',['UInt32x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ada1efb68ea879efc0ecc27196a3068a4',1,'tvm::script::ir_builder::tir']]],
+  ['uint32x32_4972',['UInt32x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a17c245f82bc5002bbe5eb9c225325a85',1,'tvm::script::ir_builder::tir']]],
+  ['uint32x4_4973',['UInt32x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a9492cfc58991919e32a9a9653a0544a6',1,'tvm::script::ir_builder::tir']]],
+  ['uint32x64_4974',['UInt32x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a096f4ffaf512c1fefa0ceaefad55e593',1,'tvm::script::ir_builder::tir']]],
+  ['uint32x8_4975',['UInt32x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ac81a6cbb9e308a006df32b073e05098f',1,'tvm::script::ir_builder::tir']]],
+  ['uint64_4976',['UInt64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a11d2e4a9eba311bd85a70cc8793aaf75',1,'tvm::script::ir_builder::tir']]],
+  ['uint64x16_4977',['UInt64x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a33feb22ecf4d6708312e93a950e43637',1,'tvm::script::ir_builder::tir']]],
+  ['uint64x32_4978',['UInt64x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a625cdc68ea89d04b9bf314c28f397234',1,'tvm::script::ir_builder::tir']]],
+  ['uint64x4_4979',['UInt64x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#afa4e70a7e0ad235f3d2a1160c0093625',1,'tvm::script::ir_builder::tir']]],
+  ['uint64x64_4980',['UInt64x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#abdc950ea7ac026f00b8858e86b0fd81b',1,'tvm::script::ir_builder::tir']]],
+  ['uint64x8_4981',['UInt64x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a920b270cb2b11f7ce4fee459f7f1a619',1,'tvm::script::ir_builder::tir']]],
+  ['uint8_4982',['UInt8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ae492cc7293526e8e42ad4bf2b4a64cc0',1,'tvm::script::ir_builder::tir']]],
+  ['uint8x16_4983',['UInt8x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#affd5053dacbb25688ef6f067bbb78761',1,'tvm::script::ir_builder::tir']]],
+  ['uint8x32_4984',['UInt8x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#abbe8c3cb652b5fe7948080f8caf1570c',1,'tvm::script::ir_builder::tir']]],
+  ['uint8x4_4985',['UInt8x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ab84a0811f857d82faecf6c9cbbad5780',1,'tvm::script::ir_builder::tir']]],
+  ['uint8x64_4986',['UInt8x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a97cd0230ef0458bc166a387d49fa0250',1,'tvm::script::ir_builder::tir']]],
+  ['uint8x8_4987',['UInt8x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a227658e263028f9233da9f55c248a43f',1,'tvm::script::ir_builder::tir']]],
+  ['unannotate_4988',['Unannotate',['../classtvm_1_1tir_1_1ScheduleNode.html#a7c310bca5d1583e61a3f27052a1dd5d0',1,'tvm::tir::ScheduleNode::Unannotate(const BlockRV &amp;block_rv, const String &amp;ann_key)=0'],['../classtvm_1_1tir_1_1ScheduleNode.html#a45cd553c09ec836dfcbff81379647f07',1,'tvm::tir::ScheduleNode::Unannotate(const LoopRV &amp;loop_rv, const String &amp;ann_key)=0']]],
+  ['unbiased_4989',['unbiased',['../structtvm_1_1relay_1_1VarianceAttrs.html#a7de5da9ca0327074013e5c1ed7e9a5ed',1,'tvm::relay::VarianceAttrs']]],
+  ['uncps_4990',['UnCPS',['../namespacetvm_1_1relay.html#a1677d450d58078811f52fd70d67db515',1,'tvm::relay']]],
+  ['undef_4991',['Undef',['../classtvm_1_1tir_1_1Layout.html#a1c7bdf466d341ad61aba104db318ee0e',1,'tvm::tir::Layout']]],
+  ['undef_4992',['undef',['../namespacetvm_1_1tir_1_1builtin.html#a77a72a2e21fe0ea2118479924b4fb877',1,'tvm::tir::builtin']]],
+  ['undefinedvars_4993',['UndefinedVars',['../namespacetvm_1_1tir.html#ae110e02855d8bc12eb8b6f92ed391844',1,'tvm::tir::UndefinedVars(const Stmt &amp;stmt, const Array&lt; Var &gt; &amp;defs)'],['../namespacetvm_1_1tir.html#a99de316b20c7af2e8b4f06773ee69a5a',1,'tvm::tir::UndefinedVars(const PrimExpr &amp;expr)'],['../namespacetvm_1_1tir.html#a2c96d742d4feef9425424ecf1423b2f7',1,'tvm::tir::UndefinedVars(const PrimExpr &amp;expr, const Array&lt; Var &gt; &amp;defs)']]],
+  ['unframer_4994',['Unframer',['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html',1,'tvm::runtime::micro_rpc::Unframer'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html#a58d68e70d1819a706669c9934dd07639',1,'tvm::runtime::micro_rpc::Unframer::Unframer()']]],
+  ['unifiedstaticmemoryplanner_4995',['UnifiedStaticMemoryPlanner',['../namespacetvm_1_1tir_1_1transform.html#aca44076eb1085d664877596a8b8587d4',1,'tvm::tir::transform']]],
+  ['uniformattrs_4996',['UniformAttrs',['../structtvm_1_1relay_1_1UniformAttrs.html',1,'tvm::relay']]],
+  ['unifythreadbinding_4997',['UnifyThreadBinding',['../namespacetvm_1_1tir_1_1transform.html#a8b45a049536f7786b7821a5c1c32f0b3',1,'tvm::tir::transform']]],
+  ['union_4998',['Union',['../namespacetvm_1_1arith.html#a68a0523bf0384e492ab222d30be9160e',1,'tvm::arith']]],
+  ['uniondatabase_4999',['UnionDatabase',['../classtvm_1_1meta__schedule_1_1Database.html#affcd4ddc4911a83f281de558366a6d63',1,'tvm::meta_schedule::Database']]],
+  ['unionlowerbound_5000',['UnionLowerBound',['../namespacetvm_1_1arith.html#ab22d7fd95abb5fa372843a40e19d80c5',1,'tvm::arith']]],
+  ['unionregion_5001',['UnionRegion',['../namespacetvm_1_1arith.html#ad27c4f216e41eb8e81296fb7ec4b9453',1,'tvm::arith']]],
+  ['unionregionlowerbound_5002',['UnionRegionLowerBound',['../namespacetvm_1_1arith.html#a4c3dedfa4cba4ad39c953eb51eb83e4d',1,'tvm::arith']]],
+  ['unipolar_5003',['unipolar',['../structtvm_1_1relay_1_1BinaryConv2DAttrs.html#a7e0ad68dce226079b769a678aa01dc49',1,'tvm::relay::BinaryConv2DAttrs::unipolar()'],['../structtvm_1_1relay_1_1BinaryDenseAttrs.html#af21cdb9dac67ab9ecea5a19642658d8a',1,'tvm::relay::BinaryDenseAttrs::unipolar()']]],
+  ['unique_5004',['unique',['../classtvm_1_1runtime_1_1ObjectRef.html#a4e7cdb1574b93a59e784d70aa47b8da7',1,'tvm::runtime::ObjectRef::unique()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#af95c6c6fcd89da0f62b93f1167b72314',1,'tvm::runtime::ObjectPtr::unique()'],['../classtvm_1_1runtime_1_1Object.html#afd548730a6139d19fe24473ad66026d7',1,'tvm::runtime::Object::unique()']]],
+  ['unique_5005',['Unique',['../classtvm_1_1VirtualDeviceCache.html#a25ba1351484aa58a2cc7cef8f8e4423c',1,'tvm::VirtualDeviceCache']]],
+  ['uniqueattrs_5006',['UniqueAttrs',['../structtvm_1_1relay_1_1UniqueAttrs.html',1,'tvm::relay']]],
+  ['uniqueglobalfor_5007',['UniqueGlobalFor',['../classtvm_1_1GlobalVarSupplyNode.html#af67bad5d9d93381c440a7886cbef430a',1,'tvm::GlobalVarSupplyNode']]],
+  ['unit_5fbits_5008',['unit_bits',['../classtvm_1_1MemoryInfoNode.html#a505c2f2dd0dd0c28a12b9113e2176a8d',1,'tvm::MemoryInfoNode']]],
+  ['units_5009',['units',['../structtvm_1_1relay_1_1BinaryDenseAttrs.html#a5373b2f2aac19653ae21aec74c69cdb0',1,'tvm::relay::BinaryDenseAttrs::units()'],['../structtvm_1_1relay_1_1MatmulAttrs.html#a5893df9ad99c6717c4e6cb440d60c6a1',1,'tvm::relay::MatmulAttrs::units()'],['../structtvm_1_1relay_1_1DenseAttrs.html#a497487f7ccced8c7492a5ed03f78fa8f',1,'tvm::relay::DenseAttrs::units()'],['../structtvm_1_1relay_1_1DensePackAttrs.html#aa0096c26c832166de13881a032ba3fbf',1,'tvm::relay::DensePackAt [...]
+  ['unknownattributeaccesspath_5010',['UnknownAttributeAccessPath',['../classtvm_1_1UnknownAttributeAccessPath.html',1,'tvm']]],
+  ['unknownattributeaccesspathnode_5011',['UnknownAttributeAccessPathNode',['../classtvm_1_1UnknownAttributeAccessPathNode.html',1,'tvm::UnknownAttributeAccessPathNode'],['../classtvm_1_1UnknownAttributeAccessPathNode.html#a1882e9e591466a2785acc761dc63d56e',1,'tvm::UnknownAttributeAccessPathNode::UnknownAttributeAccessPathNode()']]],
+  ['unmatchedcases_5012',['UnmatchedCases',['../namespacetvm_1_1relay.html#aa3a8cace40f8056fd6412f39c3eaa605',1,'tvm::relay']]],
+  ['unravel_5findex_5013',['unravel_index',['../namespacetvm_1_1topi.html#a8811a02532bbe3047986bf1a8449ac0e',1,'tvm::topi']]],
+  ['unroll_5014',['unroll',['../classtvm_1_1auto__scheduler_1_1State.html#aa68a9d2e226bae38a36e4be4af1d1ae4',1,'tvm::auto_scheduler::State::unroll()'],['../classtvm_1_1te_1_1Stage.html#af83ad8672660403504f472228b044b33',1,'tvm::te::Stage::unroll()']]],
+  ['unroll_5015',['Unroll',['../classtvm_1_1tir_1_1ScheduleNode.html#a84ec742f6295f59390592a6d0d90a552',1,'tvm::tir::ScheduleNode::Unroll()'],['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#acd41556b0c4088d0f309ef5495aaebe3',1,'tvm::script::ir_builder::tir::Unroll()']]],
+  ['unrollloop_5016',['UnrollLoop',['../namespacetvm_1_1tir_1_1transform.html#ab2f279e91071fa96a1edb24fa004ea6a',1,'tvm::tir::transform']]],
+  ['unsafehidebufferaccess_5017',['UnsafeHideBufferAccess',['../classtvm_1_1tir_1_1ScheduleNode.html#aeb480358a51c505991e1636b94eae5ac',1,'tvm::tir::ScheduleNode']]],
+  ['unsafesetdtype_5018',['UnsafeSetDType',['../classtvm_1_1tir_1_1ScheduleNode.html#ac797a00135c910d65da297038b930ed6',1,'tvm::tir::ScheduleNode']]],
+  ['update_5019',['Update',['../classtvm_1_1arith_1_1CanonicalSimplifier.html#a790c032e12c7d93e9e940297b860c509',1,'tvm::arith::CanonicalSimplifier']]],
+  ['update_5020',['update',['../classtvm_1_1te_1_1ScanOpNode.html#ace2bf7e43cd4197324ec6363626fc60a',1,'tvm::te::ScanOpNode']]],
+  ['update_5021',['Update',['../classtvm_1_1arith_1_1ConstIntBoundAnalyzer.html#a5ae0699196c4bbc754bbdd4c3a6c7ca7',1,'tvm::arith::ConstIntBoundAnalyzer::Update()'],['../classtvm_1_1arith_1_1ModularSetAnalyzer.html#a04156fac580981f3005af3b8e676720d',1,'tvm::arith::ModularSetAnalyzer::Update()'],['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#a970b00b0eb1bf6b88eea2711b58c4d1d',1,'tvm::meta_schedule::PyCostModelNode::Update()'],['../classtvm_1_1meta__schedule_1_1CostModelNode.html#a [...]
+  ['update_5ffunc_5022',['update_func',['../classtvm_1_1auto__scheduler_1_1PythonBasedModelNode.html#ade9364c152a36501d4f24fa4f0111519',1,'tvm::auto_scheduler::PythonBasedModelNode']]],
+  ['updatecostmodel_5023',['UpdateCostModel',['../classtvm_1_1meta__schedule_1_1MeasureCallback.html#afdf5503c6e6f53767de132d91a7b53f9',1,'tvm::meta_schedule::MeasureCallback']]],
+  ['updateiters_5024',['UpdateIters',['../classtvm_1_1auto__scheduler_1_1AttachMap.html#ab45b991ef2bcfb1bc191601aac42e778',1,'tvm::auto_scheduler::AttachMap']]],
+  ['updatescopeblockinfo_5025',['UpdateScopeBlockInfo',['../classtvm_1_1tir_1_1ScheduleStateNode.html#abac7984938cf7013b6cedf3e018972f3',1,'tvm::tir::ScheduleStateNode']]],
+  ['updatestagetoaxesmap_5026',['UpdateStageToAxesMap',['../namespacetvm_1_1auto__scheduler.html#af721042ba0b561f387dbcc5d2fe3b5b0',1,'tvm::auto_scheduler']]],
+  ['updatetypedef_5027',['UpdateTypeDef',['../classtvm_1_1IRModuleNode.html#a63b73181faaa31a95e8d71e1cf5b80b2',1,'tvm::IRModuleNode']]],
+  ['upper_5028',['upper',['../structtvm_1_1relay_1_1TriluAttrs.html#a756c8f48263f01a7aae18948d3db5211',1,'tvm::relay::TriluAttrs::upper()'],['../classtvm_1_1arith_1_1IntGroupBoundsNode.html#a0473d6f99a005affcbb47c63d6094b9b',1,'tvm::arith::IntGroupBoundsNode::upper()']]],
+  ['upsampling3dattrs_5029',['UpSampling3DAttrs',['../structtvm_1_1relay_1_1UpSampling3DAttrs.html',1,'tvm::relay']]],
+  ['upsamplingattrs_5030',['UpSamplingAttrs',['../structtvm_1_1relay_1_1UpSamplingAttrs.html',1,'tvm::relay']]],
+  ['use_5fcount_5031',['use_count',['../classtvm_1_1runtime_1_1ObjectRef.html#a0ae0da21d247cd87ea94fe3777c4405e',1,'tvm::runtime::ObjectRef::use_count()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a7e9be91964e9a50d32d85280eabff23a',1,'tvm::runtime::ObjectPtr::use_count()'],['../classtvm_1_1runtime_1_1NDArray.html#aa1e7d2346052e198b409966eb67be92b',1,'tvm::runtime::NDArray::use_count()']]],
+  ['use_5ffallback_5fstl_5fmap_5032',['USE_FALLBACK_STL_MAP',['../map_8h.html#ab1d917df41ea16c0eb385b7a4661765e',1,'map.h']]],
+  ['usedmemory_5033',['UsedMemory',['../classtvm_1_1runtime_1_1vm_1_1Allocator.html#a66e334404d87a2716422a21e3813109e',1,'tvm::runtime::vm::Allocator']]],
+  ['usesvar_5034',['UsesVar',['../namespacetvm_1_1tir.html#ad41992c8a069ebdfde7ff87d67dd66bd',1,'tvm::tir::UsesVar(const Stmt &amp;stmt, std::function&lt; bool(const VarNode *)&gt; vset_contains)'],['../namespacetvm_1_1tir.html#a60b80ecfe05e8cbc1ae824c169c4dc5c',1,'tvm::tir::UsesVar(const PrimExpr &amp;expr, std::function&lt; bool(const VarNode *)&gt; vset_contains)']]],
+  ['utils_2eh_5035',['utils.h',['../topi_2utils_8h.html',1,'(Global Namespace)'],['../tir_2utils_8h.html',1,'(Global Namespace)'],['../tir_2usmp_2utils_8h.html',1,'(Global Namespace)']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_17.js b/docs/reference/api/doxygen/search/all_17.js
index 8583c2b0b3..f1c9afa718 100644
--- a/docs/reference/api/doxygen/search/all_17.js
+++ b/docs/reference/api/doxygen/search/all_17.js
@@ -1,116 +1,116 @@
 var searchData=
 [
-  ['v_5fdevice_5033',['v_device',['../unionTVMValue.html#a2296018ee2f5953b9cfb1a36475c303f',1,'TVMValue']]],
-  ['v_5ffloat64_5034',['v_float64',['../unionTVMValue.html#abefae93ebafe8818c0060df2bf31e6e5',1,'TVMValue']]],
-  ['v_5fhandle_5035',['v_handle',['../unionTVMValue.html#a3b172297f9f7bf2f5391f7d87309cd44',1,'TVMValue']]],
-  ['v_5finfo_5036',['v_info',['../structtvm_1_1relay_1_1v__info.html',1,'tvm::relay::v_info'],['../structtvm_1_1relay_1_1v__info.html#aaf1979af7915eb9f241285a92fc06173',1,'tvm::relay::v_info::v_info(Expr node_, bool children_expanded_)'],['../structtvm_1_1relay_1_1v__info.html#a00cc81b690617d77cbc6cfb500fde1ee',1,'tvm::relay::v_info::v_info(Expr node_)']]],
-  ['v_5fint64_5037',['v_int64',['../unionTVMValue.html#aa1c40fa9e74fbf97541fd9735062c4cc',1,'TVMValue']]],
-  ['v_5fstr_5038',['v_str',['../unionTVMValue.html#ab0e4dea6ca370fe7a7d22f4fc23a4f47',1,'TVMValue']]],
-  ['v_5ftype_5039',['v_type',['../unionTVMValue.html#a75af73d1e39bc5de7073a008d44b0d16',1,'TVMValue']]],
-  ['val_5040',['val',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#ac1e5be6bd195486a884bd8a26b5d12f9',1,'tvm::runtime::vm::Instruction']]],
-  ['value_5041',['value',['../structtvm_1_1detail_1_1TypeName_3_01DataType_01_4.html#a53fef3c8f6efd6960f326efdc7247191',1,'tvm::detail::TypeName&lt; DataType &gt;::value()'],['../structtvm_1_1detail_1_1TypeName_3_01uint64__t_01_4.html#a49e7cec3abbfc4db8144c7a3741b19e6',1,'tvm::detail::TypeName&lt; uint64_t &gt;::value()'],['../structtvm_1_1detail_1_1TypeName_3_01bool_01_4.html#a4ec09fca4aca8d1750d479c360f69c58',1,'tvm::detail::TypeName&lt; bool &gt;::value()'],['../structtvm_1_1detail_1_ [...]
-  ['value_5f_5042',['value_',['../structtvm_1_1detail_1_1AttrInitEntry.html#aea83f0af9e7ea95e5f7d614a717b7760',1,'tvm::detail::AttrInitEntry::value_()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#a8ffdfcc7099faf19ee07a5c03ce06af8',1,'tvm::runtime::TVMPODValue_::value_()']]],
-  ['value_5findex_5043',['value_index',['../classtvm_1_1tir_1_1ReduceNode.html#a3e27c310483199b33784b1b98e3787af',1,'tvm::tir::ReduceNode::value_index()'],['../classtvm_1_1te_1_1TensorNode.html#aaf908a208cea7f27477c1c58ba605aa8',1,'tvm::te::TensorNode::value_index()']]],
-  ['value_5fmissing_5f_5044',['value_missing_',['../structtvm_1_1detail_1_1AttrInitEntry.html#aaba94dddd1e9c367023dbe03e76634bf',1,'tvm::detail::AttrInitEntry']]],
-  ['value_5for_5045',['value_or',['../classtvm_1_1runtime_1_1Optional.html#a15be72990266fc89e03fdf08bae0f331',1,'tvm::runtime::Optional']]],
-  ['value_5ftype_5046',['value_type',['../classtvm_1_1runtime_1_1Array.html#a203f4dc8f7a37ac325f6d1e192d2f8c1',1,'tvm::runtime::Array::value_type()'],['../classtvm_1_1runtime_1_1IterAdapter.html#a1a2d3f6fa9436d7b5b125124c5c20faf',1,'tvm::runtime::IterAdapter::value_type()'],['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#adbf346bfbc9caff697aa754216d14a7c',1,'tvm::runtime::ReverseIterAdapter::value_type()'],['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#af27376d48f56d42f28440536 [...]
-  ['valueconverter_5047',['ValueConverter',['../structtvm_1_1runtime_1_1Array_1_1ValueConverter.html',1,'tvm::runtime::Array']]],
-  ['values_5048',['values',['../structTVMArgs.html#ab2d2a8c794bc11fdb56b294e711ff63c',1,'TVMArgs::values()'],['../classtvm_1_1runtime_1_1TVMArgs.html#a3b99059e2f1ad08c99b42b5bee82752f',1,'tvm::runtime::TVMArgs::values()'],['../classtvm_1_1script_1_1printer_1_1DictDocNode.html#a08d71431d889cd4588d57c06c12140c4',1,'tvm::script::printer::DictDocNode::values()']]],
-  ['values_5fcount_5049',['values_count',['../structTVMArgs.html#afa042427dc87d770d0def130f497f3f5',1,'TVMArgs']]],
-  ['valuetypeinfomaker_5050',['ValueTypeInfoMaker',['../structtvm_1_1detail_1_1ValueTypeInfoMaker.html',1,'tvm::detail']]],
-  ['var_5051',['Var',['../classtvm_1_1relay_1_1Var.html',1,'tvm::relay::Var'],['../classtvm_1_1tir_1_1Var.html',1,'tvm::tir::Var']]],
-  ['var_5052',['var',['../classtvm_1_1tir_1_1IterVarNode.html#a09036ef2df09e7caf21e66dcb62675a6',1,'tvm::tir::IterVarNode::var()'],['../classtvm_1_1tir_1_1LetNode.html#a21fdb9beb794933ee1094774ddfd2ee2',1,'tvm::tir::LetNode::var()']]],
-  ['var_5053',['Var',['../classtvm_1_1relay_1_1Var.html#a06ef8ae1d07a5b8a3c25ca7775d17762',1,'tvm::relay::Var']]],
-  ['var_5054',['var',['../classtvm_1_1tir_1_1LetStmtNode.html#ae701bd89e0cafb77ae5f9eec127d1fe8',1,'tvm::tir::LetStmtNode']]],
-  ['var_5055',['Var',['../classtvm_1_1relay_1_1Var.html#a45372a62057ee9332a391e29845505ff',1,'tvm::relay::Var::Var()'],['../classtvm_1_1tir_1_1Var.html#a21ba7568a83bfc2a5896f9e0ff181129',1,'tvm::tir::Var::Var(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1tir_1_1Var.html#a8d4803f34057c3a0460cf2147239e17a',1,'tvm::tir::Var::Var(String name_hint=&quot;v&quot;, DataType dtype=DataType::Int(32), Span span=Span())'],['../classtvm_1_1tir_1_1Var.html#a241222d3b660a733dd45e871fe8bf2e5',1,'tvm:: [...]
-  ['var_5056',['var',['../namespacetvm_1_1te.html#ae0c71f84710b436cbe0b32289d0838f4',1,'tvm::te::var()'],['../classtvm_1_1relay_1_1PatternVarNode.html#acfa1269806fbf19e7badd424c19c64bf',1,'tvm::relay::PatternVarNode::var()'],['../classtvm_1_1relay_1_1LetPatternNode.html#aff0c6dec182a3173fe0cb601a5b74ed1',1,'tvm::relay::LetPatternNode::var()'],['../classtvm_1_1relay_1_1LetNode.html#a3a841faeeb71a7e59f99feffb182a7c1',1,'tvm::relay::LetNode::var()'],['../classtvm_1_1script_1_1ir__builder_1_ [...]
-  ['var_2eh_5057',['var.h',['../var_8h.html',1,'']]],
-  ['var_5fremap_5f_5058',['var_remap_',['../classtvm_1_1tir_1_1DataTypeLegalizer.html#a1de0d50699d7d15618b19d2cb7fadb35',1,'tvm::tir::DataTypeLegalizer']]],
-  ['variableinfo_5059',['VariableInfo',['../structtvm_1_1script_1_1printer_1_1IRDocsifierNode_1_1VariableInfo.html',1,'tvm::script::printer::IRDocsifierNode']]],
-  ['variables_5060',['variables',['../classtvm_1_1arith_1_1IntConstraintsNode.html#adecd62b78ba2a3fc57778088ff641cf6',1,'tvm::arith::IntConstraintsNode']]],
-  ['varianceattrs_5061',['VarianceAttrs',['../structtvm_1_1relay_1_1VarianceAttrs.html',1,'tvm::relay']]],
-  ['variances_5062',['variances',['../structtvm_1_1relay_1_1MultiBoxTransformLocAttrs.html#a77bebc24e0c21245de2620593c2c2272',1,'tvm::relay::MultiBoxTransformLocAttrs']]],
-  ['varnode_5063',['VarNode',['../classtvm_1_1relay_1_1VarNode.html',1,'tvm::relay::VarNode'],['../classtvm_1_1tir_1_1VarNode.html',1,'tvm::tir::VarNode']]],
-  ['varpattern_5064',['VarPattern',['../classtvm_1_1relay_1_1VarPattern.html',1,'tvm::relay::VarPattern'],['../classtvm_1_1relay_1_1VarPattern.html#a2319d6842d099992581ce8f867c9bc29',1,'tvm::relay::VarPattern::VarPattern()']]],
-  ['varpatternnode_5065',['VarPatternNode',['../classtvm_1_1relay_1_1VarPatternNode.html',1,'tvm::relay']]],
-  ['vars_5066',['vars',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ForFrameNode.html#aae5623ede55058002bf9ac8257c2b7fd',1,'tvm::script::ir_builder::tir::ForFrameNode']]],
-  ['vector_5067',['Vector',['../classtvm_1_1arith_1_1IntSet.html#a29b6f1e60f4b328fcfabc514e0c10f17',1,'tvm::arith::IntSet']]],
-  ['vector_5fbytes_5068',['vector_bytes',['../namespacetvm_1_1tir_1_1attr.html#a7a5ec1a12abbc199795176f1da4f9fb9',1,'tvm::tir::attr']]],
-  ['vector_5funit_5fbytes_5069',['vector_unit_bytes',['../classtvm_1_1auto__scheduler_1_1HardwareParamsNode.html#a6f2dd9161fdb3233417a9912c8854434',1,'tvm::auto_scheduler::HardwareParamsNode']]],
-  ['vectorcombine_5070',['vectorcombine',['../namespacetvm_1_1tir_1_1builtin.html#a30dff65bc2c142b57fae7f60e378ff43',1,'tvm::tir::builtin']]],
-  ['vectorhigh_5071',['vectorhigh',['../namespacetvm_1_1tir_1_1builtin.html#a45bf65ca7ca01d2016e0b609117d7e25',1,'tvm::tir::builtin']]],
-  ['vectorize_5072',['Vectorize',['../classtvm_1_1tir_1_1ScheduleNode.html#ab4a8cd91959ceab22855ec338978bcee',1,'tvm::tir::ScheduleNode']]],
-  ['vectorize_5073',['vectorize',['../classtvm_1_1te_1_1Stage.html#a44d33e3920106e75dc7c68272f880812',1,'tvm::te::Stage::vectorize()'],['../classtvm_1_1auto__scheduler_1_1State.html#a97b8a21210d63bea241dbab085d89b53',1,'tvm::auto_scheduler::State::vectorize()']]],
-  ['vectorized_5074',['Vectorized',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a2b3df6013772bdd23dfa872b81555272',1,'tvm::script::ir_builder::tir']]],
-  ['vectorizeloop_5075',['VectorizeLoop',['../namespacetvm_1_1tir_1_1transform.html#af3cecb50a8b8fc8021f6a87bc27587da',1,'tvm::tir::transform']]],
-  ['vectorizer_5076',['Vectorizer',['../classtvm_1_1tir_1_1BufferLoadNode.html#a842a72b9d02a9f8541b512478932fece',1,'tvm::tir::BufferLoadNode']]],
-  ['vectorjacobianproduct_5077',['VectorJacobianProduct',['../namespacetvm_1_1te.html#a547183f5a311af53ab598faba423fd64',1,'tvm::te']]],
-  ['vectorlow_5078',['vectorlow',['../namespacetvm_1_1tir_1_1builtin.html#a7ed64a9fb0a7f575fc63e1e0395e96a6',1,'tvm::tir::builtin']]],
-  ['vectors_5079',['vectors',['../classtvm_1_1tir_1_1ShuffleNode.html#aa444b61cf671ef31060535c61746ab6c',1,'tvm::tir::ShuffleNode']]],
-  ['vectortyperewriter_5080',['VectorTypeRewriter',['../classtvm_1_1tir_1_1BufferLoadNode.html#a285a3b1d722a2877ffd9926cb93b7e7a',1,'tvm::tir::BufferLoadNode']]],
-  ['verbose_5081',['verbose',['../classtvm_1_1auto__scheduler_1_1TuningOptionsNode.html#ab5804969081fc4f1e1330b3e55da692f',1,'tvm::auto_scheduler::TuningOptionsNode::verbose()'],['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a59dc00655b6e7fb37d49249555369d6f',1,'tvm::auto_scheduler::ProgramMeasurerNode::verbose()'],['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#a626eea16092d3c1a7d86497ee712f064',1,'tvm::auto_scheduler::SearchPolicyNode::verbose()']]],
-  ['verbose_5fexpr_5082',['verbose_expr',['../classtvm_1_1PrinterConfigNode.html#a434403f4e4cb71c75a7be8cba4845901',1,'tvm::PrinterConfigNode']]],
-  ['verifycompactbuffer_5083',['VerifyCompactBuffer',['../namespacetvm_1_1te.html#a576f41e1cec5d799b31bf67328d130f8',1,'tvm::te']]],
-  ['verifygpucode_5084',['VerifyGPUCode',['../classtvm_1_1meta__schedule_1_1Postproc.html#a7106b1742068c45966d6be5f4b8394aa',1,'tvm::meta_schedule::Postproc::VerifyGPUCode()'],['../namespacetvm_1_1tir_1_1transform.html#a70a059926c2ea81dcf437eff35f05e3e',1,'tvm::tir::transform::VerifyGPUCode()'],['../namespacetvm_1_1tir.html#a53dfcb6ef7e178a83fda0bbb5dddcb39',1,'tvm::tir::VerifyGPUCode()']]],
-  ['verifymemory_5085',['VerifyMemory',['../namespacetvm_1_1tir_1_1transform.html#a32d0b0ed966cf019d5e607bc90f284af',1,'tvm::tir::transform::VerifyMemory()'],['../namespacetvm_1_1tir.html#ac69bcf127843e5e671379e44ab47ca27',1,'tvm::tir::VerifyMemory()']]],
-  ['verifyssa_5086',['VerifySSA',['../namespacetvm_1_1tir_1_1transform.html#ac51a104ab4d2c60a4f6ed0e827efab18',1,'tvm::tir::transform::VerifySSA()'],['../namespacetvm_1_1tir.html#a3b38edd60b6ff952cefb74842a8ae826',1,'tvm::tir::VerifySSA(const PrimFunc &amp;func)']]],
-  ['verifyvtcmlimit_5087',['VerifyVTCMLimit',['../namespacetvm_1_1tir.html#a3f28c0a8f23539af6d1789c3b5c1c2db',1,'tvm::tir::VerifyVTCMLimit()'],['../classtvm_1_1meta__schedule_1_1Postproc.html#ad4412f0dd6a58a6c608562077f7fc39f',1,'tvm::meta_schedule::Postproc::VerifyVTCMLimit()'],['../namespacetvm_1_1tir_1_1transform.html#a4a35e1cf06986d28080c3fd6a1bf8998',1,'tvm::tir::transform::VerifyVTCMLimit()'],['../namespacetvm_1_1tir.html#a550f52e05d70ada107d01416944199ff',1,'tvm::tir::VerifyVTCMLi [...]
-  ['verifywellformed_5088',['VerifyWellFormed',['../namespacetvm_1_1tir.html#aee3d251f82ef3a0f446ea23f8980d84e',1,'tvm::tir']]],
-  ['version_5089',['version',['../structTVMMetadata.html#ade3312efd4c0e5beaf390959621b2f52',1,'TVMMetadata::version()'],['../classtvm_1_1runtime_1_1metadata_1_1MetadataNode.html#a894ca3986db9874b364ea83982e54f21',1,'tvm::runtime::metadata::MetadataNode::version()']]],
-  ['vid_5090',['vid',['../classtvm_1_1relay_1_1VarNode.html#af191a8eedf3575d626771c9c820ddb63',1,'tvm::relay::VarNode']]],
-  ['virtual_5fdevice_5091',['virtual_device',['../structtvm_1_1relay_1_1AllocStorageAttrs.html#afdf3a337a2f431b6243a646a3d6ef158',1,'tvm::relay::AllocStorageAttrs::virtual_device()'],['../structtvm_1_1relay_1_1OnDeviceAttrs.html#ad65024d20dad91bc92ce3c6c5ed507d2',1,'tvm::relay::OnDeviceAttrs::virtual_device()'],['../classtvm_1_1RelayExprNode.html#ac4e639dd9f33f304800851364f471eb1',1,'tvm::RelayExprNode::virtual_device()']]],
-  ['virtual_5fdevice_2eh_5092',['virtual_device.h',['../virtual__device_8h.html',1,'']]],
-  ['virtual_5fdevice_5f_5093',['virtual_device_',['../classtvm_1_1RelayExprNode.html#ad6ea21e1a03d972ac5cf81b80b88b2c4',1,'tvm::RelayExprNode']]],
-  ['virtual_5fdevice_5fid_5094',['virtual_device_id',['../classtvm_1_1VirtualDeviceNode.html#a8c2753fddb9ab42794a9419785e9cffd',1,'tvm::VirtualDeviceNode']]],
-  ['virtual_5fdevices_5095',['virtual_devices',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#ac1c8344a5f1a7e57238ba35f39deaf1c',1,'tvm::runtime::vm::Executable']]],
-  ['virtual_5fthread_5096',['virtual_thread',['../namespacetvm_1_1tir_1_1attr.html#a464533c1560ace5e0b5071ba6902482e',1,'tvm::tir::attr']]],
-  ['virtualdevice_5097',['VirtualDevice',['../classtvm_1_1VirtualDevice.html',1,'tvm::VirtualDevice'],['../classtvm_1_1VirtualDevice.html#a03e58702dfa668daec15ffd172385571',1,'tvm::VirtualDevice::VirtualDevice()'],['../classtvm_1_1VirtualDeviceNode.html#ae4d7f111e3a45058026a3ffb156a4790',1,'tvm::VirtualDeviceNode::VirtualDevice()']]],
-  ['virtualdevicecache_5098',['VirtualDeviceCache',['../classtvm_1_1VirtualDeviceCache.html',1,'tvm::VirtualDeviceCache'],['../classtvm_1_1VirtualDevice.html#a4e08dee8d23f7eb0224a08e4e85e835e',1,'tvm::VirtualDevice::VirtualDeviceCache()']]],
-  ['virtualdevicenode_5099',['VirtualDeviceNode',['../classtvm_1_1VirtualDeviceNode.html',1,'tvm']]],
-  ['virtualmachine_5100',['VirtualMachine',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html',1,'tvm::runtime::vm::VirtualMachine'],['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a6edbbbb71000617f644232de37d53338',1,'tvm::runtime::vm::VirtualMachine::VirtualMachine()']]],
-  ['vision_2eh_5101',['vision.h',['../vision_8h.html',1,'']]],
-  ['visit_5fcounter_5f_5102',['visit_counter_',['../classtvm_1_1relay_1_1ExprVisitor.html#a27e6d787cccbf7ae04fe53b7b8a62d60',1,'tvm::relay::ExprVisitor']]],
-  ['visit_5flimit_5f_5103',['visit_limit_',['../classtvm_1_1relay_1_1MixedModeVisitor.html#aa6ea62e128a480d6321abd882b2e3bdb',1,'tvm::relay::MixedModeVisitor']]],
-  ['visitattrs_5104',['VisitAttrs',['../classtvm_1_1CompilationConfigNode.html#a013ec90098f6cc435024dc75dd4e76ea',1,'tvm::CompilationConfigNode::VisitAttrs()'],['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#ae651362767ff31ca0ccc8f37b0fe6dc0',1,'tvm::script::printer::IRDocsifierNode::VisitAttrs()'],['../classtvm_1_1script_1_1printer_1_1FrameNode.html#a353697131f308279d6fcf0e08ad8e2bc',1,'tvm::script::printer::FrameNode::VisitAttrs()'],['../classtvm_1_1script_1_1printer_1_1Clas [...]
-  ['visitblockannotations_5105',['VisitBlockAnnotations',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#ac3cbdd10c2660208ba65dab805968c79',1,'tvm::tir::IndexDataTypeRewriter']]],
-  ['visitbuffer_5106',['VisitBuffer',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#a020018fd34983116e16548986da455f9',1,'tvm::tir::IndexDataTypeRewriter']]],
-  ['visitbufferregion_5107',['VisitBufferRegion',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#aa44e8eb51377a5329c30cd13410fb4df',1,'tvm::tir::IndexDataTypeRewriter']]],
-  ['visitclause_5108',['VisitClause',['../classtvm_1_1relay_1_1ExprMutator.html#ae24134355b0e8c77aaab58c28a94202a',1,'tvm::relay::ExprMutator::VisitClause()'],['../classtvm_1_1relay_1_1ExprVisitor.html#ab109b327586181cecf76f7b5c562e91b',1,'tvm::relay::ExprVisitor::VisitClause()']]],
-  ['visitconstructor_5109',['VisitConstructor',['../classtvm_1_1relay_1_1PatternVisitor.html#ace76ea7238295c0be6924c1f0fd85826',1,'tvm::relay::PatternVisitor::VisitConstructor()'],['../classtvm_1_1relay_1_1PatternMutator.html#a03cf1d4afb8443e2f1decb4512d7c4ad',1,'tvm::relay::PatternMutator::VisitConstructor()']]],
-  ['visitdfpattern_5110',['VisitDFPattern',['../classtvm_1_1relay_1_1DFPatternVisitor.html#ae7e67d3a1709b0a180572417698ffaa8',1,'tvm::relay::DFPatternVisitor::VisitDFPattern()'],['../classtvm_1_1relay_1_1DFPatternFunctor_3_01R_07const_01DFPattern_01_6n_00_01Args_8_8_8_08_4.html#aa9069b73ba737cc6b53340fcda154da9',1,'tvm::relay::DFPatternFunctor&lt; R(const DFPattern &amp;n, Args...)&gt;::VisitDFPattern()']]],
-  ['visitdfpattern_5f_5111',['VisitDFPattern_',['../classtvm_1_1relay_1_1DFPatternVisitor.html#ab7a48081487e70bacf19d31f1cd4e10a',1,'tvm::relay::DFPatternVisitor::VisitDFPattern_(const WildcardPatternNode *op) override'],['../classtvm_1_1relay_1_1DFPatternVisitor.html#a0854aed09ea8ac1563a21811366416ca',1,'tvm::relay::DFPatternVisitor::VisitDFPattern_(const VarPatternNode *op) override'],['../classtvm_1_1relay_1_1DFPatternVisitor.html#a33f44420e80125c70437b49c71fba8ca',1,'tvm::relay::DFPa [...]
-  ['visitdfpatterndefault_5f_5112',['VisitDFPatternDefault_',['../classtvm_1_1relay_1_1DFPatternFunctor_3_01R_07const_01DFPattern_01_6n_00_01Args_8_8_8_08_4.html#a5b505cf396e6efcd18aeacb0177eeb2a',1,'tvm::relay::DFPatternFunctor&lt; R(const DFPattern &amp;n, Args...)&gt;']]],
-  ['visited_5f_5113',['visited_',['../classtvm_1_1relay_1_1DFPatternVisitor.html#af90576ccca72b94c42cd79c6ba63f242',1,'tvm::relay::DFPatternVisitor']]],
-  ['visitexpr_5114',['VisitExpr',['../classtvm_1_1tir_1_1StmtVisitor.html#a6d35a6081ee7dbc440e5a980f70795c6',1,'tvm::tir::StmtVisitor::VisitExpr()'],['../classtvm_1_1tir_1_1ExprFunctor_3_01R_07const_01PrimExpr_01_6n_00_01Args_8_8_8_08_4.html#af8e4aa48561e6341da70787357241bad',1,'tvm::tir::ExprFunctor&lt; R(const PrimExpr &amp;n, Args...)&gt;::VisitExpr()'],['../classtvm_1_1relay_1_1ExprVisitor.html#a4f3e1694dd33f3cbe1ae8d933de6f181',1,'tvm::relay::ExprVisitor::VisitExpr()'],['../classtvm [...]
-  ['visitexpr_5f_5115',['VisitExpr_',['../classtvm_1_1tir_1_1ExprMutator.html#abba3fcf085a7be3337ef56765c7ed935',1,'tvm::tir::ExprMutator::VisitExpr_(const LTNode *op) override'],['../classtvm_1_1tir_1_1ExprMutator.html#aa587c243decbe1667b93050e7e6128ff',1,'tvm::tir::ExprMutator::VisitExpr_(const NENode *op) override'],['../classtvm_1_1tir_1_1ExprMutator.html#aa03b672865f654dd5f1c78fb012f1061',1,'tvm::tir::ExprMutator::VisitExpr_(const EQNode *op) override'],['../classtvm_1_1tir_1_1ExprM [...]
-  ['visitexprdefault_5f_5116',['VisitExprDefault_',['../classtvm_1_1tir_1_1ExprFunctor_3_01R_07const_01PrimExpr_01_6n_00_01Args_8_8_8_08_4.html#abd446c1ab885af47986b4b8c73a1d881',1,'tvm::tir::ExprFunctor&lt; R(const PrimExpr &amp;n, Args...)&gt;::VisitExprDefault_()'],['../classtvm_1_1relay_1_1ExprFunctor_3_01R_07const_01Expr_01_6n_00_01Args_8_8_8_08_4.html#ab35a37c57578e32a8c873cdfe9e31a0f',1,'tvm::relay::ExprFunctor&lt; R(const Expr &amp;n, Args...)&gt;::VisitExprDefault_()']]],
-  ['visitindices_5117',['VisitIndices',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#ac8e945d93a018a2a3c5409df9dfcca1a',1,'tvm::tir::IndexDataTypeRewriter']]],
-  ['visititervar_5118',['VisitIterVar',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#a3a1623362bcc494a4e640709ce959efe',1,'tvm::tir::IndexDataTypeRewriter']]],
-  ['visitleaf_5119',['VisitLeaf',['../classtvm_1_1relay_1_1MixedModeMutator.html#ae12ee4816bd7543d92a417f33acdc452',1,'tvm::relay::MixedModeMutator::VisitLeaf()'],['../classtvm_1_1relay_1_1MixedModeVisitor.html#ac79a0ae0efd81dd5ace7e65a4d47b374',1,'tvm::relay::MixedModeVisitor::VisitLeaf()']]],
-  ['visitnondefaultattrs_5120',['VisitNonDefaultAttrs',['../classtvm_1_1AttrsNode.html#acd05137ba529ac7cd07053e3da885205',1,'tvm::AttrsNode::VisitNonDefaultAttrs()'],['../classtvm_1_1DictAttrsNode.html#ac096af14759a1d48ecf78fd6545776e0',1,'tvm::DictAttrsNode::VisitNonDefaultAttrs()'],['../classtvm_1_1BaseAttrsNode.html#acc8ff84447e3830050d96e2da4188195',1,'tvm::BaseAttrsNode::VisitNonDefaultAttrs()']]],
-  ['visitpattern_5121',['VisitPattern',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#ad6692c86b749bb0d93042aa2a0425a74',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;::VisitPattern()'],['../classtvm_1_1relay_1_1ExprMutator.html#a18cefc9bf699954cba7546f914779415',1,'tvm::relay::ExprMutator::VisitPattern()'],['../classtvm_1_1relay_1_1ExprVisitor.html#a8fda55f01b88a56b25770a66ea988152',1,'tvm::relay::ExprVisitor::Vis [...]
-  ['visitpattern_5f_5122',['VisitPattern_',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#a11370205d1de851e817d40f031ad4811',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;::VisitPattern_()'],['../classtvm_1_1relay_1_1PatternMutator.html#a45f7cdfa9d72a3ab0ce2cb4ea04fec5b',1,'tvm::relay::PatternMutator::VisitPattern_()'],['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html# [...]
-  ['visitpatterndefault_5f_5123',['VisitPatternDefault_',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#ad71efcd0b9a937b35f7fd4e2b6131773',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;']]],
-  ['visitprimfuncs_5124',['VisitPrimFuncs',['../namespacetvm_1_1tir.html#a1f69889b13455cd290e6b1a6453e3c1d',1,'tvm::tir']]],
-  ['visitseqstmt_5f_5125',['VisitSeqStmt_',['../classtvm_1_1tir_1_1StmtMutator.html#a1e24adea3e49d9381246b376349db51f',1,'tvm::tir::StmtMutator']]],
-  ['visitspan_5126',['VisitSpan',['../classtvm_1_1relay_1_1ExprVisitor.html#a2df6c6bab0e99d6e43dc54a22b5f6a11',1,'tvm::relay::ExprVisitor']]],
-  ['visitstmt_5127',['VisitStmt',['../classtvm_1_1tir_1_1StmtMutator.html#a4306d1beba05fa1ac582503498a6d7ce',1,'tvm::tir::StmtMutator::VisitStmt()'],['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html#afb8d8cd85b95414ced0f27cd1c7a44d4',1,'tvm::tir::StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;::VisitStmt()']]],
-  ['visitstmt_5f_5128',['VisitStmt_',['../classtvm_1_1tir_1_1StmtVisitor.html#ad23d44523d92ddba73a106b236cebf1f',1,'tvm::tir::StmtVisitor::VisitStmt_(const BlockNode *op) override'],['../classtvm_1_1tir_1_1StmtVisitor.html#aff2335e1aea1de67bdfb92271c8c0e10',1,'tvm::tir::StmtVisitor::VisitStmt_(const BlockRealizeNode *op) override'],['../classtvm_1_1tir_1_1StmtMutator.html#ac22f8d85fc78d756b8e11e53eb1bf2df',1,'tvm::tir::StmtMutator::VisitStmt_(const AttrStmtNode *op) override'],['../class [...]
-  ['visitstmtdefault_5f_5129',['VisitStmtDefault_',['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html#ae51b328e2b59a50bed7112a93dba1aae',1,'tvm::tir::StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;']]],
-  ['visittype_5130',['VisitType',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html#ad61608b0a6bf1259b9bdf6ea1f8495df',1,'tvm::TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;::VisitType()'],['../classtvm_1_1relay_1_1PatternMutator.html#a2ec9a680c63665b3c6087b67c638dce7',1,'tvm::relay::PatternMutator::VisitType()'],['../classtvm_1_1relay_1_1PatternVisitor.html#ac65b220fb52088e5fd6b07f9e355d0b5',1,'tvm::relay::PatternVisitor::VisitType()'],['../classtvm_1_1 [...]
-  ['visittype_5f_5131',['VisitType_',['../classtvm_1_1TypeMutator.html#a0d7ff530827c63fb3eb18cb720305dca',1,'tvm::TypeMutator::VisitType_(const PointerTypeNode *op) override'],['../classtvm_1_1TypeMutator.html#a8171dc89a947d6224e83e86ce5d06d11',1,'tvm::TypeMutator::VisitType_(const PrimTypeNode *op) override'],['../classtvm_1_1TypeMutator.html#a9c2d7e1a52faac66f55896ecde3f2211',1,'tvm::TypeMutator::VisitType_(const TypeDataNode *op) override'],['../classtvm_1_1TypeMutator.html#ab270cb7a0 [...]
-  ['visittypedefault_5f_5132',['VisitTypeDefault_',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html#a91553f9e04c39b3821a70ae4f7b0c597',1,'tvm::TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;']]],
-  ['visitvar_5133',['VisitVar',['../classtvm_1_1relay_1_1PatternVisitor.html#aa1ae59cf643dacfef3071ea551e965a5',1,'tvm::relay::PatternVisitor::VisitVar()'],['../classtvm_1_1relay_1_1PatternMutator.html#a8e3887d5d34d9c2df16743492d3c3e7d',1,'tvm::relay::PatternMutator::VisitVar()']]],
-  ['vleak_5fsize_5134',['vleak_size',['../page__allocator_8h.html#a165c599c1f2bce12e7069568f9f00952',1,'vleak_size():&#160;page_allocator.h'],['../structMemoryManagerInterface.html#a7ebe94f3ad1fb2877bc6cb9035808080',1,'MemoryManagerInterface::vleak_size()']]],
-  ['vload_5135',['vload',['../classtvm_1_1tir_1_1Buffer.html#a59e5d01af08b06df85eb9ff9bc3c6207',1,'tvm::tir::Buffer']]],
-  ['vm_2eh_5136',['vm.h',['../relay_2attrs_2vm_8h.html',1,'(Global Namespace)'],['../runtime_2vm_2vm_8h.html',1,'(Global Namespace)']]],
-  ['vmclosure_5137',['VMClosure',['../classtvm_1_1runtime_1_1vm_1_1VMClosure.html',1,'tvm::runtime::vm::VMClosure'],['../classtvm_1_1runtime_1_1vm_1_1VMClosure.html#a8278c34633af65da6ecb7543fc429ce5',1,'tvm::runtime::vm::VMClosure::VMClosure()']]],
-  ['vmclosureobj_5138',['VMClosureObj',['../classtvm_1_1runtime_1_1vm_1_1VMClosureObj.html',1,'tvm::runtime::vm']]],
-  ['vmframe_5139',['VMFrame',['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html',1,'tvm::runtime::vm::VMFrame'],['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html#a8f8c990ee4fa7cb7472f5440f2ca3bde',1,'tvm::runtime::vm::VMFrame::VMFrame()']]],
-  ['vmfunction_5140',['VMFunction',['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html',1,'tvm::runtime::vm::VMFunction'],['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html#af9d2bdcf19642c21bc4909b9e9b6196d',1,'tvm::runtime::vm::VMFunction::VMFunction()=default'],['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html#aea763069fe1dd6849ce0d1ec336931e0',1,'tvm::runtime::vm::VMFunction::VMFunction(std::string name, std::vector&lt; std::string &gt; params, std::vector&lt; Instruction &gt; instruc [...]
-  ['void_5141',['Void',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a2b6c9317de9b507692d67a8e77dafc94',1,'tvm::script::ir_builder::tir::Void()'],['../classtvm_1_1runtime_1_1DataType.html#ab8dc0832aff8fd7421884c0fe20a3bfd',1,'tvm::runtime::DataType::Void()']]],
-  ['voidtype_5142',['VoidType',['../namespacetvm.html#a27df956aaa2e5e25005e0f9dc1638a08',1,'tvm']]],
-  ['volatile_5fscope_5143',['volatile_scope',['../namespacetvm_1_1tir_1_1attr.html#a14c2370f3a08792a6aaf70d7a3c773e4',1,'tvm::tir::attr']]],
-  ['vstore_5144',['vstore',['../classtvm_1_1tir_1_1Buffer.html#a1b79026ddcc4d828f0ef4356064d394c',1,'tvm::tir::Buffer']]],
-  ['vtable_5145',['vtable',['../classtvm_1_1script_1_1printer_1_1IRDocsifier.html#a408230cfec2cccb843ba4b6fb3b75093',1,'tvm::script::printer::IRDocsifier::vtable()'],['../classtvm_1_1script_1_1ir__builder_1_1details_1_1Namer.html#a8227ff24f3e5dc2c5014110f8bc4c679',1,'tvm::script::ir_builder::details::Namer::vtable()'],['../classtvm_1_1relay_1_1DFPatternPrinter.html#aa0c2cc56333b9b65ed4a5832ba34c0a7',1,'tvm::relay::DFPatternPrinter::vtable()'],['../classtvm_1_1TVMScriptPrinter.html#a7fa01 [...]
+  ['v_5fdevice_5036',['v_device',['../unionTVMValue.html#a2296018ee2f5953b9cfb1a36475c303f',1,'TVMValue']]],
+  ['v_5ffloat64_5037',['v_float64',['../unionTVMValue.html#abefae93ebafe8818c0060df2bf31e6e5',1,'TVMValue']]],
+  ['v_5fhandle_5038',['v_handle',['../unionTVMValue.html#a3b172297f9f7bf2f5391f7d87309cd44',1,'TVMValue']]],
+  ['v_5finfo_5039',['v_info',['../structtvm_1_1relay_1_1v__info.html',1,'tvm::relay::v_info'],['../structtvm_1_1relay_1_1v__info.html#aaf1979af7915eb9f241285a92fc06173',1,'tvm::relay::v_info::v_info(Expr node_, bool children_expanded_)'],['../structtvm_1_1relay_1_1v__info.html#a00cc81b690617d77cbc6cfb500fde1ee',1,'tvm::relay::v_info::v_info(Expr node_)']]],
+  ['v_5fint64_5040',['v_int64',['../unionTVMValue.html#aa1c40fa9e74fbf97541fd9735062c4cc',1,'TVMValue']]],
+  ['v_5fstr_5041',['v_str',['../unionTVMValue.html#ab0e4dea6ca370fe7a7d22f4fc23a4f47',1,'TVMValue']]],
+  ['v_5ftype_5042',['v_type',['../unionTVMValue.html#a75af73d1e39bc5de7073a008d44b0d16',1,'TVMValue']]],
+  ['val_5043',['val',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#ac1e5be6bd195486a884bd8a26b5d12f9',1,'tvm::runtime::vm::Instruction']]],
+  ['value_5044',['value',['../structtvm_1_1detail_1_1TypeName_3_01DataType_01_4.html#a53fef3c8f6efd6960f326efdc7247191',1,'tvm::detail::TypeName&lt; DataType &gt;::value()'],['../structtvm_1_1detail_1_1TypeName_3_01uint64__t_01_4.html#a49e7cec3abbfc4db8144c7a3741b19e6',1,'tvm::detail::TypeName&lt; uint64_t &gt;::value()'],['../structtvm_1_1detail_1_1TypeName_3_01bool_01_4.html#a4ec09fca4aca8d1750d479c360f69c58',1,'tvm::detail::TypeName&lt; bool &gt;::value()'],['../structtvm_1_1detail_1_ [...]
+  ['value_5f_5045',['value_',['../structtvm_1_1detail_1_1AttrInitEntry.html#aea83f0af9e7ea95e5f7d614a717b7760',1,'tvm::detail::AttrInitEntry::value_()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#a8ffdfcc7099faf19ee07a5c03ce06af8',1,'tvm::runtime::TVMPODValue_::value_()']]],
+  ['value_5findex_5046',['value_index',['../classtvm_1_1tir_1_1ReduceNode.html#a3e27c310483199b33784b1b98e3787af',1,'tvm::tir::ReduceNode::value_index()'],['../classtvm_1_1te_1_1TensorNode.html#aaf908a208cea7f27477c1c58ba605aa8',1,'tvm::te::TensorNode::value_index()']]],
+  ['value_5fmissing_5f_5047',['value_missing_',['../structtvm_1_1detail_1_1AttrInitEntry.html#aaba94dddd1e9c367023dbe03e76634bf',1,'tvm::detail::AttrInitEntry']]],
+  ['value_5for_5048',['value_or',['../classtvm_1_1runtime_1_1Optional.html#a15be72990266fc89e03fdf08bae0f331',1,'tvm::runtime::Optional']]],
+  ['value_5ftype_5049',['value_type',['../classtvm_1_1runtime_1_1Array.html#a203f4dc8f7a37ac325f6d1e192d2f8c1',1,'tvm::runtime::Array::value_type()'],['../classtvm_1_1runtime_1_1IterAdapter.html#a1a2d3f6fa9436d7b5b125124c5c20faf',1,'tvm::runtime::IterAdapter::value_type()'],['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#adbf346bfbc9caff697aa754216d14a7c',1,'tvm::runtime::ReverseIterAdapter::value_type()'],['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#af27376d48f56d42f28440536 [...]
+  ['valueconverter_5050',['ValueConverter',['../structtvm_1_1runtime_1_1Array_1_1ValueConverter.html',1,'tvm::runtime::Array']]],
+  ['values_5051',['values',['../structTVMArgs.html#ab2d2a8c794bc11fdb56b294e711ff63c',1,'TVMArgs::values()'],['../classtvm_1_1runtime_1_1TVMArgs.html#a3b99059e2f1ad08c99b42b5bee82752f',1,'tvm::runtime::TVMArgs::values()'],['../classtvm_1_1script_1_1printer_1_1DictDocNode.html#a08d71431d889cd4588d57c06c12140c4',1,'tvm::script::printer::DictDocNode::values()']]],
+  ['values_5fcount_5052',['values_count',['../structTVMArgs.html#afa042427dc87d770d0def130f497f3f5',1,'TVMArgs']]],
+  ['valuetypeinfomaker_5053',['ValueTypeInfoMaker',['../structtvm_1_1detail_1_1ValueTypeInfoMaker.html',1,'tvm::detail']]],
+  ['var_5054',['Var',['../classtvm_1_1relay_1_1Var.html',1,'tvm::relay::Var'],['../classtvm_1_1tir_1_1Var.html',1,'tvm::tir::Var']]],
+  ['var_5055',['var',['../classtvm_1_1tir_1_1IterVarNode.html#a09036ef2df09e7caf21e66dcb62675a6',1,'tvm::tir::IterVarNode::var()'],['../classtvm_1_1tir_1_1LetNode.html#a21fdb9beb794933ee1094774ddfd2ee2',1,'tvm::tir::LetNode::var()']]],
+  ['var_5056',['Var',['../classtvm_1_1relay_1_1Var.html#a06ef8ae1d07a5b8a3c25ca7775d17762',1,'tvm::relay::Var']]],
+  ['var_5057',['var',['../classtvm_1_1tir_1_1LetStmtNode.html#ae701bd89e0cafb77ae5f9eec127d1fe8',1,'tvm::tir::LetStmtNode']]],
+  ['var_5058',['Var',['../classtvm_1_1relay_1_1Var.html#a45372a62057ee9332a391e29845505ff',1,'tvm::relay::Var::Var()'],['../classtvm_1_1tir_1_1Var.html#a21ba7568a83bfc2a5896f9e0ff181129',1,'tvm::tir::Var::Var(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1tir_1_1Var.html#a8d4803f34057c3a0460cf2147239e17a',1,'tvm::tir::Var::Var(String name_hint=&quot;v&quot;, DataType dtype=DataType::Int(32), Span span=Span())'],['../classtvm_1_1tir_1_1Var.html#a241222d3b660a733dd45e871fe8bf2e5',1,'tvm:: [...]
+  ['var_5059',['var',['../namespacetvm_1_1te.html#ae0c71f84710b436cbe0b32289d0838f4',1,'tvm::te::var()'],['../classtvm_1_1relay_1_1PatternVarNode.html#acfa1269806fbf19e7badd424c19c64bf',1,'tvm::relay::PatternVarNode::var()'],['../classtvm_1_1relay_1_1LetPatternNode.html#aff0c6dec182a3173fe0cb601a5b74ed1',1,'tvm::relay::LetPatternNode::var()'],['../classtvm_1_1relay_1_1LetNode.html#a3a841faeeb71a7e59f99feffb182a7c1',1,'tvm::relay::LetNode::var()'],['../classtvm_1_1script_1_1ir__builder_1_ [...]
+  ['var_2eh_5060',['var.h',['../var_8h.html',1,'']]],
+  ['var_5fremap_5f_5061',['var_remap_',['../classtvm_1_1tir_1_1DataTypeLegalizer.html#a1de0d50699d7d15618b19d2cb7fadb35',1,'tvm::tir::DataTypeLegalizer']]],
+  ['variableinfo_5062',['VariableInfo',['../structtvm_1_1script_1_1printer_1_1IRDocsifierNode_1_1VariableInfo.html',1,'tvm::script::printer::IRDocsifierNode']]],
+  ['variables_5063',['variables',['../classtvm_1_1arith_1_1IntConstraintsNode.html#adecd62b78ba2a3fc57778088ff641cf6',1,'tvm::arith::IntConstraintsNode']]],
+  ['varianceattrs_5064',['VarianceAttrs',['../structtvm_1_1relay_1_1VarianceAttrs.html',1,'tvm::relay']]],
+  ['variances_5065',['variances',['../structtvm_1_1relay_1_1MultiBoxTransformLocAttrs.html#a77bebc24e0c21245de2620593c2c2272',1,'tvm::relay::MultiBoxTransformLocAttrs']]],
+  ['varnode_5066',['VarNode',['../classtvm_1_1relay_1_1VarNode.html',1,'tvm::relay::VarNode'],['../classtvm_1_1tir_1_1VarNode.html',1,'tvm::tir::VarNode']]],
+  ['varpattern_5067',['VarPattern',['../classtvm_1_1relay_1_1VarPattern.html',1,'tvm::relay::VarPattern'],['../classtvm_1_1relay_1_1VarPattern.html#a2319d6842d099992581ce8f867c9bc29',1,'tvm::relay::VarPattern::VarPattern()']]],
+  ['varpatternnode_5068',['VarPatternNode',['../classtvm_1_1relay_1_1VarPatternNode.html',1,'tvm::relay']]],
+  ['vars_5069',['vars',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ForFrameNode.html#aae5623ede55058002bf9ac8257c2b7fd',1,'tvm::script::ir_builder::tir::ForFrameNode']]],
+  ['vector_5070',['Vector',['../classtvm_1_1arith_1_1IntSet.html#a29b6f1e60f4b328fcfabc514e0c10f17',1,'tvm::arith::IntSet']]],
+  ['vector_5fbytes_5071',['vector_bytes',['../namespacetvm_1_1tir_1_1attr.html#a7a5ec1a12abbc199795176f1da4f9fb9',1,'tvm::tir::attr']]],
+  ['vector_5funit_5fbytes_5072',['vector_unit_bytes',['../classtvm_1_1auto__scheduler_1_1HardwareParamsNode.html#a6f2dd9161fdb3233417a9912c8854434',1,'tvm::auto_scheduler::HardwareParamsNode']]],
+  ['vectorcombine_5073',['vectorcombine',['../namespacetvm_1_1tir_1_1builtin.html#a30dff65bc2c142b57fae7f60e378ff43',1,'tvm::tir::builtin']]],
+  ['vectorhigh_5074',['vectorhigh',['../namespacetvm_1_1tir_1_1builtin.html#a45bf65ca7ca01d2016e0b609117d7e25',1,'tvm::tir::builtin']]],
+  ['vectorize_5075',['Vectorize',['../classtvm_1_1tir_1_1ScheduleNode.html#ab4a8cd91959ceab22855ec338978bcee',1,'tvm::tir::ScheduleNode']]],
+  ['vectorize_5076',['vectorize',['../classtvm_1_1te_1_1Stage.html#a44d33e3920106e75dc7c68272f880812',1,'tvm::te::Stage::vectorize()'],['../classtvm_1_1auto__scheduler_1_1State.html#a97b8a21210d63bea241dbab085d89b53',1,'tvm::auto_scheduler::State::vectorize()']]],
+  ['vectorized_5077',['Vectorized',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a2b3df6013772bdd23dfa872b81555272',1,'tvm::script::ir_builder::tir']]],
+  ['vectorizeloop_5078',['VectorizeLoop',['../namespacetvm_1_1tir_1_1transform.html#af3cecb50a8b8fc8021f6a87bc27587da',1,'tvm::tir::transform']]],
+  ['vectorizer_5079',['Vectorizer',['../classtvm_1_1tir_1_1BufferLoadNode.html#a842a72b9d02a9f8541b512478932fece',1,'tvm::tir::BufferLoadNode']]],
+  ['vectorjacobianproduct_5080',['VectorJacobianProduct',['../namespacetvm_1_1te.html#a547183f5a311af53ab598faba423fd64',1,'tvm::te']]],
+  ['vectorlow_5081',['vectorlow',['../namespacetvm_1_1tir_1_1builtin.html#a7ed64a9fb0a7f575fc63e1e0395e96a6',1,'tvm::tir::builtin']]],
+  ['vectors_5082',['vectors',['../classtvm_1_1tir_1_1ShuffleNode.html#aa444b61cf671ef31060535c61746ab6c',1,'tvm::tir::ShuffleNode']]],
+  ['vectortyperewriter_5083',['VectorTypeRewriter',['../classtvm_1_1tir_1_1BufferLoadNode.html#a285a3b1d722a2877ffd9926cb93b7e7a',1,'tvm::tir::BufferLoadNode']]],
+  ['verbose_5084',['verbose',['../classtvm_1_1auto__scheduler_1_1TuningOptionsNode.html#ab5804969081fc4f1e1330b3e55da692f',1,'tvm::auto_scheduler::TuningOptionsNode::verbose()'],['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a59dc00655b6e7fb37d49249555369d6f',1,'tvm::auto_scheduler::ProgramMeasurerNode::verbose()'],['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#a626eea16092d3c1a7d86497ee712f064',1,'tvm::auto_scheduler::SearchPolicyNode::verbose()']]],
+  ['verbose_5fexpr_5085',['verbose_expr',['../classtvm_1_1PrinterConfigNode.html#a434403f4e4cb71c75a7be8cba4845901',1,'tvm::PrinterConfigNode']]],
+  ['verifycompactbuffer_5086',['VerifyCompactBuffer',['../namespacetvm_1_1te.html#a576f41e1cec5d799b31bf67328d130f8',1,'tvm::te']]],
+  ['verifygpucode_5087',['VerifyGPUCode',['../classtvm_1_1meta__schedule_1_1Postproc.html#a7106b1742068c45966d6be5f4b8394aa',1,'tvm::meta_schedule::Postproc::VerifyGPUCode()'],['../namespacetvm_1_1tir_1_1transform.html#a70a059926c2ea81dcf437eff35f05e3e',1,'tvm::tir::transform::VerifyGPUCode()'],['../namespacetvm_1_1tir.html#a53dfcb6ef7e178a83fda0bbb5dddcb39',1,'tvm::tir::VerifyGPUCode()']]],
+  ['verifymemory_5088',['VerifyMemory',['../namespacetvm_1_1tir_1_1transform.html#a32d0b0ed966cf019d5e607bc90f284af',1,'tvm::tir::transform::VerifyMemory()'],['../namespacetvm_1_1tir.html#ac69bcf127843e5e671379e44ab47ca27',1,'tvm::tir::VerifyMemory()']]],
+  ['verifyssa_5089',['VerifySSA',['../namespacetvm_1_1tir_1_1transform.html#ac51a104ab4d2c60a4f6ed0e827efab18',1,'tvm::tir::transform::VerifySSA()'],['../namespacetvm_1_1tir.html#a3b38edd60b6ff952cefb74842a8ae826',1,'tvm::tir::VerifySSA(const PrimFunc &amp;func)']]],
+  ['verifyvtcmlimit_5090',['VerifyVTCMLimit',['../namespacetvm_1_1tir.html#a3f28c0a8f23539af6d1789c3b5c1c2db',1,'tvm::tir::VerifyVTCMLimit()'],['../classtvm_1_1meta__schedule_1_1Postproc.html#ad4412f0dd6a58a6c608562077f7fc39f',1,'tvm::meta_schedule::Postproc::VerifyVTCMLimit()'],['../namespacetvm_1_1tir_1_1transform.html#a4a35e1cf06986d28080c3fd6a1bf8998',1,'tvm::tir::transform::VerifyVTCMLimit()'],['../namespacetvm_1_1tir.html#a550f52e05d70ada107d01416944199ff',1,'tvm::tir::VerifyVTCMLi [...]
+  ['verifywellformed_5091',['VerifyWellFormed',['../namespacetvm_1_1tir.html#aee3d251f82ef3a0f446ea23f8980d84e',1,'tvm::tir']]],
+  ['version_5092',['version',['../structTVMMetadata.html#ade3312efd4c0e5beaf390959621b2f52',1,'TVMMetadata::version()'],['../classtvm_1_1runtime_1_1metadata_1_1MetadataNode.html#a894ca3986db9874b364ea83982e54f21',1,'tvm::runtime::metadata::MetadataNode::version()']]],
+  ['vid_5093',['vid',['../classtvm_1_1relay_1_1VarNode.html#af191a8eedf3575d626771c9c820ddb63',1,'tvm::relay::VarNode']]],
+  ['virtual_5fdevice_5094',['virtual_device',['../structtvm_1_1relay_1_1AllocStorageAttrs.html#afdf3a337a2f431b6243a646a3d6ef158',1,'tvm::relay::AllocStorageAttrs::virtual_device()'],['../structtvm_1_1relay_1_1OnDeviceAttrs.html#ad65024d20dad91bc92ce3c6c5ed507d2',1,'tvm::relay::OnDeviceAttrs::virtual_device()'],['../classtvm_1_1RelayExprNode.html#ac4e639dd9f33f304800851364f471eb1',1,'tvm::RelayExprNode::virtual_device()']]],
+  ['virtual_5fdevice_2eh_5095',['virtual_device.h',['../virtual__device_8h.html',1,'']]],
+  ['virtual_5fdevice_5f_5096',['virtual_device_',['../classtvm_1_1RelayExprNode.html#ad6ea21e1a03d972ac5cf81b80b88b2c4',1,'tvm::RelayExprNode']]],
+  ['virtual_5fdevice_5fid_5097',['virtual_device_id',['../classtvm_1_1VirtualDeviceNode.html#a8c2753fddb9ab42794a9419785e9cffd',1,'tvm::VirtualDeviceNode']]],
+  ['virtual_5fdevices_5098',['virtual_devices',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#ac1c8344a5f1a7e57238ba35f39deaf1c',1,'tvm::runtime::vm::Executable']]],
+  ['virtual_5fthread_5099',['virtual_thread',['../namespacetvm_1_1tir_1_1attr.html#a464533c1560ace5e0b5071ba6902482e',1,'tvm::tir::attr']]],
+  ['virtualdevice_5100',['VirtualDevice',['../classtvm_1_1VirtualDevice.html',1,'tvm::VirtualDevice'],['../classtvm_1_1VirtualDevice.html#a03e58702dfa668daec15ffd172385571',1,'tvm::VirtualDevice::VirtualDevice()'],['../classtvm_1_1VirtualDeviceNode.html#ae4d7f111e3a45058026a3ffb156a4790',1,'tvm::VirtualDeviceNode::VirtualDevice()']]],
+  ['virtualdevicecache_5101',['VirtualDeviceCache',['../classtvm_1_1VirtualDeviceCache.html',1,'tvm::VirtualDeviceCache'],['../classtvm_1_1VirtualDevice.html#a4e08dee8d23f7eb0224a08e4e85e835e',1,'tvm::VirtualDevice::VirtualDeviceCache()']]],
+  ['virtualdevicenode_5102',['VirtualDeviceNode',['../classtvm_1_1VirtualDeviceNode.html',1,'tvm']]],
+  ['virtualmachine_5103',['VirtualMachine',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html',1,'tvm::runtime::vm::VirtualMachine'],['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a6edbbbb71000617f644232de37d53338',1,'tvm::runtime::vm::VirtualMachine::VirtualMachine()']]],
+  ['vision_2eh_5104',['vision.h',['../vision_8h.html',1,'']]],
+  ['visit_5fcounter_5f_5105',['visit_counter_',['../classtvm_1_1relay_1_1ExprVisitor.html#a27e6d787cccbf7ae04fe53b7b8a62d60',1,'tvm::relay::ExprVisitor']]],
+  ['visit_5flimit_5f_5106',['visit_limit_',['../classtvm_1_1relay_1_1MixedModeVisitor.html#aa6ea62e128a480d6321abd882b2e3bdb',1,'tvm::relay::MixedModeVisitor']]],
+  ['visitattrs_5107',['VisitAttrs',['../classtvm_1_1CompilationConfigNode.html#a013ec90098f6cc435024dc75dd4e76ea',1,'tvm::CompilationConfigNode::VisitAttrs()'],['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#ae651362767ff31ca0ccc8f37b0fe6dc0',1,'tvm::script::printer::IRDocsifierNode::VisitAttrs()'],['../classtvm_1_1script_1_1printer_1_1FrameNode.html#a353697131f308279d6fcf0e08ad8e2bc',1,'tvm::script::printer::FrameNode::VisitAttrs()'],['../classtvm_1_1script_1_1printer_1_1Clas [...]
+  ['visitblockannotations_5108',['VisitBlockAnnotations',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#ac3cbdd10c2660208ba65dab805968c79',1,'tvm::tir::IndexDataTypeRewriter']]],
+  ['visitbuffer_5109',['VisitBuffer',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#a020018fd34983116e16548986da455f9',1,'tvm::tir::IndexDataTypeRewriter']]],
+  ['visitbufferregion_5110',['VisitBufferRegion',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#aa44e8eb51377a5329c30cd13410fb4df',1,'tvm::tir::IndexDataTypeRewriter']]],
+  ['visitclause_5111',['VisitClause',['../classtvm_1_1relay_1_1ExprMutator.html#ae24134355b0e8c77aaab58c28a94202a',1,'tvm::relay::ExprMutator::VisitClause()'],['../classtvm_1_1relay_1_1ExprVisitor.html#ab109b327586181cecf76f7b5c562e91b',1,'tvm::relay::ExprVisitor::VisitClause()']]],
+  ['visitconstructor_5112',['VisitConstructor',['../classtvm_1_1relay_1_1PatternVisitor.html#ace76ea7238295c0be6924c1f0fd85826',1,'tvm::relay::PatternVisitor::VisitConstructor()'],['../classtvm_1_1relay_1_1PatternMutator.html#a03cf1d4afb8443e2f1decb4512d7c4ad',1,'tvm::relay::PatternMutator::VisitConstructor()']]],
+  ['visitdfpattern_5113',['VisitDFPattern',['../classtvm_1_1relay_1_1DFPatternVisitor.html#ae7e67d3a1709b0a180572417698ffaa8',1,'tvm::relay::DFPatternVisitor::VisitDFPattern()'],['../classtvm_1_1relay_1_1DFPatternFunctor_3_01R_07const_01DFPattern_01_6n_00_01Args_8_8_8_08_4.html#aa9069b73ba737cc6b53340fcda154da9',1,'tvm::relay::DFPatternFunctor&lt; R(const DFPattern &amp;n, Args...)&gt;::VisitDFPattern()']]],
+  ['visitdfpattern_5f_5114',['VisitDFPattern_',['../classtvm_1_1relay_1_1DFPatternVisitor.html#ab7a48081487e70bacf19d31f1cd4e10a',1,'tvm::relay::DFPatternVisitor::VisitDFPattern_(const WildcardPatternNode *op) override'],['../classtvm_1_1relay_1_1DFPatternVisitor.html#a0854aed09ea8ac1563a21811366416ca',1,'tvm::relay::DFPatternVisitor::VisitDFPattern_(const VarPatternNode *op) override'],['../classtvm_1_1relay_1_1DFPatternVisitor.html#a33f44420e80125c70437b49c71fba8ca',1,'tvm::relay::DFPa [...]
+  ['visitdfpatterndefault_5f_5115',['VisitDFPatternDefault_',['../classtvm_1_1relay_1_1DFPatternFunctor_3_01R_07const_01DFPattern_01_6n_00_01Args_8_8_8_08_4.html#a5b505cf396e6efcd18aeacb0177eeb2a',1,'tvm::relay::DFPatternFunctor&lt; R(const DFPattern &amp;n, Args...)&gt;']]],
+  ['visited_5f_5116',['visited_',['../classtvm_1_1relay_1_1DFPatternVisitor.html#af90576ccca72b94c42cd79c6ba63f242',1,'tvm::relay::DFPatternVisitor']]],
+  ['visitexpr_5117',['VisitExpr',['../classtvm_1_1tir_1_1StmtVisitor.html#a6d35a6081ee7dbc440e5a980f70795c6',1,'tvm::tir::StmtVisitor::VisitExpr()'],['../classtvm_1_1tir_1_1ExprFunctor_3_01R_07const_01PrimExpr_01_6n_00_01Args_8_8_8_08_4.html#af8e4aa48561e6341da70787357241bad',1,'tvm::tir::ExprFunctor&lt; R(const PrimExpr &amp;n, Args...)&gt;::VisitExpr()'],['../classtvm_1_1relay_1_1ExprVisitor.html#a4f3e1694dd33f3cbe1ae8d933de6f181',1,'tvm::relay::ExprVisitor::VisitExpr()'],['../classtvm [...]
+  ['visitexpr_5f_5118',['VisitExpr_',['../classtvm_1_1tir_1_1ExprMutator.html#abba3fcf085a7be3337ef56765c7ed935',1,'tvm::tir::ExprMutator::VisitExpr_(const LTNode *op) override'],['../classtvm_1_1tir_1_1ExprMutator.html#aa587c243decbe1667b93050e7e6128ff',1,'tvm::tir::ExprMutator::VisitExpr_(const NENode *op) override'],['../classtvm_1_1tir_1_1ExprMutator.html#aa03b672865f654dd5f1c78fb012f1061',1,'tvm::tir::ExprMutator::VisitExpr_(const EQNode *op) override'],['../classtvm_1_1tir_1_1ExprM [...]
+  ['visitexprdefault_5f_5119',['VisitExprDefault_',['../classtvm_1_1tir_1_1ExprFunctor_3_01R_07const_01PrimExpr_01_6n_00_01Args_8_8_8_08_4.html#abd446c1ab885af47986b4b8c73a1d881',1,'tvm::tir::ExprFunctor&lt; R(const PrimExpr &amp;n, Args...)&gt;::VisitExprDefault_()'],['../classtvm_1_1relay_1_1ExprFunctor_3_01R_07const_01Expr_01_6n_00_01Args_8_8_8_08_4.html#ab35a37c57578e32a8c873cdfe9e31a0f',1,'tvm::relay::ExprFunctor&lt; R(const Expr &amp;n, Args...)&gt;::VisitExprDefault_()']]],
+  ['visitindices_5120',['VisitIndices',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#ac8e945d93a018a2a3c5409df9dfcca1a',1,'tvm::tir::IndexDataTypeRewriter']]],
+  ['visititervar_5121',['VisitIterVar',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#a3a1623362bcc494a4e640709ce959efe',1,'tvm::tir::IndexDataTypeRewriter']]],
+  ['visitleaf_5122',['VisitLeaf',['../classtvm_1_1relay_1_1MixedModeMutator.html#ae12ee4816bd7543d92a417f33acdc452',1,'tvm::relay::MixedModeMutator::VisitLeaf()'],['../classtvm_1_1relay_1_1MixedModeVisitor.html#ac79a0ae0efd81dd5ace7e65a4d47b374',1,'tvm::relay::MixedModeVisitor::VisitLeaf()']]],
+  ['visitnondefaultattrs_5123',['VisitNonDefaultAttrs',['../classtvm_1_1AttrsNode.html#acd05137ba529ac7cd07053e3da885205',1,'tvm::AttrsNode::VisitNonDefaultAttrs()'],['../classtvm_1_1DictAttrsNode.html#ac096af14759a1d48ecf78fd6545776e0',1,'tvm::DictAttrsNode::VisitNonDefaultAttrs()'],['../classtvm_1_1BaseAttrsNode.html#acc8ff84447e3830050d96e2da4188195',1,'tvm::BaseAttrsNode::VisitNonDefaultAttrs()']]],
+  ['visitpattern_5124',['VisitPattern',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#ad6692c86b749bb0d93042aa2a0425a74',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;::VisitPattern()'],['../classtvm_1_1relay_1_1ExprMutator.html#a18cefc9bf699954cba7546f914779415',1,'tvm::relay::ExprMutator::VisitPattern()'],['../classtvm_1_1relay_1_1ExprVisitor.html#a8fda55f01b88a56b25770a66ea988152',1,'tvm::relay::ExprVisitor::Vis [...]
+  ['visitpattern_5f_5125',['VisitPattern_',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#a11370205d1de851e817d40f031ad4811',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;::VisitPattern_()'],['../classtvm_1_1relay_1_1PatternMutator.html#a45f7cdfa9d72a3ab0ce2cb4ea04fec5b',1,'tvm::relay::PatternMutator::VisitPattern_()'],['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html# [...]
+  ['visitpatterndefault_5f_5126',['VisitPatternDefault_',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#ad71efcd0b9a937b35f7fd4e2b6131773',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;']]],
+  ['visitprimfuncs_5127',['VisitPrimFuncs',['../namespacetvm_1_1tir.html#a1f69889b13455cd290e6b1a6453e3c1d',1,'tvm::tir']]],
+  ['visitseqstmt_5f_5128',['VisitSeqStmt_',['../classtvm_1_1tir_1_1StmtMutator.html#a1e24adea3e49d9381246b376349db51f',1,'tvm::tir::StmtMutator']]],
+  ['visitspan_5129',['VisitSpan',['../classtvm_1_1relay_1_1ExprVisitor.html#a2df6c6bab0e99d6e43dc54a22b5f6a11',1,'tvm::relay::ExprVisitor']]],
+  ['visitstmt_5130',['VisitStmt',['../classtvm_1_1tir_1_1StmtMutator.html#a4306d1beba05fa1ac582503498a6d7ce',1,'tvm::tir::StmtMutator::VisitStmt()'],['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html#afb8d8cd85b95414ced0f27cd1c7a44d4',1,'tvm::tir::StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;::VisitStmt()']]],
+  ['visitstmt_5f_5131',['VisitStmt_',['../classtvm_1_1tir_1_1StmtVisitor.html#ad23d44523d92ddba73a106b236cebf1f',1,'tvm::tir::StmtVisitor::VisitStmt_(const BlockNode *op) override'],['../classtvm_1_1tir_1_1StmtVisitor.html#aff2335e1aea1de67bdfb92271c8c0e10',1,'tvm::tir::StmtVisitor::VisitStmt_(const BlockRealizeNode *op) override'],['../classtvm_1_1tir_1_1StmtMutator.html#ac22f8d85fc78d756b8e11e53eb1bf2df',1,'tvm::tir::StmtMutator::VisitStmt_(const AttrStmtNode *op) override'],['../class [...]
+  ['visitstmtdefault_5f_5132',['VisitStmtDefault_',['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html#ae51b328e2b59a50bed7112a93dba1aae',1,'tvm::tir::StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;']]],
+  ['visittype_5133',['VisitType',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html#ad61608b0a6bf1259b9bdf6ea1f8495df',1,'tvm::TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;::VisitType()'],['../classtvm_1_1relay_1_1PatternMutator.html#a2ec9a680c63665b3c6087b67c638dce7',1,'tvm::relay::PatternMutator::VisitType()'],['../classtvm_1_1relay_1_1PatternVisitor.html#ac65b220fb52088e5fd6b07f9e355d0b5',1,'tvm::relay::PatternVisitor::VisitType()'],['../classtvm_1_1 [...]
+  ['visittype_5f_5134',['VisitType_',['../classtvm_1_1TypeMutator.html#a0d7ff530827c63fb3eb18cb720305dca',1,'tvm::TypeMutator::VisitType_(const PointerTypeNode *op) override'],['../classtvm_1_1TypeMutator.html#a8171dc89a947d6224e83e86ce5d06d11',1,'tvm::TypeMutator::VisitType_(const PrimTypeNode *op) override'],['../classtvm_1_1TypeMutator.html#a9c2d7e1a52faac66f55896ecde3f2211',1,'tvm::TypeMutator::VisitType_(const TypeDataNode *op) override'],['../classtvm_1_1TypeMutator.html#ab270cb7a0 [...]
+  ['visittypedefault_5f_5135',['VisitTypeDefault_',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html#a91553f9e04c39b3821a70ae4f7b0c597',1,'tvm::TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;']]],
+  ['visitvar_5136',['VisitVar',['../classtvm_1_1relay_1_1PatternVisitor.html#aa1ae59cf643dacfef3071ea551e965a5',1,'tvm::relay::PatternVisitor::VisitVar()'],['../classtvm_1_1relay_1_1PatternMutator.html#a8e3887d5d34d9c2df16743492d3c3e7d',1,'tvm::relay::PatternMutator::VisitVar()']]],
+  ['vleak_5fsize_5137',['vleak_size',['../page__allocator_8h.html#a165c599c1f2bce12e7069568f9f00952',1,'vleak_size():&#160;page_allocator.h'],['../structMemoryManagerInterface.html#a7ebe94f3ad1fb2877bc6cb9035808080',1,'MemoryManagerInterface::vleak_size()']]],
+  ['vload_5138',['vload',['../classtvm_1_1tir_1_1Buffer.html#a59e5d01af08b06df85eb9ff9bc3c6207',1,'tvm::tir::Buffer']]],
+  ['vm_2eh_5139',['vm.h',['../relay_2attrs_2vm_8h.html',1,'(Global Namespace)'],['../runtime_2vm_2vm_8h.html',1,'(Global Namespace)']]],
+  ['vmclosure_5140',['VMClosure',['../classtvm_1_1runtime_1_1vm_1_1VMClosure.html',1,'tvm::runtime::vm::VMClosure'],['../classtvm_1_1runtime_1_1vm_1_1VMClosure.html#a8278c34633af65da6ecb7543fc429ce5',1,'tvm::runtime::vm::VMClosure::VMClosure()']]],
+  ['vmclosureobj_5141',['VMClosureObj',['../classtvm_1_1runtime_1_1vm_1_1VMClosureObj.html',1,'tvm::runtime::vm']]],
+  ['vmframe_5142',['VMFrame',['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html',1,'tvm::runtime::vm::VMFrame'],['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html#a8f8c990ee4fa7cb7472f5440f2ca3bde',1,'tvm::runtime::vm::VMFrame::VMFrame()']]],
+  ['vmfunction_5143',['VMFunction',['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html',1,'tvm::runtime::vm::VMFunction'],['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html#af9d2bdcf19642c21bc4909b9e9b6196d',1,'tvm::runtime::vm::VMFunction::VMFunction()=default'],['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html#aea763069fe1dd6849ce0d1ec336931e0',1,'tvm::runtime::vm::VMFunction::VMFunction(std::string name, std::vector&lt; std::string &gt; params, std::vector&lt; Instruction &gt; instruc [...]
+  ['void_5144',['Void',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a2b6c9317de9b507692d67a8e77dafc94',1,'tvm::script::ir_builder::tir::Void()'],['../classtvm_1_1runtime_1_1DataType.html#ab8dc0832aff8fd7421884c0fe20a3bfd',1,'tvm::runtime::DataType::Void()']]],
+  ['voidtype_5145',['VoidType',['../namespacetvm.html#a27df956aaa2e5e25005e0f9dc1638a08',1,'tvm']]],
+  ['volatile_5fscope_5146',['volatile_scope',['../namespacetvm_1_1tir_1_1attr.html#a14c2370f3a08792a6aaf70d7a3c773e4',1,'tvm::tir::attr']]],
+  ['vstore_5147',['vstore',['../classtvm_1_1tir_1_1Buffer.html#a1b79026ddcc4d828f0ef4356064d394c',1,'tvm::tir::Buffer']]],
+  ['vtable_5148',['vtable',['../classtvm_1_1script_1_1printer_1_1IRDocsifier.html#a408230cfec2cccb843ba4b6fb3b75093',1,'tvm::script::printer::IRDocsifier::vtable()'],['../classtvm_1_1script_1_1ir__builder_1_1details_1_1Namer.html#a8227ff24f3e5dc2c5014110f8bc4c679',1,'tvm::script::ir_builder::details::Namer::vtable()'],['../classtvm_1_1relay_1_1DFPatternPrinter.html#aa0c2cc56333b9b65ed4a5832ba34c0a7',1,'tvm::relay::DFPatternPrinter::vtable()'],['../classtvm_1_1TVMScriptPrinter.html#a7fa01 [...]
 ];
diff --git a/docs/reference/api/doxygen/search/all_18.js b/docs/reference/api/doxygen/search/all_18.js
index 2c538f8bf2..6a522ffe1e 100644
--- a/docs/reference/api/doxygen/search/all_18.js
+++ b/docs/reference/api/doxygen/search/all_18.js
@@ -1,71 +1,71 @@
 var searchData=
 [
-  ['warning_5146',['Warning',['../classtvm_1_1Diagnostic.html#a468619ccf507ab10cf47830c6eb37e03',1,'tvm::Diagnostic::Warning(const Object *loc)'],['../classtvm_1_1Diagnostic.html#a940518945b82302c8c47fb2a4bb352fd',1,'tvm::Diagnostic::Warning(ObjectRef loc)'],['../classtvm_1_1Diagnostic.html#a407ef56844eec306451c1ce2ca9f248c',1,'tvm::Diagnostic::Warning(Span span)']]],
-  ['warp_5fexecution_5147',['warp_execution',['../namespacetvm_1_1tir_1_1attr.html#a350f417c4c3ed61f4578c5e5cb72d667',1,'tvm::tir::attr']]],
-  ['warp_5fsize_5148',['warp_size',['../classtvm_1_1auto__scheduler_1_1HardwareParamsNode.html#a8d96a297de313e00c00d2d26b3e1cc95',1,'tvm::auto_scheduler::HardwareParamsNode']]],
-  ['weight_5149',['weight',['../classtvm_1_1meta__schedule_1_1ExtractedTaskNode.html#a772dcab249a2add6801c3100729c15ad',1,'tvm::meta_schedule::ExtractedTaskNode']]],
-  ['weight_5fbits_5150',['weight_bits',['../structtvm_1_1relay_1_1BinaryDenseAttrs.html#a6647c2d9d1d3108c6f552ff4271f2625',1,'tvm::relay::BinaryDenseAttrs::weight_bits()'],['../structtvm_1_1relay_1_1BinaryConv2DAttrs.html#a70aa926aba4fc774c15786358315141a',1,'tvm::relay::BinaryConv2DAttrs::weight_bits()']]],
-  ['weight_5flayout_5151',['weight_layout',['../structtvm_1_1relay_1_1DensePackAttrs.html#a7f12601cad15b4a65de4ce1bc4dd929c',1,'tvm::relay::DensePackAttrs']]],
-  ['wellformed_5152',['WellFormed',['../namespacetvm_1_1relay.html#a4e4cdd4e3db74bf68b315d5730890ca8',1,'tvm::relay']]],
-  ['where_5153',['where',['../namespacetvm_1_1topi.html#af011847b6e7f72f1bec25eee05c80590',1,'tvm::topi']]],
-  ['where_5154',['Where',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a454a28cc9ed56389b7b09b5b45a3097e',1,'tvm::script::ir_builder::tir']]],
-  ['while_5155',['While',['../classtvm_1_1tir_1_1While.html',1,'tvm::tir::While'],['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a6df09cb19f1e26c3fa0e1e0251c3c0bb',1,'tvm::script::ir_builder::tir::While()'],['../classtvm_1_1tir_1_1While.html#a5e1bf25f5caab0218e2224f19140351b',1,'tvm::tir::While::While()']]],
-  ['whiledoc_5156',['WhileDoc',['../classtvm_1_1script_1_1printer_1_1WhileDoc.html',1,'tvm::script::printer::WhileDoc'],['../classtvm_1_1script_1_1printer_1_1WhileDoc.html#ac7a684d98115b7cda3ae6f7ab4df01a0',1,'tvm::script::printer::WhileDoc::WhileDoc()']]],
-  ['whiledocnode_5157',['WhileDocNode',['../classtvm_1_1script_1_1printer_1_1WhileDocNode.html',1,'tvm::script::printer']]],
-  ['whileframe_5158',['WhileFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1WhileFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['whileframenode_5159',['WhileFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1WhileFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['whilenode_5160',['WhileNode',['../classtvm_1_1tir_1_1WhileNode.html',1,'tvm::tir']]],
-  ['wildcardpattern_5161',['WildcardPattern',['../classtvm_1_1relay_1_1WildcardPattern.html',1,'tvm::relay']]],
-  ['wildcardpatternnode_5162',['WildcardPatternNode',['../classtvm_1_1relay_1_1WildcardPatternNode.html',1,'tvm::relay']]],
-  ['win_5flength_5163',['win_length',['../structtvm_1_1relay_1_1StftAttrs.html#a371e50533789d167cef0933e0cee3838',1,'tvm::relay::StftAttrs']]],
-  ['window_5fshape_5164',['window_shape',['../structtvm_1_1relay_1_1SlidingWindowAttrs.html#a8e12fafa989faf9bf986ee40626326c2',1,'tvm::relay::SlidingWindowAttrs']]],
-  ['winograd_2eh_5165',['winograd.h',['../winograd_8h.html',1,'']]],
-  ['with_5166',['With',['../classtvm_1_1With.html',1,'tvm::With&lt; ContextType &gt;'],['../classtvm_1_1With.html#a30223d74db8edd8200bc5586b5d4ca2f',1,'tvm::With::With(With &amp;&amp;other)=delete'],['../classtvm_1_1With.html#a9704ce4379a8f1475670abd6f937f24c',1,'tvm::With::With(const With &amp;other)=delete'],['../classtvm_1_1With.html#a19fcda1557550b2a5f2e942f08bd38f2',1,'tvm::With::With(Args &amp;&amp;... args)']]],
-  ['with_2eh_5167',['with.h',['../with_8h.html',1,'']]],
-  ['with_3c_20constraintcontext_20_3e_5168',['With&lt; ConstraintContext &gt;',['../classtvm_1_1arith_1_1ConstraintContext.html#acf0da349a64c42ae689d9459ae09f0e3',1,'tvm::arith::ConstraintContext']]],
-  ['with_3c_20passcontext_20_3e_5169',['With&lt; PassContext &gt;',['../classtvm_1_1transform_1_1PassContext.html#a5f399608a6da56a5c91ea6ead8489f69',1,'tvm::transform::PassContext']]],
-  ['with_3c_20schedulecontext_20_3e_5170',['With&lt; ScheduleContext &gt;',['../classtvm_1_1te_1_1ScheduleContext.html#a10080b05885425a75e7f7281d3defb68',1,'tvm::te::ScheduleContext']]],
-  ['with_3c_20specializedcondition_20_3e_5171',['With&lt; SpecializedCondition &gt;',['../classtvm_1_1te_1_1SpecializedCondition.html#ae2aff9f2ce7debae1cb1648450f6b3fe',1,'tvm::te::SpecializedCondition']]],
-  ['with_3c_20target_20_3e_5172',['With&lt; Target &gt;',['../classtvm_1_1Target.html#aba1b161cfd80c2ac8c5ecf65a27e7c56',1,'tvm::Target']]],
-  ['with_5fbits_5173',['with_bits',['../classtvm_1_1runtime_1_1DataType.html#a5c4bad19b3ffd663af52bd0b91003af4',1,'tvm::runtime::DataType']]],
-  ['with_5flanes_5174',['with_lanes',['../classtvm_1_1runtime_1_1DataType.html#a98623590042dd19a08958f2469369552',1,'tvm::runtime::DataType']]],
-  ['withattr_5175',['WithAttr',['../namespacetvm.html#a2acb6435cb5299a480bfb81d3d9ce37c',1,'tvm']]],
-  ['withattrs_5176',['WithAttrs',['../namespacetvm.html#aa01d3303b02caca566a093aa56fee692',1,'tvm']]],
-  ['withdecision_5177',['WithDecision',['../classtvm_1_1tir_1_1TraceNode.html#a043864167d253b3a850091ce81cd98a9',1,'tvm::tir::TraceNode']]],
-  ['withfields_5178',['WithFields',['../namespacetvm_1_1relay.html#aaf3bb67945ee37070acbf4b3ef84d826',1,'tvm::relay::WithFields(Var var, Optional&lt; Id &gt; opt_vid=Optional&lt; Id &gt;(), Optional&lt; Type &gt; opt_type_annotation=Optional&lt; Type &gt;(), Optional&lt; VirtualDevice &gt; opt_virtual_device=Optional&lt; VirtualDevice &gt;(), Optional&lt; Span &gt; opt_span=Optional&lt; Span &gt;())'],['../namespacetvm_1_1relay.html#acd80501d29e4d951be6746c79934a70c',1,'tvm::relay::WithF [...]
-  ['withhost_5179',['WithHost',['../classtvm_1_1Target.html#a509ce63995f082c80742ea5ca6ac112f',1,'tvm::Target']]],
-  ['withoutattr_5180',['WithoutAttr',['../namespacetvm.html#a7e2bc626db8be997b1562c79df3d9e11',1,'tvm']]],
-  ['withouthost_5181',['WithoutHost',['../classtvm_1_1Target.html#a618e9c23c91e16ee60bb324d0c97091c',1,'tvm::Target']]],
-  ['workload_5182',['Workload',['../classtvm_1_1meta__schedule_1_1Workload.html',1,'tvm::meta_schedule']]],
-  ['workload_5183',['workload',['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html#a42c87f1ec62dae6806c3fe9629c5e7f0',1,'tvm::meta_schedule::TuningRecordNode']]],
-  ['workload_5184',['Workload',['../classtvm_1_1meta__schedule_1_1Workload.html#a8880877517679c82ae63520e28d5e1d8',1,'tvm::meta_schedule::Workload::Workload(IRModule mod, THashCode shash)'],['../classtvm_1_1meta__schedule_1_1Workload.html#a21ccf9c956b82d50a2579f1c0f592fd0',1,'tvm::meta_schedule::Workload::Workload(IRModule mod)']]],
-  ['workload_5fkey_5185',['workload_key',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#a20045d677ba2bc5c5ce461e78543b3e2',1,'tvm::auto_scheduler::SearchTaskNode']]],
-  ['workloadequal_5186',['WorkloadEqual',['../structtvm_1_1meta__schedule_1_1WorkloadEqual.html',1,'tvm::meta_schedule::WorkloadEqual'],['../structtvm_1_1meta__schedule_1_1WorkloadEqual.html#a49b0e137a01a278469ff63729afae804',1,'tvm::meta_schedule::WorkloadEqual::WorkloadEqual()']]],
-  ['workloadhash_5187',['WorkloadHash',['../structtvm_1_1meta__schedule_1_1WorkloadHash.html',1,'tvm::meta_schedule']]],
-  ['workloadnode_5188',['WorkloadNode',['../classtvm_1_1meta__schedule_1_1WorkloadNode.html',1,'tvm::meta_schedule']]],
-  ['workon_5189',['WorkOn',['../classtvm_1_1tir_1_1ScheduleNode.html#acb747d074e1f99477f7132e4614221a3',1,'tvm::tir::ScheduleNode']]],
-  ['workspace_5190',['workspace',['../structtvm__workspace__t.html#a055e864e765ebbd2d7e07dfa8396724d',1,'tvm_workspace_t']]],
-  ['workspace_5fpools_5191',['workspace_pools',['../classtvm_1_1runtime_1_1metadata_1_1MetadataNode.html#afcec45946511de05dd57fa7a4f5b78fa',1,'tvm::runtime::metadata::MetadataNode::workspace_pools()'],['../structTVMMetadata.html#a93b534e45b8235bdd991e68eaefa286a',1,'TVMMetadata::workspace_pools()']]],
-  ['workspace_5fsize_5192',['workspace_size',['../structtvm__workspace__t.html#ad9ee1136995f30faaf17fbc45e87ee6d',1,'tvm_workspace_t']]],
-  ['workspacememorypools_5193',['WorkspaceMemoryPools',['../classtvm_1_1WorkspaceMemoryPools.html',1,'tvm::WorkspaceMemoryPools'],['../classtvm_1_1WorkspaceMemoryPools.html#ab4536d1ae625b84dab15804910ae12fd',1,'tvm::WorkspaceMemoryPools::WorkspaceMemoryPools()']]],
-  ['workspacememorypoolsnode_5194',['WorkspaceMemoryPoolsNode',['../structtvm_1_1WorkspaceMemoryPoolsNode.html',1,'tvm']]],
-  ['workspacepoolinfo_5195',['WorkspacePoolInfo',['../classtvm_1_1WorkspacePoolInfo.html',1,'tvm::WorkspacePoolInfo'],['../classtvm_1_1WorkspacePoolInfo.html#a7205e8bf6791a5655f0f56448160f582',1,'tvm::WorkspacePoolInfo::WorkspacePoolInfo()']]],
-  ['workspacepoolinfonode_5196',['WorkspacePoolInfoNode',['../structtvm_1_1WorkspacePoolInfoNode.html',1,'tvm']]],
-  ['wrappedpythonobject_5197',['WrappedPythonObject',['../classtvm_1_1runtime_1_1WrappedPythonObject.html',1,'tvm::runtime::WrappedPythonObject'],['../classtvm_1_1runtime_1_1WrappedPythonObject.html#abd0af974d09c457b8e2a6988f7e21619',1,'tvm::runtime::WrappedPythonObject::WrappedPythonObject(std::nullptr_t)'],['../classtvm_1_1runtime_1_1WrappedPythonObject.html#a8823876bbd2c7d1dcf66cfdb7d4a7cb9',1,'tvm::runtime::WrappedPythonObject::WrappedPythonObject()'],['../classtvm_1_1runtime_1_1Wrap [...]
-  ['wraptimeevaluator_5198',['WrapTimeEvaluator',['../namespacetvm_1_1runtime_1_1profiling.html#afc562ef0d6a95bea1a520f02ee3e9e9f',1,'tvm::runtime::profiling']]],
-  ['write_5199',['Write',['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#aa8e2ffcb79edc988f9c173575480a8f6',1,'tvm::runtime::micro_rpc::FrameBuffer::Write()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html#a5e9dee40d4a98dca6faa7004abdb4167',1,'tvm::runtime::micro_rpc::Unframer::Write()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#a3a208a2abaf62618c453e1bfe1f5a5f4',1,'tvm::runtime::micro_rpc::Framer::Write()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteS [...]
-  ['write_5fbandwidth_5fbytes_5fper_5fcycle_5200',['write_bandwidth_bytes_per_cycle',['../structtvm_1_1PoolInfoPropertiesNode.html#a6657e03988a672588c9b4faafd1b20db',1,'tvm::PoolInfoPropertiesNode::write_bandwidth_bytes_per_cycle()'],['../structtvm_1_1PoolInfoNode.html#a234ad11ae914b5e938c52a593da20411',1,'tvm::PoolInfoNode::write_bandwidth_bytes_per_cycle()']]],
-  ['write_5flatency_5fcycles_5201',['write_latency_cycles',['../structtvm_1_1PoolInfoNode.html#a444e2db8569e8bae01871b6749b83d31',1,'tvm::PoolInfoNode::write_latency_cycles()'],['../structtvm_1_1PoolInfoPropertiesNode.html#a2c16994823dcc1b203a20250bdb2e663',1,'tvm::PoolInfoPropertiesNode::write_latency_cycles()']]],
-  ['write_5fstream_2eh_5202',['write_stream.h',['../write__stream_8h.html',1,'']]],
-  ['writeall_5203',['WriteAll',['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteStream.html#a50796cc3a1c0b1420d8cf98c2d415695',1,'tvm::runtime::micro_rpc::WriteStream']]],
-  ['writeat_5204',['WriteAt',['../classtvm_1_1tir_1_1ScheduleNode.html#ad66f22b795a1e34cb3c42e691e5864a7',1,'tvm::tir::ScheduleNode']]],
-  ['writefunc_5205',['WriteFunc',['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#a4007e15f07da54cb6d8d037f88245498',1,'tvm::runtime::micro_rpc::Framer']]],
-  ['writemeasurerecords_5206',['WriteMeasureRecords',['../namespacetvm_1_1auto__scheduler.html#a374a8aa311bb0c0e5b28509107b9064d',1,'tvm::auto_scheduler']]],
-  ['writepayloadchunk_5207',['WritePayloadChunk',['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#a4bfc1bf02d5c7b541d212c3040556717',1,'tvm::runtime::micro_rpc::Framer']]],
-  ['writeregister_5208',['WriteRegister',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a670a5e3f3d9c22bb822efface955bf17',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['writes_5209',['writes',['../classtvm_1_1tir_1_1BlockNode.html#a7025783637b84afdb3317940ebbe5825',1,'tvm::tir::BlockNode']]],
-  ['writes_5210',['Writes',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ad532abf75fe0fbf1e607c7d08cfc5823',1,'tvm::script::ir_builder::tir']]],
-  ['writes_5211',['writes',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockFrameNode.html#a7b20426af86520e62d9828ad73bf2410',1,'tvm::script::ir_builder::tir::BlockFrameNode']]],
-  ['writestream_5212',['WriteStream',['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteStream.html',1,'tvm::runtime::micro_rpc']]],
-  ['writetorecord_5213',['WriteToRecord',['../classtvm_1_1auto__scheduler_1_1FollowFusedSplitStepNode.html#add8ac9e1cfc15da8d6a14e0744fe5466',1,'tvm::auto_scheduler::FollowFusedSplitStepNode::WriteToRecord()'],['../classtvm_1_1auto__scheduler_1_1StepNode.html#acdc846517dcf4b67cc01a8f51dc5c5bf',1,'tvm::auto_scheduler::StepNode::WriteToRecord()'],['../classtvm_1_1auto__scheduler_1_1AnnotationStepNode.html#a73842f0fb43ab76857473d3e5af6d327',1,'tvm::auto_scheduler::AnnotationStepNode::WriteT [...]
+  ['warning_5149',['Warning',['../classtvm_1_1Diagnostic.html#a468619ccf507ab10cf47830c6eb37e03',1,'tvm::Diagnostic::Warning(const Object *loc)'],['../classtvm_1_1Diagnostic.html#a940518945b82302c8c47fb2a4bb352fd',1,'tvm::Diagnostic::Warning(ObjectRef loc)'],['../classtvm_1_1Diagnostic.html#a407ef56844eec306451c1ce2ca9f248c',1,'tvm::Diagnostic::Warning(Span span)']]],
+  ['warp_5fexecution_5150',['warp_execution',['../namespacetvm_1_1tir_1_1attr.html#a350f417c4c3ed61f4578c5e5cb72d667',1,'tvm::tir::attr']]],
+  ['warp_5fsize_5151',['warp_size',['../classtvm_1_1auto__scheduler_1_1HardwareParamsNode.html#a8d96a297de313e00c00d2d26b3e1cc95',1,'tvm::auto_scheduler::HardwareParamsNode']]],
+  ['weight_5152',['weight',['../classtvm_1_1meta__schedule_1_1ExtractedTaskNode.html#a772dcab249a2add6801c3100729c15ad',1,'tvm::meta_schedule::ExtractedTaskNode']]],
+  ['weight_5fbits_5153',['weight_bits',['../structtvm_1_1relay_1_1BinaryDenseAttrs.html#a6647c2d9d1d3108c6f552ff4271f2625',1,'tvm::relay::BinaryDenseAttrs::weight_bits()'],['../structtvm_1_1relay_1_1BinaryConv2DAttrs.html#a70aa926aba4fc774c15786358315141a',1,'tvm::relay::BinaryConv2DAttrs::weight_bits()']]],
+  ['weight_5flayout_5154',['weight_layout',['../structtvm_1_1relay_1_1DensePackAttrs.html#a7f12601cad15b4a65de4ce1bc4dd929c',1,'tvm::relay::DensePackAttrs']]],
+  ['wellformed_5155',['WellFormed',['../namespacetvm_1_1relay.html#a4e4cdd4e3db74bf68b315d5730890ca8',1,'tvm::relay']]],
+  ['where_5156',['where',['../namespacetvm_1_1topi.html#af011847b6e7f72f1bec25eee05c80590',1,'tvm::topi']]],
+  ['where_5157',['Where',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a454a28cc9ed56389b7b09b5b45a3097e',1,'tvm::script::ir_builder::tir']]],
+  ['while_5158',['While',['../classtvm_1_1tir_1_1While.html',1,'tvm::tir::While'],['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a6df09cb19f1e26c3fa0e1e0251c3c0bb',1,'tvm::script::ir_builder::tir::While()'],['../classtvm_1_1tir_1_1While.html#a5e1bf25f5caab0218e2224f19140351b',1,'tvm::tir::While::While()']]],
+  ['whiledoc_5159',['WhileDoc',['../classtvm_1_1script_1_1printer_1_1WhileDoc.html',1,'tvm::script::printer::WhileDoc'],['../classtvm_1_1script_1_1printer_1_1WhileDoc.html#ac7a684d98115b7cda3ae6f7ab4df01a0',1,'tvm::script::printer::WhileDoc::WhileDoc()']]],
+  ['whiledocnode_5160',['WhileDocNode',['../classtvm_1_1script_1_1printer_1_1WhileDocNode.html',1,'tvm::script::printer']]],
+  ['whileframe_5161',['WhileFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1WhileFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['whileframenode_5162',['WhileFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1WhileFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['whilenode_5163',['WhileNode',['../classtvm_1_1tir_1_1WhileNode.html',1,'tvm::tir']]],
+  ['wildcardpattern_5164',['WildcardPattern',['../classtvm_1_1relay_1_1WildcardPattern.html',1,'tvm::relay']]],
+  ['wildcardpatternnode_5165',['WildcardPatternNode',['../classtvm_1_1relay_1_1WildcardPatternNode.html',1,'tvm::relay']]],
+  ['win_5flength_5166',['win_length',['../structtvm_1_1relay_1_1StftAttrs.html#a371e50533789d167cef0933e0cee3838',1,'tvm::relay::StftAttrs']]],
+  ['window_5fshape_5167',['window_shape',['../structtvm_1_1relay_1_1SlidingWindowAttrs.html#a8e12fafa989faf9bf986ee40626326c2',1,'tvm::relay::SlidingWindowAttrs']]],
+  ['winograd_2eh_5168',['winograd.h',['../winograd_8h.html',1,'']]],
+  ['with_5169',['With',['../classtvm_1_1With.html',1,'tvm::With&lt; ContextType &gt;'],['../classtvm_1_1With.html#a30223d74db8edd8200bc5586b5d4ca2f',1,'tvm::With::With(With &amp;&amp;other)=delete'],['../classtvm_1_1With.html#a9704ce4379a8f1475670abd6f937f24c',1,'tvm::With::With(const With &amp;other)=delete'],['../classtvm_1_1With.html#a19fcda1557550b2a5f2e942f08bd38f2',1,'tvm::With::With(Args &amp;&amp;... args)']]],
+  ['with_2eh_5170',['with.h',['../with_8h.html',1,'']]],
+  ['with_3c_20constraintcontext_20_3e_5171',['With&lt; ConstraintContext &gt;',['../classtvm_1_1arith_1_1ConstraintContext.html#acf0da349a64c42ae689d9459ae09f0e3',1,'tvm::arith::ConstraintContext']]],
+  ['with_3c_20passcontext_20_3e_5172',['With&lt; PassContext &gt;',['../classtvm_1_1transform_1_1PassContext.html#a5f399608a6da56a5c91ea6ead8489f69',1,'tvm::transform::PassContext']]],
+  ['with_3c_20schedulecontext_20_3e_5173',['With&lt; ScheduleContext &gt;',['../classtvm_1_1te_1_1ScheduleContext.html#a10080b05885425a75e7f7281d3defb68',1,'tvm::te::ScheduleContext']]],
+  ['with_3c_20specializedcondition_20_3e_5174',['With&lt; SpecializedCondition &gt;',['../classtvm_1_1te_1_1SpecializedCondition.html#ae2aff9f2ce7debae1cb1648450f6b3fe',1,'tvm::te::SpecializedCondition']]],
+  ['with_3c_20target_20_3e_5175',['With&lt; Target &gt;',['../classtvm_1_1Target.html#aba1b161cfd80c2ac8c5ecf65a27e7c56',1,'tvm::Target']]],
+  ['with_5fbits_5176',['with_bits',['../classtvm_1_1runtime_1_1DataType.html#a5c4bad19b3ffd663af52bd0b91003af4',1,'tvm::runtime::DataType']]],
+  ['with_5flanes_5177',['with_lanes',['../classtvm_1_1runtime_1_1DataType.html#a98623590042dd19a08958f2469369552',1,'tvm::runtime::DataType']]],
+  ['withattr_5178',['WithAttr',['../namespacetvm.html#a2acb6435cb5299a480bfb81d3d9ce37c',1,'tvm']]],
+  ['withattrs_5179',['WithAttrs',['../namespacetvm.html#aa01d3303b02caca566a093aa56fee692',1,'tvm']]],
+  ['withdecision_5180',['WithDecision',['../classtvm_1_1tir_1_1TraceNode.html#a043864167d253b3a850091ce81cd98a9',1,'tvm::tir::TraceNode']]],
+  ['withfields_5181',['WithFields',['../namespacetvm_1_1relay.html#aaf3bb67945ee37070acbf4b3ef84d826',1,'tvm::relay::WithFields(Var var, Optional&lt; Id &gt; opt_vid=Optional&lt; Id &gt;(), Optional&lt; Type &gt; opt_type_annotation=Optional&lt; Type &gt;(), Optional&lt; VirtualDevice &gt; opt_virtual_device=Optional&lt; VirtualDevice &gt;(), Optional&lt; Span &gt; opt_span=Optional&lt; Span &gt;())'],['../namespacetvm_1_1relay.html#acd80501d29e4d951be6746c79934a70c',1,'tvm::relay::WithF [...]
+  ['withhost_5182',['WithHost',['../classtvm_1_1Target.html#a509ce63995f082c80742ea5ca6ac112f',1,'tvm::Target']]],
+  ['withoutattr_5183',['WithoutAttr',['../namespacetvm.html#a7e2bc626db8be997b1562c79df3d9e11',1,'tvm']]],
+  ['withouthost_5184',['WithoutHost',['../classtvm_1_1Target.html#a618e9c23c91e16ee60bb324d0c97091c',1,'tvm::Target']]],
+  ['workload_5185',['Workload',['../classtvm_1_1meta__schedule_1_1Workload.html',1,'tvm::meta_schedule']]],
+  ['workload_5186',['workload',['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html#a42c87f1ec62dae6806c3fe9629c5e7f0',1,'tvm::meta_schedule::TuningRecordNode']]],
+  ['workload_5187',['Workload',['../classtvm_1_1meta__schedule_1_1Workload.html#a8880877517679c82ae63520e28d5e1d8',1,'tvm::meta_schedule::Workload::Workload(IRModule mod, THashCode shash)'],['../classtvm_1_1meta__schedule_1_1Workload.html#a21ccf9c956b82d50a2579f1c0f592fd0',1,'tvm::meta_schedule::Workload::Workload(IRModule mod)']]],
+  ['workload_5fkey_5188',['workload_key',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#a20045d677ba2bc5c5ce461e78543b3e2',1,'tvm::auto_scheduler::SearchTaskNode']]],
+  ['workloadequal_5189',['WorkloadEqual',['../structtvm_1_1meta__schedule_1_1WorkloadEqual.html',1,'tvm::meta_schedule::WorkloadEqual'],['../structtvm_1_1meta__schedule_1_1WorkloadEqual.html#a49b0e137a01a278469ff63729afae804',1,'tvm::meta_schedule::WorkloadEqual::WorkloadEqual()']]],
+  ['workloadhash_5190',['WorkloadHash',['../structtvm_1_1meta__schedule_1_1WorkloadHash.html',1,'tvm::meta_schedule']]],
+  ['workloadnode_5191',['WorkloadNode',['../classtvm_1_1meta__schedule_1_1WorkloadNode.html',1,'tvm::meta_schedule']]],
+  ['workon_5192',['WorkOn',['../classtvm_1_1tir_1_1ScheduleNode.html#acb747d074e1f99477f7132e4614221a3',1,'tvm::tir::ScheduleNode']]],
+  ['workspace_5193',['workspace',['../structtvm__workspace__t.html#a055e864e765ebbd2d7e07dfa8396724d',1,'tvm_workspace_t']]],
+  ['workspace_5fpools_5194',['workspace_pools',['../classtvm_1_1runtime_1_1metadata_1_1MetadataNode.html#afcec45946511de05dd57fa7a4f5b78fa',1,'tvm::runtime::metadata::MetadataNode::workspace_pools()'],['../structTVMMetadata.html#a93b534e45b8235bdd991e68eaefa286a',1,'TVMMetadata::workspace_pools()']]],
+  ['workspace_5fsize_5195',['workspace_size',['../structtvm__workspace__t.html#ad9ee1136995f30faaf17fbc45e87ee6d',1,'tvm_workspace_t']]],
+  ['workspacememorypools_5196',['WorkspaceMemoryPools',['../classtvm_1_1WorkspaceMemoryPools.html',1,'tvm::WorkspaceMemoryPools'],['../classtvm_1_1WorkspaceMemoryPools.html#ab4536d1ae625b84dab15804910ae12fd',1,'tvm::WorkspaceMemoryPools::WorkspaceMemoryPools()']]],
+  ['workspacememorypoolsnode_5197',['WorkspaceMemoryPoolsNode',['../structtvm_1_1WorkspaceMemoryPoolsNode.html',1,'tvm']]],
+  ['workspacepoolinfo_5198',['WorkspacePoolInfo',['../classtvm_1_1WorkspacePoolInfo.html',1,'tvm::WorkspacePoolInfo'],['../classtvm_1_1WorkspacePoolInfo.html#a7205e8bf6791a5655f0f56448160f582',1,'tvm::WorkspacePoolInfo::WorkspacePoolInfo()']]],
+  ['workspacepoolinfonode_5199',['WorkspacePoolInfoNode',['../structtvm_1_1WorkspacePoolInfoNode.html',1,'tvm']]],
+  ['wrappedpythonobject_5200',['WrappedPythonObject',['../classtvm_1_1runtime_1_1WrappedPythonObject.html',1,'tvm::runtime::WrappedPythonObject'],['../classtvm_1_1runtime_1_1WrappedPythonObject.html#abd0af974d09c457b8e2a6988f7e21619',1,'tvm::runtime::WrappedPythonObject::WrappedPythonObject(std::nullptr_t)'],['../classtvm_1_1runtime_1_1WrappedPythonObject.html#a8823876bbd2c7d1dcf66cfdb7d4a7cb9',1,'tvm::runtime::WrappedPythonObject::WrappedPythonObject()'],['../classtvm_1_1runtime_1_1Wrap [...]
+  ['wraptimeevaluator_5201',['WrapTimeEvaluator',['../namespacetvm_1_1runtime_1_1profiling.html#afc562ef0d6a95bea1a520f02ee3e9e9f',1,'tvm::runtime::profiling']]],
+  ['write_5202',['Write',['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#aa8e2ffcb79edc988f9c173575480a8f6',1,'tvm::runtime::micro_rpc::FrameBuffer::Write()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html#a5e9dee40d4a98dca6faa7004abdb4167',1,'tvm::runtime::micro_rpc::Unframer::Write()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#a3a208a2abaf62618c453e1bfe1f5a5f4',1,'tvm::runtime::micro_rpc::Framer::Write()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteS [...]
+  ['write_5fbandwidth_5fbytes_5fper_5fcycle_5203',['write_bandwidth_bytes_per_cycle',['../structtvm_1_1PoolInfoPropertiesNode.html#a6657e03988a672588c9b4faafd1b20db',1,'tvm::PoolInfoPropertiesNode::write_bandwidth_bytes_per_cycle()'],['../structtvm_1_1PoolInfoNode.html#a234ad11ae914b5e938c52a593da20411',1,'tvm::PoolInfoNode::write_bandwidth_bytes_per_cycle()']]],
+  ['write_5flatency_5fcycles_5204',['write_latency_cycles',['../structtvm_1_1PoolInfoNode.html#a444e2db8569e8bae01871b6749b83d31',1,'tvm::PoolInfoNode::write_latency_cycles()'],['../structtvm_1_1PoolInfoPropertiesNode.html#a2c16994823dcc1b203a20250bdb2e663',1,'tvm::PoolInfoPropertiesNode::write_latency_cycles()']]],
+  ['write_5fstream_2eh_5205',['write_stream.h',['../write__stream_8h.html',1,'']]],
+  ['writeall_5206',['WriteAll',['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteStream.html#a50796cc3a1c0b1420d8cf98c2d415695',1,'tvm::runtime::micro_rpc::WriteStream']]],
+  ['writeat_5207',['WriteAt',['../classtvm_1_1tir_1_1ScheduleNode.html#ad66f22b795a1e34cb3c42e691e5864a7',1,'tvm::tir::ScheduleNode']]],
+  ['writefunc_5208',['WriteFunc',['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#a4007e15f07da54cb6d8d037f88245498',1,'tvm::runtime::micro_rpc::Framer']]],
+  ['writemeasurerecords_5209',['WriteMeasureRecords',['../namespacetvm_1_1auto__scheduler.html#a374a8aa311bb0c0e5b28509107b9064d',1,'tvm::auto_scheduler']]],
+  ['writepayloadchunk_5210',['WritePayloadChunk',['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#a4bfc1bf02d5c7b541d212c3040556717',1,'tvm::runtime::micro_rpc::Framer']]],
+  ['writeregister_5211',['WriteRegister',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a670a5e3f3d9c22bb822efface955bf17',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['writes_5212',['writes',['../classtvm_1_1tir_1_1BlockNode.html#a7025783637b84afdb3317940ebbe5825',1,'tvm::tir::BlockNode']]],
+  ['writes_5213',['Writes',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ad532abf75fe0fbf1e607c7d08cfc5823',1,'tvm::script::ir_builder::tir']]],
+  ['writes_5214',['writes',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockFrameNode.html#a7b20426af86520e62d9828ad73bf2410',1,'tvm::script::ir_builder::tir::BlockFrameNode']]],
+  ['writestream_5215',['WriteStream',['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteStream.html',1,'tvm::runtime::micro_rpc']]],
+  ['writetorecord_5216',['WriteToRecord',['../classtvm_1_1auto__scheduler_1_1FollowFusedSplitStepNode.html#add8ac9e1cfc15da8d6a14e0744fe5466',1,'tvm::auto_scheduler::FollowFusedSplitStepNode::WriteToRecord()'],['../classtvm_1_1auto__scheduler_1_1StepNode.html#acdc846517dcf4b67cc01a8f51dc5c5bf',1,'tvm::auto_scheduler::StepNode::WriteToRecord()'],['../classtvm_1_1auto__scheduler_1_1AnnotationStepNode.html#a73842f0fb43ab76857473d3e5af6d327',1,'tvm::auto_scheduler::AnnotationStepNode::WriteT [...]
 ];
diff --git a/docs/reference/api/doxygen/search/all_19.js b/docs/reference/api/doxygen/search/all_19.js
index 87e2f6b9ca..f00ae119d3 100644
--- a/docs/reference/api/doxygen/search/all_19.js
+++ b/docs/reference/api/doxygen/search/all_19.js
@@ -1,5 +1,5 @@
 var searchData=
 [
-  ['yield_5214',['Yield',['../namespacetvm_1_1runtime_1_1threading.html#ac026961af34f1d6c26d8f1abbc580439',1,'tvm::runtime::threading']]],
-  ['yoloreorgattrs_5215',['YoloReorgAttrs',['../structtvm_1_1relay_1_1YoloReorgAttrs.html',1,'tvm::relay']]]
+  ['yield_5217',['Yield',['../namespacetvm_1_1runtime_1_1threading.html#ac026961af34f1d6c26d8f1abbc580439',1,'tvm::runtime::threading']]],
+  ['yoloreorgattrs_5218',['YoloReorgAttrs',['../structtvm_1_1relay_1_1YoloReorgAttrs.html',1,'tvm::relay']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_1a.js b/docs/reference/api/doxygen/search/all_1a.js
index e720c43ab0..8ca3cc1064 100644
--- a/docs/reference/api/doxygen/search/all_1a.js
+++ b/docs/reference/api/doxygen/search/all_1a.js
@@ -1,4 +1,4 @@
 var searchData=
 [
-  ['zero_5fpoint_5216',['zero_point',['../classtvm_1_1TensorAffineTypeNode.html#a45fefe29872d61434bd7c7f01cd98536',1,'tvm::TensorAffineTypeNode']]]
+  ['zero_5fpoint_5219',['zero_point',['../classtvm_1_1TensorAffineTypeNode.html#a45fefe29872d61434bd7c7f01cd98536',1,'tvm::TensorAffineTypeNode']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_1b.js b/docs/reference/api/doxygen/search/all_1b.js
index bd9f9c95b0..91d91c006b 100644
--- a/docs/reference/api/doxygen/search/all_1b.js
+++ b/docs/reference/api/doxygen/search/all_1b.js
@@ -1,63 +1,63 @@
 var searchData=
 [
-  ['_7eallocator_5217',['~Allocator',['../classtvm_1_1runtime_1_1vm_1_1Allocator.html#ae2fc1bf80126a986a2e5e88b3474bc06',1,'tvm::runtime::vm::Allocator']]],
-  ['_7earginfonode_5218',['~ArgInfoNode',['../classtvm_1_1meta__schedule_1_1ArgInfoNode.html#afa222e9c13224606febc09458b55de8d',1,'tvm::meta_schedule::ArgInfoNode']]],
-  ['_7eattrinitentry_5219',['~AttrInitEntry',['../structtvm_1_1detail_1_1AttrInitEntry.html#a7f0c496115e88acf39d413875b44cd28',1,'tvm::detail::AttrInitEntry']]],
-  ['_7eattrtriggernondefaultentry_5220',['~AttrTriggerNonDefaultEntry',['../structtvm_1_1detail_1_1AttrTriggerNonDefaultEntry.html#ae3ca1012c8502b2d3132cc81530c6c0e',1,'tvm::detail::AttrTriggerNonDefaultEntry']]],
-  ['_7ebaseattrsnode_5221',['~BaseAttrsNode',['../classtvm_1_1BaseAttrsNode.html#a225581a40231b2de219da30fced428a2',1,'tvm::BaseAttrsNode']]],
-  ['_7ebuildernode_5222',['~BuilderNode',['../classtvm_1_1meta__schedule_1_1BuilderNode.html#a7215cd095b70a5514388f5d38b3a3cca',1,'tvm::meta_schedule::BuilderNode']]],
-  ['_7ecall_5223',['~Call',['../classtvm_1_1relay_1_1Call.html#a7f8ec713d9a52791976b410c4891a274',1,'tvm::relay::Call']]],
-  ['_7ecostmodelnode_5224',['~CostModelNode',['../classtvm_1_1auto__scheduler_1_1CostModelNode.html#a07f6d00123f61259f40df87937d3dfc6',1,'tvm::auto_scheduler::CostModelNode::~CostModelNode()'],['../classtvm_1_1meta__schedule_1_1CostModelNode.html#a9f5fea7f128a8d38c1e050b677833df1',1,'tvm::meta_schedule::CostModelNode::~CostModelNode()']]],
-  ['_7edatabasenode_5225',['~DatabaseNode',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#a48707424816f7ad0fd6f59a7111d9a09',1,'tvm::meta_schedule::DatabaseNode']]],
-  ['_7edataproducernode_5226',['~DataProducerNode',['../classtvm_1_1tir_1_1DataProducerNode.html#aeb887c35d09af934251932b0120f9dfa',1,'tvm::tir::DataProducerNode']]],
-  ['_7edensemapnode_5227',['~DenseMapNode',['../classtvm_1_1runtime_1_1DenseMapNode.html#ac3b9b13f9e074e20afe3bbd68cce35f3',1,'tvm::runtime::DenseMapNode']]],
-  ['_7edeviceapi_5228',['~DeviceAPI',['../classtvm_1_1runtime_1_1DeviceAPI.html#af3fe69d9823d7c67c86ecf247d894f27',1,'tvm::runtime::DeviceAPI']]],
-  ['_7edfpatternfunctor_5229',['~DFPatternFunctor',['../classtvm_1_1relay_1_1DFPatternFunctor_3_01R_07const_01DFPattern_01_6n_00_01Args_8_8_8_08_4.html#a21a07a3acfc6c1493b00a3e90dce1f1c',1,'tvm::relay::DFPatternFunctor&lt; R(const DFPattern &amp;n, Args...)&gt;']]],
-  ['_7edoc_5230',['~Doc',['../classtvm_1_1script_1_1printer_1_1Doc.html#a3a7365774bd87b76770627d544888ccc',1,'tvm::script::printer::Doc']]],
-  ['_7edocnode_5231',['~DocNode',['../classtvm_1_1script_1_1printer_1_1DocNode.html#a6202cee16104155937f3e64c703f6885',1,'tvm::script::printer::DocNode']]],
-  ['_7eexecutable_5232',['~Executable',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a99432cdf9ce74de84f08feec9b08ed5e',1,'tvm::runtime::vm::Executable']]],
-  ['_7eexprfunctor_5233',['~ExprFunctor',['../classtvm_1_1relay_1_1ExprFunctor_3_01R_07const_01Expr_01_6n_00_01Args_8_8_8_08_4.html#ae79b1dffcf943b65cc439b8a9f4697a2',1,'tvm::relay::ExprFunctor&lt; R(const Expr &amp;n, Args...)&gt;::~ExprFunctor()'],['../classtvm_1_1tir_1_1ExprFunctor_3_01R_07const_01PrimExpr_01_6n_00_01Args_8_8_8_08_4.html#a463951999eb3aa125880d5b6aa1f9191',1,'tvm::tir::ExprFunctor&lt; R(const PrimExpr &amp;n, Args...)&gt;::~ExprFunctor()']]],
-  ['_7eexprrewriter_5234',['~ExprRewriter',['../classtvm_1_1relay_1_1ExprRewriter.html#adb911620f3da201d0c28e8b5f6421210',1,'tvm::relay::ExprRewriter']]],
-  ['_7efeatureextractornode_5235',['~FeatureExtractorNode',['../classtvm_1_1meta__schedule_1_1FeatureExtractorNode.html#a8770cce78f5e5f6ee14bf5e3e12988f4',1,'tvm::meta_schedule::FeatureExtractorNode']]],
-  ['_7eframe_5236',['~Frame',['../classtvm_1_1script_1_1printer_1_1Frame.html#a33536abbf65eda4b50988ee9349e61ae',1,'tvm::script::printer::Frame']]],
-  ['_7eframenode_5237',['~FrameNode',['../classtvm_1_1script_1_1printer_1_1FrameNode.html#afec47fe4d24a62a89be3840164d3b27d',1,'tvm::script::printer::FrameNode']]],
-  ['_7einplacearraybase_5238',['~InplaceArrayBase',['../classtvm_1_1runtime_1_1InplaceArrayBase.html#ad1cf3446de62c7aba99235f2843f839f',1,'tvm::runtime::InplaceArrayBase']]],
-  ['_7einstruction_5239',['~Instruction',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#aff9f0ab6000156b24ef3631caf5972bd',1,'tvm::runtime::vm::Instruction']]],
-  ['_7eirbuilderframe_5240',['~IRBuilderFrame',['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderFrame.html#ae9333580d4c7e8211eae6e27080ba0bb',1,'tvm::script::ir_builder::IRBuilderFrame']]],
-  ['_7eirbuilderframenode_5241',['~IRBuilderFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderFrameNode.html#a8e61799eac4e806aef5a87d07943883d',1,'tvm::script::ir_builder::IRBuilderFrameNode']]],
-  ['_7elet_5242',['~Let',['../classtvm_1_1relay_1_1Let.html#a120792e57bc08f1da8c78aa3b7fcf0d4',1,'tvm::relay::Let']]],
-  ['_7emeasurecallbacknode_5243',['~MeasureCallbackNode',['../classtvm_1_1meta__schedule_1_1MeasureCallbackNode.html#ace03428649a595cf3e7c7b4a516366d7',1,'tvm::meta_schedule::MeasureCallbackNode']]],
-  ['_7emetriccollectornode_5244',['~MetricCollectorNode',['../classtvm_1_1runtime_1_1profiling_1_1MetricCollectorNode.html#aeb383df9354bf396426a17857a1bb84a',1,'tvm::runtime::profiling::MetricCollectorNode']]],
-  ['_7emodulenode_5245',['~ModuleNode',['../classtvm_1_1runtime_1_1ModuleNode.html#afed2444fa112cb6984f67a0bb2b29462',1,'tvm::runtime::ModuleNode']]],
-  ['_7emutatornode_5246',['~MutatorNode',['../classtvm_1_1meta__schedule_1_1MutatorNode.html#a267b4657b2116142d4635ff53fbedf8c',1,'tvm::meta_schedule::MutatorNode']]],
-  ['_7eobjectptr_5247',['~ObjectPtr',['../classtvm_1_1runtime_1_1ObjectPtr.html#ac56d7fad8cbc348ad8ef0e7e23ee90e2',1,'tvm::runtime::ObjectPtr']]],
-  ['_7eoperationnode_5248',['~OperationNode',['../classtvm_1_1te_1_1OperationNode.html#a05e68847fa6c773c6896eea216ea78e0',1,'tvm::te::OperationNode']]],
-  ['_7epassinstrumentnode_5249',['~PassInstrumentNode',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#a747b703d6d76a4d45d8c4fd9d64f2aef',1,'tvm::instrument::PassInstrumentNode']]],
-  ['_7epassnode_5250',['~PassNode',['../classtvm_1_1transform_1_1PassNode.html#ad8fd84de45a9445aacea6273ae2eb7f6',1,'tvm::transform::PassNode']]],
-  ['_7epatternfunctor_5251',['~PatternFunctor',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#a4c56c067b4d7406fc5267fca717833a8',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;']]],
-  ['_7epostprocnode_5252',['~PostprocNode',['../classtvm_1_1meta__schedule_1_1PostprocNode.html#a7d919cf16644b22ef3f5919fcd8d1dd9',1,'tvm::meta_schedule::PostprocNode']]],
-  ['_7erecordreadernode_5253',['~RecordReaderNode',['../classtvm_1_1auto__scheduler_1_1RecordReaderNode.html#ac7d0fa931985e8f60c579a00e698e2e1',1,'tvm::auto_scheduler::RecordReaderNode']]],
-  ['_7erunnernode_5254',['~RunnerNode',['../classtvm_1_1meta__schedule_1_1RunnerNode.html#ad3a2825f1071efb5e2bcb13f9176b87a',1,'tvm::meta_schedule::RunnerNode']]],
-  ['_7eschedulenode_5255',['~ScheduleNode',['../classtvm_1_1tir_1_1ScheduleNode.html#ae637f126412479ed9bec05fd55376f7f',1,'tvm::tir::ScheduleNode']]],
-  ['_7eschedulerulenode_5256',['~ScheduleRuleNode',['../classtvm_1_1meta__schedule_1_1ScheduleRuleNode.html#a7fd6347b3ebddd6d81a095cb813d3e0f',1,'tvm::meta_schedule::ScheduleRuleNode']]],
-  ['_7escopedtimer_5257',['~ScopedTimer',['../classtvm_1_1meta__schedule_1_1ScopedTimer.html#a419d438328a81a96b4579141a3cf83ca',1,'tvm::meta_schedule::ScopedTimer']]],
-  ['_7esearchstrategynode_5258',['~SearchStrategyNode',['../classtvm_1_1meta__schedule_1_1SearchStrategyNode.html#a8a800fd3e933ca85aa66425073d313f6',1,'tvm::meta_schedule::SearchStrategyNode']]],
-  ['_7esequalhandlerdefault_5259',['~SEqualHandlerDefault',['../classtvm_1_1SEqualHandlerDefault.html#ab000f7924c8e839980690f3360418cbd',1,'tvm::SEqualHandlerDefault']]],
-  ['_7eshashhandlerdefault_5260',['~SHashHandlerDefault',['../classtvm_1_1SHashHandlerDefault.html#a76f91878ee5c326f590d4b3beea55f8c',1,'tvm::SHashHandlerDefault']]],
-  ['_7esibuilder_5261',['~SIBuilder',['../classtvm_1_1SIBuilder.html#a0572bb7bee7bc57d1d67ae8df0f8b0e0',1,'tvm::SIBuilder']]],
-  ['_7esmallmapnode_5262',['~SmallMapNode',['../classtvm_1_1runtime_1_1SmallMapNode.html#a4522e8ec885e2a483cd4887bd5a0fee4',1,'tvm::runtime::SmallMapNode']]],
-  ['_7espacegeneratornode_5263',['~SpaceGeneratorNode',['../classtvm_1_1meta__schedule_1_1SpaceGeneratorNode.html#a2d3e7bbb9b5ed420be3b7b611808ea8b',1,'tvm::meta_schedule::SpaceGeneratorNode']]],
-  ['_7estmtfunctor_5264',['~StmtFunctor',['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html#a19b12318a9d3cc9cc24786f672d22263',1,'tvm::tir::StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;']]],
-  ['_7estorageobj_5265',['~StorageObj',['../classtvm_1_1runtime_1_1vm_1_1StorageObj.html#ad22645601101ba56d02a704d1926f302',1,'tvm::runtime::vm::StorageObj']]],
-  ['_7etaskschedulernode_5266',['~TaskSchedulerNode',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#a81726b318bf010389a775e24b14468a9',1,'tvm::meta_schedule::TaskSchedulerNode']]],
-  ['_7etempexprnode_5267',['~TempExprNode',['../classtvm_1_1relay_1_1TempExprNode.html#a63fb3788b46327cc15f1cf80bad126ad',1,'tvm::relay::TempExprNode']]],
-  ['_7ethreadgroup_5268',['~ThreadGroup',['../classtvm_1_1runtime_1_1threading_1_1ThreadGroup.html#aa4342f9c75798111f6354a7806c93254',1,'tvm::runtime::threading::ThreadGroup']]],
-  ['_7etimernode_5269',['~TimerNode',['../classtvm_1_1runtime_1_1TimerNode.html#ad16fba1e2e166b90af3f374cad678244',1,'tvm::runtime::TimerNode']]],
-  ['_7etvmretvalue_5270',['~TVMRetValue',['../classtvm_1_1runtime_1_1TVMRetValue.html#a0eeb2af3fa21cebfdc2bcf04b2fbb1f6',1,'tvm::runtime::TVMRetValue']]],
-  ['_7etypefunctor_5271',['~TypeFunctor',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html#a0a74cb61bb79cae0115553ed9a0ebc3e',1,'tvm::TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;']]],
-  ['_7etypereporternode_5272',['~TypeReporterNode',['../classtvm_1_1TypeReporterNode.html#a27933fa9205a2e6f46ae82b6dbe98e50',1,'tvm::TypeReporterNode']]],
-  ['_7evirtualmachine_5273',['~VirtualMachine',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a62dead74c40c1a6ac03aec56918d98c7',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['_7ewith_5274',['~With',['../classtvm_1_1With.html#adc3aab8dafb5d3afebc82733e3893a4f',1,'tvm::With']]],
-  ['_7ewrappedpythonobject_5275',['~WrappedPythonObject',['../classtvm_1_1runtime_1_1WrappedPythonObject.html#a44c1a72050706e6dc26e5321ff0d9d8f',1,'tvm::runtime::WrappedPythonObject']]],
-  ['_7ewritestream_5276',['~WriteStream',['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteStream.html#ae02cbf0726f7f8b0f6c4172c351539bc',1,'tvm::runtime::micro_rpc::WriteStream']]]
+  ['_7eallocator_5220',['~Allocator',['../classtvm_1_1runtime_1_1vm_1_1Allocator.html#ae2fc1bf80126a986a2e5e88b3474bc06',1,'tvm::runtime::vm::Allocator']]],
+  ['_7earginfonode_5221',['~ArgInfoNode',['../classtvm_1_1meta__schedule_1_1ArgInfoNode.html#afa222e9c13224606febc09458b55de8d',1,'tvm::meta_schedule::ArgInfoNode']]],
+  ['_7eattrinitentry_5222',['~AttrInitEntry',['../structtvm_1_1detail_1_1AttrInitEntry.html#a7f0c496115e88acf39d413875b44cd28',1,'tvm::detail::AttrInitEntry']]],
+  ['_7eattrtriggernondefaultentry_5223',['~AttrTriggerNonDefaultEntry',['../structtvm_1_1detail_1_1AttrTriggerNonDefaultEntry.html#ae3ca1012c8502b2d3132cc81530c6c0e',1,'tvm::detail::AttrTriggerNonDefaultEntry']]],
+  ['_7ebaseattrsnode_5224',['~BaseAttrsNode',['../classtvm_1_1BaseAttrsNode.html#a225581a40231b2de219da30fced428a2',1,'tvm::BaseAttrsNode']]],
+  ['_7ebuildernode_5225',['~BuilderNode',['../classtvm_1_1meta__schedule_1_1BuilderNode.html#a7215cd095b70a5514388f5d38b3a3cca',1,'tvm::meta_schedule::BuilderNode']]],
+  ['_7ecall_5226',['~Call',['../classtvm_1_1relay_1_1Call.html#a7f8ec713d9a52791976b410c4891a274',1,'tvm::relay::Call']]],
+  ['_7ecostmodelnode_5227',['~CostModelNode',['../classtvm_1_1auto__scheduler_1_1CostModelNode.html#a07f6d00123f61259f40df87937d3dfc6',1,'tvm::auto_scheduler::CostModelNode::~CostModelNode()'],['../classtvm_1_1meta__schedule_1_1CostModelNode.html#a9f5fea7f128a8d38c1e050b677833df1',1,'tvm::meta_schedule::CostModelNode::~CostModelNode()']]],
+  ['_7edatabasenode_5228',['~DatabaseNode',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#a48707424816f7ad0fd6f59a7111d9a09',1,'tvm::meta_schedule::DatabaseNode']]],
+  ['_7edataproducernode_5229',['~DataProducerNode',['../classtvm_1_1tir_1_1DataProducerNode.html#aeb887c35d09af934251932b0120f9dfa',1,'tvm::tir::DataProducerNode']]],
+  ['_7edensemapnode_5230',['~DenseMapNode',['../classtvm_1_1runtime_1_1DenseMapNode.html#ac3b9b13f9e074e20afe3bbd68cce35f3',1,'tvm::runtime::DenseMapNode']]],
+  ['_7edeviceapi_5231',['~DeviceAPI',['../classtvm_1_1runtime_1_1DeviceAPI.html#af3fe69d9823d7c67c86ecf247d894f27',1,'tvm::runtime::DeviceAPI']]],
+  ['_7edfpatternfunctor_5232',['~DFPatternFunctor',['../classtvm_1_1relay_1_1DFPatternFunctor_3_01R_07const_01DFPattern_01_6n_00_01Args_8_8_8_08_4.html#a21a07a3acfc6c1493b00a3e90dce1f1c',1,'tvm::relay::DFPatternFunctor&lt; R(const DFPattern &amp;n, Args...)&gt;']]],
+  ['_7edoc_5233',['~Doc',['../classtvm_1_1script_1_1printer_1_1Doc.html#a3a7365774bd87b76770627d544888ccc',1,'tvm::script::printer::Doc']]],
+  ['_7edocnode_5234',['~DocNode',['../classtvm_1_1script_1_1printer_1_1DocNode.html#a6202cee16104155937f3e64c703f6885',1,'tvm::script::printer::DocNode']]],
+  ['_7eexecutable_5235',['~Executable',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a99432cdf9ce74de84f08feec9b08ed5e',1,'tvm::runtime::vm::Executable']]],
+  ['_7eexprfunctor_5236',['~ExprFunctor',['../classtvm_1_1relay_1_1ExprFunctor_3_01R_07const_01Expr_01_6n_00_01Args_8_8_8_08_4.html#ae79b1dffcf943b65cc439b8a9f4697a2',1,'tvm::relay::ExprFunctor&lt; R(const Expr &amp;n, Args...)&gt;::~ExprFunctor()'],['../classtvm_1_1tir_1_1ExprFunctor_3_01R_07const_01PrimExpr_01_6n_00_01Args_8_8_8_08_4.html#a463951999eb3aa125880d5b6aa1f9191',1,'tvm::tir::ExprFunctor&lt; R(const PrimExpr &amp;n, Args...)&gt;::~ExprFunctor()']]],
+  ['_7eexprrewriter_5237',['~ExprRewriter',['../classtvm_1_1relay_1_1ExprRewriter.html#adb911620f3da201d0c28e8b5f6421210',1,'tvm::relay::ExprRewriter']]],
+  ['_7efeatureextractornode_5238',['~FeatureExtractorNode',['../classtvm_1_1meta__schedule_1_1FeatureExtractorNode.html#a8770cce78f5e5f6ee14bf5e3e12988f4',1,'tvm::meta_schedule::FeatureExtractorNode']]],
+  ['_7eframe_5239',['~Frame',['../classtvm_1_1script_1_1printer_1_1Frame.html#a33536abbf65eda4b50988ee9349e61ae',1,'tvm::script::printer::Frame']]],
+  ['_7eframenode_5240',['~FrameNode',['../classtvm_1_1script_1_1printer_1_1FrameNode.html#afec47fe4d24a62a89be3840164d3b27d',1,'tvm::script::printer::FrameNode']]],
+  ['_7einplacearraybase_5241',['~InplaceArrayBase',['../classtvm_1_1runtime_1_1InplaceArrayBase.html#ad1cf3446de62c7aba99235f2843f839f',1,'tvm::runtime::InplaceArrayBase']]],
+  ['_7einstruction_5242',['~Instruction',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#aff9f0ab6000156b24ef3631caf5972bd',1,'tvm::runtime::vm::Instruction']]],
+  ['_7eirbuilderframe_5243',['~IRBuilderFrame',['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderFrame.html#ae9333580d4c7e8211eae6e27080ba0bb',1,'tvm::script::ir_builder::IRBuilderFrame']]],
+  ['_7eirbuilderframenode_5244',['~IRBuilderFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderFrameNode.html#a8e61799eac4e806aef5a87d07943883d',1,'tvm::script::ir_builder::IRBuilderFrameNode']]],
+  ['_7elet_5245',['~Let',['../classtvm_1_1relay_1_1Let.html#a120792e57bc08f1da8c78aa3b7fcf0d4',1,'tvm::relay::Let']]],
+  ['_7emeasurecallbacknode_5246',['~MeasureCallbackNode',['../classtvm_1_1meta__schedule_1_1MeasureCallbackNode.html#ace03428649a595cf3e7c7b4a516366d7',1,'tvm::meta_schedule::MeasureCallbackNode']]],
+  ['_7emetriccollectornode_5247',['~MetricCollectorNode',['../classtvm_1_1runtime_1_1profiling_1_1MetricCollectorNode.html#aeb383df9354bf396426a17857a1bb84a',1,'tvm::runtime::profiling::MetricCollectorNode']]],
+  ['_7emodulenode_5248',['~ModuleNode',['../classtvm_1_1runtime_1_1ModuleNode.html#afed2444fa112cb6984f67a0bb2b29462',1,'tvm::runtime::ModuleNode']]],
+  ['_7emutatornode_5249',['~MutatorNode',['../classtvm_1_1meta__schedule_1_1MutatorNode.html#a267b4657b2116142d4635ff53fbedf8c',1,'tvm::meta_schedule::MutatorNode']]],
+  ['_7eobjectptr_5250',['~ObjectPtr',['../classtvm_1_1runtime_1_1ObjectPtr.html#ac56d7fad8cbc348ad8ef0e7e23ee90e2',1,'tvm::runtime::ObjectPtr']]],
+  ['_7eoperationnode_5251',['~OperationNode',['../classtvm_1_1te_1_1OperationNode.html#a05e68847fa6c773c6896eea216ea78e0',1,'tvm::te::OperationNode']]],
+  ['_7epassinstrumentnode_5252',['~PassInstrumentNode',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#a747b703d6d76a4d45d8c4fd9d64f2aef',1,'tvm::instrument::PassInstrumentNode']]],
+  ['_7epassnode_5253',['~PassNode',['../classtvm_1_1transform_1_1PassNode.html#ad8fd84de45a9445aacea6273ae2eb7f6',1,'tvm::transform::PassNode']]],
+  ['_7epatternfunctor_5254',['~PatternFunctor',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#a4c56c067b4d7406fc5267fca717833a8',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;']]],
+  ['_7epostprocnode_5255',['~PostprocNode',['../classtvm_1_1meta__schedule_1_1PostprocNode.html#a7d919cf16644b22ef3f5919fcd8d1dd9',1,'tvm::meta_schedule::PostprocNode']]],
+  ['_7erecordreadernode_5256',['~RecordReaderNode',['../classtvm_1_1auto__scheduler_1_1RecordReaderNode.html#ac7d0fa931985e8f60c579a00e698e2e1',1,'tvm::auto_scheduler::RecordReaderNode']]],
+  ['_7erunnernode_5257',['~RunnerNode',['../classtvm_1_1meta__schedule_1_1RunnerNode.html#ad3a2825f1071efb5e2bcb13f9176b87a',1,'tvm::meta_schedule::RunnerNode']]],
+  ['_7eschedulenode_5258',['~ScheduleNode',['../classtvm_1_1tir_1_1ScheduleNode.html#ae637f126412479ed9bec05fd55376f7f',1,'tvm::tir::ScheduleNode']]],
+  ['_7eschedulerulenode_5259',['~ScheduleRuleNode',['../classtvm_1_1meta__schedule_1_1ScheduleRuleNode.html#a7fd6347b3ebddd6d81a095cb813d3e0f',1,'tvm::meta_schedule::ScheduleRuleNode']]],
+  ['_7escopedtimer_5260',['~ScopedTimer',['../classtvm_1_1meta__schedule_1_1ScopedTimer.html#a419d438328a81a96b4579141a3cf83ca',1,'tvm::meta_schedule::ScopedTimer']]],
+  ['_7esearchstrategynode_5261',['~SearchStrategyNode',['../classtvm_1_1meta__schedule_1_1SearchStrategyNode.html#a8a800fd3e933ca85aa66425073d313f6',1,'tvm::meta_schedule::SearchStrategyNode']]],
+  ['_7esequalhandlerdefault_5262',['~SEqualHandlerDefault',['../classtvm_1_1SEqualHandlerDefault.html#ab000f7924c8e839980690f3360418cbd',1,'tvm::SEqualHandlerDefault']]],
+  ['_7eshashhandlerdefault_5263',['~SHashHandlerDefault',['../classtvm_1_1SHashHandlerDefault.html#a76f91878ee5c326f590d4b3beea55f8c',1,'tvm::SHashHandlerDefault']]],
+  ['_7esibuilder_5264',['~SIBuilder',['../classtvm_1_1SIBuilder.html#a0572bb7bee7bc57d1d67ae8df0f8b0e0',1,'tvm::SIBuilder']]],
+  ['_7esmallmapnode_5265',['~SmallMapNode',['../classtvm_1_1runtime_1_1SmallMapNode.html#a4522e8ec885e2a483cd4887bd5a0fee4',1,'tvm::runtime::SmallMapNode']]],
+  ['_7espacegeneratornode_5266',['~SpaceGeneratorNode',['../classtvm_1_1meta__schedule_1_1SpaceGeneratorNode.html#a2d3e7bbb9b5ed420be3b7b611808ea8b',1,'tvm::meta_schedule::SpaceGeneratorNode']]],
+  ['_7estmtfunctor_5267',['~StmtFunctor',['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html#a19b12318a9d3cc9cc24786f672d22263',1,'tvm::tir::StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;']]],
+  ['_7estorageobj_5268',['~StorageObj',['../classtvm_1_1runtime_1_1vm_1_1StorageObj.html#ad22645601101ba56d02a704d1926f302',1,'tvm::runtime::vm::StorageObj']]],
+  ['_7etaskschedulernode_5269',['~TaskSchedulerNode',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#a81726b318bf010389a775e24b14468a9',1,'tvm::meta_schedule::TaskSchedulerNode']]],
+  ['_7etempexprnode_5270',['~TempExprNode',['../classtvm_1_1relay_1_1TempExprNode.html#a63fb3788b46327cc15f1cf80bad126ad',1,'tvm::relay::TempExprNode']]],
+  ['_7ethreadgroup_5271',['~ThreadGroup',['../classtvm_1_1runtime_1_1threading_1_1ThreadGroup.html#aa4342f9c75798111f6354a7806c93254',1,'tvm::runtime::threading::ThreadGroup']]],
+  ['_7etimernode_5272',['~TimerNode',['../classtvm_1_1runtime_1_1TimerNode.html#ad16fba1e2e166b90af3f374cad678244',1,'tvm::runtime::TimerNode']]],
+  ['_7etvmretvalue_5273',['~TVMRetValue',['../classtvm_1_1runtime_1_1TVMRetValue.html#a0eeb2af3fa21cebfdc2bcf04b2fbb1f6',1,'tvm::runtime::TVMRetValue']]],
+  ['_7etypefunctor_5274',['~TypeFunctor',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html#a0a74cb61bb79cae0115553ed9a0ebc3e',1,'tvm::TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;']]],
+  ['_7etypereporternode_5275',['~TypeReporterNode',['../classtvm_1_1TypeReporterNode.html#a27933fa9205a2e6f46ae82b6dbe98e50',1,'tvm::TypeReporterNode']]],
+  ['_7evirtualmachine_5276',['~VirtualMachine',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a62dead74c40c1a6ac03aec56918d98c7',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['_7ewith_5277',['~With',['../classtvm_1_1With.html#adc3aab8dafb5d3afebc82733e3893a4f',1,'tvm::With']]],
+  ['_7ewrappedpythonobject_5278',['~WrappedPythonObject',['../classtvm_1_1runtime_1_1WrappedPythonObject.html#a44c1a72050706e6dc26e5321ff0d9d8f',1,'tvm::runtime::WrappedPythonObject']]],
+  ['_7ewritestream_5279',['~WriteStream',['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteStream.html#ae02cbf0726f7f8b0f6c4172c351539bc',1,'tvm::runtime::micro_rpc::WriteStream']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_2.js b/docs/reference/api/doxygen/search/all_2.js
index 0f544d6698..6879178c5a 100644
--- a/docs/reference/api/doxygen/search/all_2.js
+++ b/docs/reference/api/doxygen/search/all_2.js
@@ -121,9 +121,9 @@ var searchData=
   ['annotations_134',['annotations',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockFrameNode.html#aa70780de95c66113723fd753412ad34b',1,'tvm::script::ir_builder::tir::BlockFrameNode::annotations()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateFrameNode.html#a272e14ad0ee3f8c0d75744faaee3f476',1,'tvm::script::ir_builder::tir::AllocateFrameNode::annotations()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateConstFrameNode.html#a4d617420058fe33bc87a4cf74e773d88', [...]
   ['annotationstep_135',['AnnotationStep',['../classtvm_1_1auto__scheduler_1_1AnnotationStep.html#af7ca625164b2721bb6fdd9db78f5a9c7',1,'tvm::auto_scheduler::AnnotationStep::AnnotationStep(int stage_id, int iter_id, IteratorAnnotation ann)'],['../classtvm_1_1auto__scheduler_1_1AnnotationStep.html#a1a6366d63eb64424a1995dc7b8f40a3b',1,'tvm::auto_scheduler::AnnotationStep::AnnotationStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1AnnotationStep.html',1,'tvm::auto_schedul [...]
   ['annotationstepnode_136',['AnnotationStepNode',['../classtvm_1_1auto__scheduler_1_1AnnotationStepNode.html',1,'tvm::auto_scheduler']]],
-  ['any_137',['Any',['../classtvm_1_1tir_1_1Any.html#afdb8854b3952dbfa4b02f151ead3bdfd',1,'tvm::tir::Any::Any()'],['../namespacetvm_1_1relay.html#abe473e7f103d7aa63b7b09fee09df932',1,'tvm::relay::Any()']]],
+  ['any_137',['Any',['../classtvm_1_1tir_1_1Any.html#afdb8854b3952dbfa4b02f151ead3bdfd',1,'tvm::tir::Any']]],
   ['any_138',['any',['../namespacetvm_1_1topi.html#afb48d90f345698b1b3417bafa1911504',1,'tvm::topi::any()'],['../namespacetvm.html#a5efd9942cdee5a56cfc438ba523c04f0',1,'tvm::any()']]],
-  ['any_139',['Any',['../classtvm_1_1tir_1_1Any.html',1,'tvm::tir']]],
+  ['any_139',['Any',['../namespacetvm_1_1relay.html#abe473e7f103d7aa63b7b09fee09df932',1,'tvm::relay::Any()'],['../classtvm_1_1tir_1_1Any.html',1,'tvm::tir::Any']]],
   ['anycodegenstrategy_140',['AnyCodegenStrategy',['../namespacetvm_1_1relay.html#adab76fedc831b249d1c80d69c4a620a3',1,'tvm::relay']]],
   ['anyerrors_141',['AnyErrors',['../classtvm_1_1relay_1_1ErrorReporter.html#a90e1eea8f10d4e164d3a9fb76cefa6ac',1,'tvm::relay::ErrorReporter']]],
   ['anynode_142',['AnyNode',['../namespacetvm_1_1relay.html#a63c360628faf2eeb9de326634bc6e80e',1,'tvm::relay::AnyNode()'],['../classtvm_1_1tir_1_1AnyNode.html',1,'tvm::tir::AnyNode']]],
diff --git a/docs/reference/api/doxygen/search/all_3.js b/docs/reference/api/doxygen/search/all_3.js
index 66202078dd..491952f2ff 100644
--- a/docs/reference/api/doxygen/search/all_3.js
+++ b/docs/reference/api/doxygen/search/all_3.js
@@ -147,28 +147,27 @@ var searchData=
   ['buffertype_648',['BufferType',['../namespacetvm_1_1tir.html#a9ac05a14db42ca73da1d3945e7ce2fd1',1,'tvm::tir']]],
   ['bufferwithoffsetalignment_649',['BufferWithOffsetAlignment',['../namespacetvm_1_1tir.html#ac3d21b3e013c52e289180cd6d7d0a420',1,'tvm::tir']]],
   ['bug_650',['Bug',['../classtvm_1_1Diagnostic.html#a46a4cf733a5d6e1340a9786710d70cb5',1,'tvm::Diagnostic::Bug(Span span)'],['../classtvm_1_1Diagnostic.html#a3addf4d0edf1d7c16041e271ba5bd7ea',1,'tvm::Diagnostic::Bug(ObjectRef loc)'],['../classtvm_1_1Diagnostic.html#a5e37fefc0b2e3370a72768d0f9a1f7e6',1,'tvm::Diagnostic::Bug(const Object *loc)']]],
-  ['build_651',['Build',['../namespacetvm_1_1codegen.html#a0d6322c2dda54a66a3b82022f5f3632c',1,'tvm::codegen']]],
-  ['build_652',['build',['../namespacetvm.html#a67dce8ba3396d28be88593081fc291fb',1,'tvm::build(const Map&lt; String, IRModule &gt; &amp;input, const Target &amp;target_host)'],['../namespacetvm.html#a018d7138c17ef78300ee256f6d348d00',1,'tvm::build(const Map&lt; Target, IRModule &gt; &amp;input, const Target &amp;target_host)'],['../namespacetvm.html#ab5392acd55c76e34323a71a4052f7bb2',1,'tvm::build(const IRModule &amp;funcs, const Target &amp;target, const Target &amp;target_host)']]],
-  ['build_653',['Build',['../classtvm_1_1auto__scheduler_1_1ProgramBuilderNode.html#ad056213ccf2bd073a5c71dd9f3696bd1',1,'tvm::auto_scheduler::ProgramBuilderNode::Build()'],['../classtvm_1_1meta__schedule_1_1PyBuilderNode.html#a3ab73185c842b59a841756f04ecc51ed',1,'tvm::meta_schedule::PyBuilderNode::Build()'],['../classtvm_1_1meta__schedule_1_1BuilderNode.html#a1f6fc77928e8ad270a12d6f4128c2815',1,'tvm::meta_schedule::BuilderNode::Build()'],['../classtvm_1_1SIBuilder.html#a14d5a8368c9a7ded [...]
-  ['build_5ferror_5fcount_654',['build_error_count',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html#ad064f9367222a4a15d596fa4e95370a9',1,'tvm::meta_schedule::TaskRecordNode']]],
-  ['build_5ffunc_655',['build_func',['../classtvm_1_1auto__scheduler_1_1LocalBuilderNode.html#ad5098d60dab7232681b56093922244ff',1,'tvm::auto_scheduler::LocalBuilderNode']]],
-  ['builder_656',['builder',['../classtvm_1_1auto__scheduler_1_1TuningOptionsNode.html#a55c7823a36ec7ee5670ef8ad8c21a5be',1,'tvm::auto_scheduler::TuningOptionsNode::builder()'],['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a1dd00b7750f4a624f04ff23d985acbe3',1,'tvm::auto_scheduler::ProgramMeasurerNode::builder()']]],
-  ['builder_657',['Builder',['../classtvm_1_1meta__schedule_1_1Builder.html',1,'tvm::meta_schedule']]],
-  ['builder_2eh_658',['builder.h',['../builder_8h.html',1,'']]],
-  ['builder_5fresults_659',['builder_results',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html#a758c9a3cceb114b9711f64b5b155a3dd',1,'tvm::meta_schedule::TaskRecordNode']]],
-  ['builderinput_660',['BuilderInput',['../classtvm_1_1meta__schedule_1_1BuilderInput.html#afa41afb5ecee782257ff18cbbae3116e',1,'tvm::meta_schedule::BuilderInput::BuilderInput()'],['../classtvm_1_1meta__schedule_1_1BuilderInput.html',1,'tvm::meta_schedule::BuilderInput']]],
-  ['builderinputnode_661',['BuilderInputNode',['../classtvm_1_1meta__schedule_1_1BuilderInputNode.html',1,'tvm::meta_schedule']]],
-  ['buildernode_662',['BuilderNode',['../classtvm_1_1meta__schedule_1_1BuilderNode.html',1,'tvm::meta_schedule']]],
-  ['builderresult_663',['BuilderResult',['../classtvm_1_1meta__schedule_1_1BuilderResult.html#a5f444a71e00fbc886787be7c0f9c8670',1,'tvm::meta_schedule::BuilderResult::BuilderResult()'],['../classtvm_1_1meta__schedule_1_1BuilderResult.html',1,'tvm::meta_schedule::BuilderResult']]],
-  ['builderresultnode_664',['BuilderResultNode',['../classtvm_1_1meta__schedule_1_1BuilderResultNode.html',1,'tvm::meta_schedule']]],
-  ['buildprovide_665',['BuildProvide',['../classtvm_1_1te_1_1OperationNode.html#a0a4a33f450e0e0890f59af9464c9015f',1,'tvm::te::OperationNode::BuildProvide()'],['../classtvm_1_1te_1_1PlaceholderOpNode.html#a3571782a91faade829f9b11a5893cba1',1,'tvm::te::PlaceholderOpNode::BuildProvide()'],['../classtvm_1_1te_1_1ComputeOpNode.html#aac1774ef277c75bfdd8f6fdfe2aab4ab',1,'tvm::te::ComputeOpNode::BuildProvide()'],['../classtvm_1_1te_1_1TensorComputeOpNode.html#a003be01f4aded57625d0c347b0f6ac73', [...]
-  ['buildrealize_666',['BuildRealize',['../classtvm_1_1te_1_1OperationNode.html#aad344d31275093c9d4b3580407c60f4d',1,'tvm::te::OperationNode::BuildRealize()'],['../classtvm_1_1te_1_1HybridOpNode.html#a81158c729589b12d58514ab27a5743e8',1,'tvm::te::HybridOpNode::BuildRealize()'],['../classtvm_1_1te_1_1ExternOpNode.html#a6cefb74e1311de8fea5dfd2f914cf183',1,'tvm::te::ExternOpNode::BuildRealize()'],['../classtvm_1_1te_1_1ScanOpNode.html#a061d24ae654f06d3c399e95e5b61f19b',1,'tvm::te::ScanOpNod [...]
-  ['buildresult_667',['BuildResult',['../classtvm_1_1auto__scheduler_1_1BuildResult.html#a1eb385bc673fc83809a97bf68f3fab59',1,'tvm::auto_scheduler::BuildResult::BuildResult()'],['../classtvm_1_1auto__scheduler_1_1BuildResult.html',1,'tvm::auto_scheduler::BuildResult']]],
-  ['buildresultnode_668',['BuildResultNode',['../classtvm_1_1auto__scheduler_1_1BuildResultNode.html',1,'tvm::auto_scheduler']]],
-  ['builtin_2eh_669',['builtin.h',['../builtin_8h.html',1,'']]],
-  ['builtin_5ffp16_2eh_670',['builtin_fp16.h',['../builtin__fp16_8h.html',1,'']]],
-  ['byte_5foffset_671',['byte_offset',['../structtvm_1_1ConstantInfoNode.html#aee05018f7cb5b755afd13839a68d5ad4',1,'tvm::ConstantInfoNode::byte_offset()'],['../structTVMConstantInfo.html#a57b19b1213066a009cb6d5227de17af7',1,'TVMConstantInfo::byte_offset()'],['../structtvm_1_1tir_1_1usmp_1_1PoolAllocationNode.html#a50746d43c1d14584dc8cc1f2b4c31bd7',1,'tvm::tir::usmp::PoolAllocationNode::byte_offset()'],['../classtvm_1_1runtime_1_1metadata_1_1ConstantInfoMetadataNode.html#a9210f8966fd9cf6c [...]
-  ['bytecode_2eh_672',['bytecode.h',['../bytecode_8h.html',1,'']]],
-  ['bytes_673',['bytes',['../classtvm_1_1runtime_1_1DataType.html#a3c195fd818d2eb645f9aa0ab826ba09c',1,'tvm::runtime::DataType']]],
-  ['bytesneeded_674',['BytesNeeded',['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html#ae802a84056f8968d2fcd3b803a01c75f',1,'tvm::runtime::micro_rpc::Unframer']]]
+  ['build_651',['build',['../namespacetvm.html#a67dce8ba3396d28be88593081fc291fb',1,'tvm::build(const Map&lt; String, IRModule &gt; &amp;input, const Target &amp;target_host)'],['../namespacetvm.html#a018d7138c17ef78300ee256f6d348d00',1,'tvm::build(const Map&lt; Target, IRModule &gt; &amp;input, const Target &amp;target_host)'],['../namespacetvm.html#ab5392acd55c76e34323a71a4052f7bb2',1,'tvm::build(const IRModule &amp;funcs, const Target &amp;target, const Target &amp;target_host)']]],
+  ['build_652',['Build',['../namespacetvm_1_1codegen.html#a0d6322c2dda54a66a3b82022f5f3632c',1,'tvm::codegen::Build()'],['../classtvm_1_1auto__scheduler_1_1ProgramBuilderNode.html#ad056213ccf2bd073a5c71dd9f3696bd1',1,'tvm::auto_scheduler::ProgramBuilderNode::Build()'],['../classtvm_1_1meta__schedule_1_1PyBuilderNode.html#a3ab73185c842b59a841756f04ecc51ed',1,'tvm::meta_schedule::PyBuilderNode::Build()'],['../classtvm_1_1meta__schedule_1_1BuilderNode.html#a1f6fc77928e8ad270a12d6f4128c2815' [...]
+  ['build_5ferror_5fcount_653',['build_error_count',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html#ad064f9367222a4a15d596fa4e95370a9',1,'tvm::meta_schedule::TaskRecordNode']]],
+  ['build_5ffunc_654',['build_func',['../classtvm_1_1auto__scheduler_1_1LocalBuilderNode.html#ad5098d60dab7232681b56093922244ff',1,'tvm::auto_scheduler::LocalBuilderNode']]],
+  ['builder_655',['builder',['../classtvm_1_1auto__scheduler_1_1TuningOptionsNode.html#a55c7823a36ec7ee5670ef8ad8c21a5be',1,'tvm::auto_scheduler::TuningOptionsNode::builder()'],['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a1dd00b7750f4a624f04ff23d985acbe3',1,'tvm::auto_scheduler::ProgramMeasurerNode::builder()']]],
+  ['builder_656',['Builder',['../classtvm_1_1meta__schedule_1_1Builder.html',1,'tvm::meta_schedule']]],
+  ['builder_2eh_657',['builder.h',['../builder_8h.html',1,'']]],
+  ['builder_5fresults_658',['builder_results',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html#a758c9a3cceb114b9711f64b5b155a3dd',1,'tvm::meta_schedule::TaskRecordNode']]],
+  ['builderinput_659',['BuilderInput',['../classtvm_1_1meta__schedule_1_1BuilderInput.html#afa41afb5ecee782257ff18cbbae3116e',1,'tvm::meta_schedule::BuilderInput::BuilderInput()'],['../classtvm_1_1meta__schedule_1_1BuilderInput.html',1,'tvm::meta_schedule::BuilderInput']]],
+  ['builderinputnode_660',['BuilderInputNode',['../classtvm_1_1meta__schedule_1_1BuilderInputNode.html',1,'tvm::meta_schedule']]],
+  ['buildernode_661',['BuilderNode',['../classtvm_1_1meta__schedule_1_1BuilderNode.html',1,'tvm::meta_schedule']]],
+  ['builderresult_662',['BuilderResult',['../classtvm_1_1meta__schedule_1_1BuilderResult.html#a5f444a71e00fbc886787be7c0f9c8670',1,'tvm::meta_schedule::BuilderResult::BuilderResult()'],['../classtvm_1_1meta__schedule_1_1BuilderResult.html',1,'tvm::meta_schedule::BuilderResult']]],
+  ['builderresultnode_663',['BuilderResultNode',['../classtvm_1_1meta__schedule_1_1BuilderResultNode.html',1,'tvm::meta_schedule']]],
+  ['buildprovide_664',['BuildProvide',['../classtvm_1_1te_1_1OperationNode.html#a0a4a33f450e0e0890f59af9464c9015f',1,'tvm::te::OperationNode::BuildProvide()'],['../classtvm_1_1te_1_1PlaceholderOpNode.html#a3571782a91faade829f9b11a5893cba1',1,'tvm::te::PlaceholderOpNode::BuildProvide()'],['../classtvm_1_1te_1_1ComputeOpNode.html#aac1774ef277c75bfdd8f6fdfe2aab4ab',1,'tvm::te::ComputeOpNode::BuildProvide()'],['../classtvm_1_1te_1_1TensorComputeOpNode.html#a003be01f4aded57625d0c347b0f6ac73', [...]
+  ['buildrealize_665',['BuildRealize',['../classtvm_1_1te_1_1OperationNode.html#aad344d31275093c9d4b3580407c60f4d',1,'tvm::te::OperationNode::BuildRealize()'],['../classtvm_1_1te_1_1HybridOpNode.html#a81158c729589b12d58514ab27a5743e8',1,'tvm::te::HybridOpNode::BuildRealize()'],['../classtvm_1_1te_1_1ExternOpNode.html#a6cefb74e1311de8fea5dfd2f914cf183',1,'tvm::te::ExternOpNode::BuildRealize()'],['../classtvm_1_1te_1_1ScanOpNode.html#a061d24ae654f06d3c399e95e5b61f19b',1,'tvm::te::ScanOpNod [...]
+  ['buildresult_666',['BuildResult',['../classtvm_1_1auto__scheduler_1_1BuildResult.html#a1eb385bc673fc83809a97bf68f3fab59',1,'tvm::auto_scheduler::BuildResult::BuildResult()'],['../classtvm_1_1auto__scheduler_1_1BuildResult.html',1,'tvm::auto_scheduler::BuildResult']]],
+  ['buildresultnode_667',['BuildResultNode',['../classtvm_1_1auto__scheduler_1_1BuildResultNode.html',1,'tvm::auto_scheduler']]],
+  ['builtin_2eh_668',['builtin.h',['../builtin_8h.html',1,'']]],
+  ['builtin_5ffp16_2eh_669',['builtin_fp16.h',['../builtin__fp16_8h.html',1,'']]],
+  ['byte_5foffset_670',['byte_offset',['../structtvm_1_1ConstantInfoNode.html#aee05018f7cb5b755afd13839a68d5ad4',1,'tvm::ConstantInfoNode::byte_offset()'],['../structTVMConstantInfo.html#a57b19b1213066a009cb6d5227de17af7',1,'TVMConstantInfo::byte_offset()'],['../structtvm_1_1tir_1_1usmp_1_1PoolAllocationNode.html#a50746d43c1d14584dc8cc1f2b4c31bd7',1,'tvm::tir::usmp::PoolAllocationNode::byte_offset()'],['../classtvm_1_1runtime_1_1metadata_1_1ConstantInfoMetadataNode.html#a9210f8966fd9cf6c [...]
+  ['bytecode_2eh_671',['bytecode.h',['../bytecode_8h.html',1,'']]],
+  ['bytes_672',['bytes',['../classtvm_1_1runtime_1_1DataType.html#a3c195fd818d2eb645f9aa0ab826ba09c',1,'tvm::runtime::DataType']]],
+  ['bytesneeded_673',['BytesNeeded',['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html#ae802a84056f8968d2fcd3b803a01c75f',1,'tvm::runtime::micro_rpc::Unframer']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_4.js b/docs/reference/api/doxygen/search/all_4.js
index 9e218ee653..ae1c19ac78 100644
--- a/docs/reference/api/doxygen/search/all_4.js
+++ b/docs/reference/api/doxygen/search/all_4.js
@@ -1,272 +1,273 @@
 var searchData=
 [
-  ['c_5fbackend_5fapi_2eh_675',['c_backend_api.h',['../c__backend__api_8h.html',1,'']]],
-  ['c_5fruntime_5fapi_2eh_676',['c_runtime_api.h',['../c__runtime__api_8h.html',1,'']]],
-  ['c_5fstr_677',['c_str',['../classtvm_1_1runtime_1_1String.html#ac785c91cb744c18f3ef21fab098d02b2',1,'tvm::runtime::String']]],
-  ['cache_5fline_5fbytes_678',['cache_line_bytes',['../classtvm_1_1auto__scheduler_1_1HardwareParamsNode.html#a3ed41e95549c177c0e3cbafefc0f3959',1,'tvm::auto_scheduler::HardwareParamsNode']]],
-  ['cache_5fread_679',['cache_read',['../classtvm_1_1auto__scheduler_1_1State.html#a4ff71b692f0eabfabf515ed91b59a116',1,'tvm::auto_scheduler::State::cache_read()'],['../classtvm_1_1te_1_1Schedule.html#a38ef95a62faf0c15f132847efa20249b',1,'tvm::te::Schedule::cache_read()']]],
-  ['cache_5fwrite_680',['cache_write',['../classtvm_1_1auto__scheduler_1_1State.html#a385adc36d7cb242e8204fe14c4df8335',1,'tvm::auto_scheduler::State::cache_write()'],['../classtvm_1_1te_1_1Schedule.html#ada9825f59ef130a0ab0b3a01ea348d71',1,'tvm::te::Schedule::cache_write(const Array&lt; Tensor &gt; &amp;tensor, const std::string &amp;scope)'],['../classtvm_1_1te_1_1Schedule.html#a15582f96d0aaf9a2bd9f2afcad3935d4',1,'tvm::te::Schedule::cache_write(const Tensor &amp;tensor, const std::str [...]
-  ['cacheindex_681',['CacheIndex',['../classtvm_1_1tir_1_1ScheduleNode.html#a22353e0eac6afe97fb0f0b2da9ab4da1',1,'tvm::tir::ScheduleNode']]],
-  ['cacheinplace_682',['CacheInplace',['../classtvm_1_1tir_1_1ScheduleNode.html#abefe28d441bad8fc4b276e1bb35f9818',1,'tvm::tir::ScheduleNode']]],
-  ['cacheread_683',['CacheRead',['../classtvm_1_1tir_1_1ScheduleNode.html#a55bf333c162865fa4d18eb20ecf9a9a7',1,'tvm::tir::ScheduleNode']]],
-  ['cachereadstep_684',['CacheReadStep',['../classtvm_1_1auto__scheduler_1_1CacheReadStep.html#a5e0dd0b6d5f746e96e4fec058edc98dc',1,'tvm::auto_scheduler::CacheReadStep::CacheReadStep(int stage_id, String scope_name, const Array&lt; Integer &gt; &amp;reader_stage_ids)'],['../classtvm_1_1auto__scheduler_1_1CacheReadStep.html#a6bc3dd6558c83d1d74cc7163cccbea7f',1,'tvm::auto_scheduler::CacheReadStep::CacheReadStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1CacheReadStep.h [...]
-  ['cachereadstepnode_685',['CacheReadStepNode',['../classtvm_1_1auto__scheduler_1_1CacheReadStepNode.html',1,'tvm::auto_scheduler']]],
-  ['cachewrite_686',['CacheWrite',['../classtvm_1_1tir_1_1ScheduleNode.html#a9f43b2ddd6e3b3bab64671345ecba72d',1,'tvm::tir::ScheduleNode']]],
-  ['cachewritestep_687',['CacheWriteStep',['../classtvm_1_1auto__scheduler_1_1CacheWriteStep.html#a371ff5005c7312db88088f35f11dabcb',1,'tvm::auto_scheduler::CacheWriteStep::CacheWriteStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1CacheWriteStep.html#ad2c24762f35f7f9ebe85d7c03cba1c8e',1,'tvm::auto_scheduler::CacheWriteStep::CacheWriteStep(int stage_id, String scope_name)'],['../classtvm_1_1auto__scheduler_1_1CacheWriteStep.html',1,'tvm::auto_scheduler::CacheWriteStep']]],
-  ['cachewritestepnode_688',['CacheWriteStepNode',['../classtvm_1_1auto__scheduler_1_1CacheWriteStepNode.html',1,'tvm::auto_scheduler']]],
-  ['calccapacityimpl_689',['CalcCapacityImpl',['../classtvm_1_1runtime_1_1Array.html#a9c7976c159330719285dbc5f0de07bd9',1,'tvm::runtime::Array::CalcCapacityImpl(T value, Args... args)'],['../classtvm_1_1runtime_1_1Array.html#af82bc60b2c258ad05586bea49de7dd42',1,'tvm::runtime::Array::CalcCapacityImpl(Array&lt; T &gt; value, Args... args)'],['../classtvm_1_1runtime_1_1Array.html#ad8746b19be1b83fe867869a597e6d479',1,'tvm::runtime::Array::CalcCapacityImpl()']]],
-  ['calculateallocatedbytes_690',['CalculateAllocatedBytes',['../namespacetvm_1_1tir.html#a2f0f177b771c1c6c8a4097f540ed903c',1,'tvm::tir::CalculateAllocatedBytes(const PrimFunc &amp;func)'],['../namespacetvm_1_1tir.html#a85941ea6fbd2fa8f4ab58ddd9b0c27f8',1,'tvm::tir::CalculateAllocatedBytes(const IRModule &amp;mod)']]],
-  ['calculateconstantbytes_691',['CalculateConstantBytes',['../namespacetvm_1_1tir.html#a7314714e14035c9b1096737920c689ee',1,'tvm::tir']]],
-  ['calculateexprcomplexity_692',['CalculateExprComplexity',['../namespacetvm_1_1tir.html#accfaeb3b1ce8601eab8d9b575d66f025',1,'tvm::tir']]],
-  ['calculateextentssize_693',['CalculateExtentsSize',['../namespacetvm_1_1tir_1_1usmp.html#a1529c901d8116a3ff0331a38b8e0e076',1,'tvm::tir::usmp::CalculateExtentsSize(const AllocateConstNode *op)'],['../namespacetvm_1_1tir_1_1usmp.html#ad2424e3662cdcad9a18b496ba42ca10d',1,'tvm::tir::usmp::CalculateExtentsSize(const AllocateNode *op)']]],
-  ['calculatemoduleworkspacesize_694',['CalculateModuleWorkspaceSize',['../namespacetvm_1_1tir_1_1usmp.html#a40a26630428319adf281826355d3e56f',1,'tvm::tir::usmp']]],
-  ['calculateworkspacebytes_695',['CalculateWorkspaceBytes',['../namespacetvm_1_1tir.html#a24f9f5bc52105a2a38a7a97390c55f18',1,'tvm::tir']]],
-  ['call_696',['Call',['../classtvm_1_1tir_1_1Call.html#ad161ddeb7faba88cdaa13d25eb4d6cec',1,'tvm::tir::Call::Call()'],['../structTVMPackedFunc.html#a8d30f9231108fec5e01b06f1c49d0681',1,'TVMPackedFunc::Call()'],['../classtvm_1_1script_1_1printer_1_1ExprDocNode.html#ace78e133cc50ed4cbcc8326d52f0eaa4',1,'tvm::script::printer::ExprDocNode::Call(Array&lt; ExprDoc, void &gt; args, Array&lt; String &gt; kwargs_keys, Array&lt; ExprDoc, void &gt; kwargs_values) const'],['../classtvm_1_1script_1_ [...]
-  ['call_2eh_697',['call.h',['../call_8h.html',1,'']]],
-  ['call_5fextern_698',['call_extern',['../namespacetvm_1_1tir_1_1builtin.html#a668eaad07b6c46238f2bf758e61b58a5',1,'tvm::tir::builtin']]],
-  ['call_5fllvm_5fintrin_699',['call_llvm_intrin',['../namespacetvm_1_1tir_1_1builtin.html#a83892dca19e44a96752625c65c38d645',1,'tvm::tir::builtin']]],
-  ['call_5fllvm_5fpure_5fintrin_700',['call_llvm_pure_intrin',['../namespacetvm_1_1tir_1_1builtin.html#a8e3504415c78f3f8fd719a21e5280b38',1,'tvm::tir::builtin']]],
-  ['call_5fpure_5fextern_701',['call_pure_extern',['../namespacetvm_1_1tir_1_1builtin.html#ae2add6e324d391782d367360a68ccf51',1,'tvm::tir::builtin']]],
-  ['call_5fspirv_5fpure_5fglsl450_702',['call_spirv_pure_glsl450',['../namespacetvm_1_1tir_1_1builtin.html#ac4887bd93ad67619ad290a33e2bdd340',1,'tvm::tir::builtin']]],
-  ['callable_5f_703',['callable_',['../classtvm_1_1runtime_1_1PackedFuncSubObj.html#a969aa766a25d7e9091e08b3a8e1ea974',1,'tvm::runtime::PackedFuncSubObj']]],
-  ['callback_704',['Callback',['../classtvm_1_1auto__scheduler_1_1PythonBasedMeasureCallbackNode.html#a3d0d944ee872d688fe5183a648543a3e',1,'tvm::auto_scheduler::PythonBasedMeasureCallbackNode::Callback()'],['../classtvm_1_1auto__scheduler_1_1PreloadMeasuredStatesNode.html#a05fb2bd8d20c77efd2c29379766f6422',1,'tvm::auto_scheduler::PreloadMeasuredStatesNode::Callback()'],['../classtvm_1_1auto__scheduler_1_1SearchCallbackNode.html#a5cf3b19490db48b070c0a30bde2148a8',1,'tvm::auto_scheduler::S [...]
-  ['callback_5ffunc_705',['callback_func',['../classtvm_1_1auto__scheduler_1_1PythonBasedMeasureCallbackNode.html#ad5ca2368cd2735e14aa30921cc28716c',1,'tvm::auto_scheduler::PythonBasedMeasureCallbackNode']]],
-  ['callbacks_706',['callbacks',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a9454dd321ce373cb2434f10d392db345',1,'tvm::auto_scheduler::ProgramMeasurerNode::callbacks()'],['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderFrameNode.html#aa21f554fdcf6ab78c55cb54cdc69c0c2',1,'tvm::script::ir_builder::IRBuilderFrameNode::callbacks()'],['../classtvm_1_1script_1_1printer_1_1FrameNode.html#ab7cd0d3ddf5eb95aa781590907f5aa21',1,'tvm::script::printer::FrameNode::callbacks()']]],
-  ['calldoc_707',['CallDoc',['../classtvm_1_1script_1_1printer_1_1CallDoc.html#a8bebc54ecdc7c2c7cbf4b8bb6dc902c0',1,'tvm::script::printer::CallDoc::CallDoc()'],['../classtvm_1_1script_1_1printer_1_1CallDoc.html',1,'tvm::script::printer::CallDoc']]],
-  ['calldocnode_708',['CallDocNode',['../classtvm_1_1script_1_1printer_1_1CallDocNode.html',1,'tvm::script::printer']]],
-  ['callee_709',['callee',['../classtvm_1_1script_1_1printer_1_1CallDocNode.html#afd99b131a9accf85284b528203e71413',1,'tvm::script::printer::CallDocNode']]],
-  ['calleffectkind_710',['CallEffectKind',['../namespacetvm_1_1tir.html#a8f4a86b205145696c0555fd02bd37f46',1,'tvm::tir']]],
-  ['caller_5freturn_5fregister_711',['caller_return_register',['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html#a2f6c425a89ee6872ead9bc71ab87fdd1',1,'tvm::runtime::vm::VMFrame']]],
-  ['callframe_712',['CallFrame',['../structtvm_1_1runtime_1_1profiling_1_1CallFrame.html',1,'tvm::runtime::profiling']]],
-  ['callingconv_713',['CallingConv',['../namespacetvm.html#a85e4536ea4e1c8d3d48c61135f0a8ff0',1,'tvm']]],
-  ['callloweredattrs_714',['CallLoweredAttrs',['../structtvm_1_1relay_1_1CallLoweredAttrs.html',1,'tvm::relay']]],
-  ['callnode_715',['CallNode',['../classtvm_1_1relay_1_1CallNode.html',1,'tvm::relay::CallNode'],['../classtvm_1_1tir_1_1CallNode.html',1,'tvm::tir::CallNode']]],
-  ['callpacked_716',['CallPacked',['../classtvm_1_1runtime_1_1PackedFuncObj.html#ae5f18a03762f4e3e347b624140774855',1,'tvm::runtime::PackedFuncObj::CallPacked()'],['../classtvm_1_1GenericFunc.html#a4d3816fb9dddb92e7e9f89bfbb70352a',1,'tvm::GenericFunc::CallPacked()'],['../classtvm_1_1runtime_1_1PackedFunc.html#a9b39e8a75956bc889745ded9131b9c17',1,'tvm::runtime::PackedFunc::CallPacked()']]],
-  ['callpattern_717',['CallPattern',['../classtvm_1_1relay_1_1CallPattern.html#afb3037d0393989a1952ef92b126654d6',1,'tvm::relay::CallPattern::CallPattern()'],['../classtvm_1_1relay_1_1CallPattern.html',1,'tvm::relay::CallPattern']]],
-  ['callpatternnode_718',['CallPatternNode',['../classtvm_1_1relay_1_1CallPatternNode.html',1,'tvm::relay']]],
-  ['calls_719',['calls',['../classtvm_1_1runtime_1_1profiling_1_1ReportNode.html#a260723c8cfdf288106cc7195da2b30a0',1,'tvm::runtime::profiling::ReportNode']]],
-  ['can_5fdispatch_720',['can_dispatch',['../classtvm_1_1NodeFunctor_3_01R_07const_01ObjectRef_01_6n_00_01Args_8_8_8_08_4.html#aa60aa2dc83ba65dd430d4df41034362b',1,'tvm::NodeFunctor&lt; R(const ObjectRef &amp;n, Args...)&gt;']]],
-  ['canconvertfrom_721',['CanConvertFrom',['../classtvm_1_1runtime_1_1String.html#a2ee7733b1c8092383ffab8c67bf8cb20',1,'tvm::runtime::String']]],
-  ['candispatch_722',['CanDispatch',['../classtvm_1_1ReprLegacyPrinter.html#a235fd606e078defba830d9dab6442239',1,'tvm::ReprLegacyPrinter']]],
-  ['canonical_5fsimplify_723',['canonical_simplify',['../classtvm_1_1arith_1_1Analyzer.html#a6cdf29adeceaa20b8c3dd7c26b92cd00',1,'tvm::arith::Analyzer']]],
-  ['canonicalizecast_724',['CanonicalizeCast',['../namespacetvm_1_1relay_1_1transform.html#a93bbf7ab3f612d4f38a6832d6b53b4fd',1,'tvm::relay::transform']]],
-  ['canonicalizeops_725',['CanonicalizeOps',['../namespacetvm_1_1relay_1_1transform.html#adcddf150ca7da40e20408928421b0086',1,'tvm::relay::transform']]],
-  ['canonicalsimplifier_726',['CanonicalSimplifier',['../classtvm_1_1arith_1_1RewriteSimplifier.html#abd092d074e3063da6d7bb0c67115a33f',1,'tvm::arith::RewriteSimplifier::CanonicalSimplifier()'],['../classtvm_1_1arith_1_1CanonicalSimplifier.html',1,'tvm::arith::CanonicalSimplifier']]],
-  ['canonicaltarget_727',['CanonicalTarget',['../classtvm_1_1CompilationConfigNode.html#a3b2bc6e1481c8fd7dce9d66652993955',1,'tvm::CompilationConfigNode']]],
-  ['canonicalvirtualdevice_728',['CanonicalVirtualDevice',['../classtvm_1_1CompilationConfigNode.html#a91de372f958bbe17e7c170f6abccc2a4',1,'tvm::CompilationConfigNode']]],
-  ['canprove_729',['CanProve',['../classtvm_1_1arith_1_1Analyzer.html#a7d8be689aff9dab648ca21f210d6d9c2',1,'tvm::arith::Analyzer']]],
-  ['canproveequal_730',['CanProveEqual',['../classtvm_1_1arith_1_1Analyzer.html#a5b4350d33e93a9e6bb7f26e4c6569703',1,'tvm::arith::Analyzer']]],
-  ['canprovegreaterequal_731',['CanProveGreaterEqual',['../classtvm_1_1arith_1_1Analyzer.html#a5e97e3abc176f85a5b8e5d0d1cb9f5e1',1,'tvm::arith::Analyzer']]],
-  ['canproveless_732',['CanProveLess',['../classtvm_1_1arith_1_1Analyzer.html#adb7ace66382583595724d79cc1504638',1,'tvm::arith::Analyzer']]],
-  ['canprovelessequalthansymbolicshapevalue_733',['CanProveLessEqualThanSymbolicShapeValue',['../classtvm_1_1arith_1_1Analyzer.html#a4371d28a6b3306bdb4eec6c6a8317e03',1,'tvm::arith::Analyzer']]],
-  ['canprovenegative_734',['CanProveNegative',['../classtvm_1_1arith_1_1IntSet.html#aa1371d4a7c964dc76c3e8f884d8b484b',1,'tvm::arith::IntSet']]],
-  ['canprovenonnegative_735',['CanProveNonNegative',['../classtvm_1_1arith_1_1IntSet.html#a7a70babc7d3e3b8f1ee2850e84baefd7',1,'tvm::arith::IntSet']]],
-  ['canprovenonpositive_736',['CanProveNonPositive',['../classtvm_1_1arith_1_1IntSet.html#a64f8769ce292fe5874f34ef1db0773c0',1,'tvm::arith::IntSet']]],
-  ['canprovepositive_737',['CanProvePositive',['../classtvm_1_1arith_1_1IntSet.html#aada3359d831943ed78a4e52eb39d01f8',1,'tvm::arith::IntSet']]],
-  ['canprovesinglepoint_738',['CanProveSinglePoint',['../classtvm_1_1arith_1_1IntSet.html#a724939db1ac377a19be87bb9cbc2eaf6',1,'tvm::arith::IntSet']]],
-  ['capacity_739',['capacity',['../classtvm_1_1runtime_1_1Array.html#a420e3711ae1cdfc044e4f90b600e76cd',1,'tvm::runtime::Array']]],
-  ['capturepostdfsindexinspans_740',['CapturePostDfsIndexInSpans',['../namespacetvm_1_1relay_1_1transform.html#a0d850ee9bfb41de42cf3a2bb25586528',1,'tvm::relay::transform']]],
-  ['cast_741',['cast',['../namespacetvm.html#a4bfb789a86d95f6241b50fd26f269c28',1,'tvm::cast()'],['../namespacetvm_1_1topi.html#a7da4c96db87c1459a2b097b87afd811f',1,'tvm::topi::cast()']]],
-  ['cast_742',['Cast',['../classtvm_1_1tir_1_1Cast.html#ab4861ae553a79f9c78ed822c52396111',1,'tvm::tir::Cast::Cast()'],['../classtvm_1_1tir_1_1Cast.html',1,'tvm::tir::Cast']]],
-  ['castattrs_743',['CastAttrs',['../structtvm_1_1relay_1_1CastAttrs.html',1,'tvm::relay']]],
-  ['casthintattrs_744',['CastHintAttrs',['../structtvm_1_1relay_1_1CastHintAttrs.html',1,'tvm::relay']]],
-  ['castnode_745',['CastNode',['../classtvm_1_1tir_1_1CastNode.html',1,'tvm::tir']]],
-  ['ceil_746',['ceil',['../namespacetvm_1_1topi.html#a058e1d1fac459ba3694b881f52c1e574',1,'tvm::topi::ceil()'],['../namespacetvm.html#a5e4738caa6bcd0259af64b25e25dfd93',1,'tvm::ceil()']]],
-  ['ceil_5fmode_747',['ceil_mode',['../structtvm_1_1relay_1_1MaxPool3DAttrs.html#a6a5bb2bda2cb0b5654987cd08d74c64a',1,'tvm::relay::MaxPool3DAttrs::ceil_mode()'],['../structtvm_1_1relay_1_1MaxPool2DAttrs.html#a40f8ca285721a1a69b37ab630d601632',1,'tvm::relay::MaxPool2DAttrs::ceil_mode()'],['../structtvm_1_1relay_1_1AvgPool2DAttrs.html#a843fa213a45d524b669f11b7a0438eb7',1,'tvm::relay::AvgPool2DAttrs::ceil_mode()'],['../structtvm_1_1relay_1_1AvgPool1DAttrs.html#ac9c3f2c26da975c9d78bc33955163 [...]
-  ['ceildiv_748',['ceildiv',['../namespacetvm.html#a0d36aeede9c8e2fa2973807edf2b9f4b',1,'tvm']]],
-  ['center_749',['center',['../structtvm_1_1relay_1_1BatchNormAttrs.html#ab0ad1e2be87f4e12d9e46b2da6c12713',1,'tvm::relay::BatchNormAttrs::center()'],['../structtvm_1_1relay_1_1InstanceNormAttrs.html#af8c9c7c50567f50cd6e7e21721a11532',1,'tvm::relay::InstanceNormAttrs::center()'],['../structtvm_1_1relay_1_1LayerNormAttrs.html#a013460f687b8751814e5c09d5d2033ae',1,'tvm::relay::LayerNormAttrs::center()'],['../structtvm_1_1relay_1_1GroupNormAttrs.html#ad8f288514880bff6ca973cdaa2aea905',1,'tvm [...]
-  ['cfg_750',['cfg',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#a9686de8629390dbdf8254d5c7585822f',1,'tvm::script::printer::IRDocsifierNode']]],
-  ['channel_5fread_5fadvance_751',['channel_read_advance',['../namespacetvm_1_1tir_1_1attr.html#a14632ed9597f1c21a0dbd23949e5916e',1,'tvm::tir::attr']]],
-  ['channel_5fread_5fscope_752',['channel_read_scope',['../namespacetvm_1_1tir_1_1attr.html#ad39d05bd13aeed7af51e9f8e323d263b',1,'tvm::tir::attr']]],
-  ['channel_5fwrite_5fadvance_753',['channel_write_advance',['../namespacetvm_1_1tir_1_1attr.html#ad8886f0bca07d9fa56db9edea67b1a8d',1,'tvm::tir::attr']]],
-  ['channel_5fwrite_5fscope_754',['channel_write_scope',['../namespacetvm_1_1tir_1_1attr.html#a52f378fc437bd4f7f6508c8e2e9b3e05',1,'tvm::tir::attr']]],
-  ['channels_755',['channels',['../structtvm_1_1relay_1_1BinaryConv2DAttrs.html#afd527f4e8a2f2498b5ac9607df628b3b',1,'tvm::relay::BinaryConv2DAttrs::channels()'],['../structtvm_1_1relay_1_1DeformableConv2DAttrs.html#a9c8548a21c300c93205b3e27368707b7',1,'tvm::relay::DeformableConv2DAttrs::channels()'],['../structtvm_1_1relay_1_1Conv1DTransposeAttrs.html#ae1618e8e0d91676c32df3982484614ec',1,'tvm::relay::Conv1DTransposeAttrs::channels()'],['../structtvm_1_1relay_1_1Conv2DTransposeAttrs.html [...]
-  ['check_756',['Check',['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Map_3_01K_00_01V_01_4_01_4.html#a66cc223f8e80086a6396540546b07eed',1,'tvm::runtime::ObjectTypeChecker&lt; Map&lt; K, V &gt; &gt;::Check()'],['../structtvm_1_1runtime_1_1ObjectTypeChecker.html#aba05348357ac739ea2d3deecc7a1b202',1,'tvm::runtime::ObjectTypeChecker::Check()'],['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Array_3_01T_01_4_01_4.html#add60b83f5e77c1d9016b65898bcbfe69',1,'tvm::runtime::ObjectTypeChec [...]
-  ['checkandgetmismatch_757',['CheckAndGetMismatch',['../structtvm_1_1runtime_1_1ObjectTypeChecker.html#aebb30723fbc8a9530855dfd4f6185e89',1,'tvm::runtime::ObjectTypeChecker::CheckAndGetMismatch()'],['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Array_3_01T_01_4_01_4.html#aecb7f71a675e74979a0ed08202cf09cc',1,'tvm::runtime::ObjectTypeChecker&lt; Array&lt; T &gt; &gt;::CheckAndGetMismatch()'],['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Map_3_01K_00_01V_01_4_01_4.html#a813be6b98e [...]
-  ['checkandupdatehostconsistency_758',['CheckAndUpdateHostConsistency',['../namespacetvm.html#a741dec82c75bea850290cf8bc412c006',1,'tvm']]],
-  ['checked_5ftype_759',['checked_type',['../classtvm_1_1RelayExprNode.html#aca36f6077174fd8361d57c71bac371e2',1,'tvm::RelayExprNode']]],
-  ['checked_5ftype_5f_760',['checked_type_',['../classtvm_1_1RelayExprNode.html#ae30ca49a8b84288fbc21d5f312f02929',1,'tvm::RelayExprNode']]],
-  ['checkfeature_761',['CheckFeature',['../namespacetvm_1_1relay.html#a8e07d921de65446566e9fb93ff8c4daf',1,'tvm::relay::CheckFeature(const RelayExpr &amp;expr, const IRModule &amp;mod, const FeatureSet &amp;fs)'],['../namespacetvm_1_1relay.html#af81527dc5836266c66411b082b01a80c',1,'tvm::relay::CheckFeature(const IRModule &amp;mod, const FeatureSet &amp;fs)'],['../namespacetvm_1_1relay.html#a886335a9bb055385ed04d27b74da36c7',1,'tvm::relay::CheckFeature(const RelayExpr &amp;expr, const Fea [...]
-  ['checkvisited_762',['CheckVisited',['../classtvm_1_1relay_1_1MixedModeVisitor.html#a7987f5e9c4d81ae6676a15ea342ce616',1,'tvm::relay::MixedModeVisitor::CheckVisited()'],['../classtvm_1_1relay_1_1MixedModeMutator.html#ae4039a7f00a900a6c7412eede16f2a91',1,'tvm::relay::MixedModeMutator::CheckVisited()']]],
-  ['child_763',['child',['../classtvm_1_1relay_1_1DominatorPatternNode.html#af0e0d4239dd36a3dda174e538b69e7f1',1,'tvm::relay::DominatorPatternNode']]],
-  ['children_5fexpanded_764',['children_expanded',['../structtvm_1_1relay_1_1v__info.html#a8c18574534508fddf65002834e173583',1,'tvm::relay::v_info']]],
-  ['classdoc_765',['ClassDoc',['../classtvm_1_1script_1_1printer_1_1ClassDoc.html#aa22d98189b6b0ce275949b3b975d869d',1,'tvm::script::printer::ClassDoc::ClassDoc()'],['../classtvm_1_1script_1_1printer_1_1ClassDoc.html',1,'tvm::script::printer::ClassDoc']]],
-  ['classdocnode_766',['ClassDocNode',['../classtvm_1_1script_1_1printer_1_1ClassDocNode.html',1,'tvm::script::printer']]],
-  ['clause_767',['Clause',['../classtvm_1_1relay_1_1Clause.html#a184cc12fa7b63039d56e3c11c3b9ad47',1,'tvm::relay::Clause::Clause()'],['../classtvm_1_1relay_1_1Clause.html',1,'tvm::relay::Clause']]],
-  ['clausenode_768',['ClauseNode',['../classtvm_1_1relay_1_1ClauseNode.html',1,'tvm::relay']]],
-  ['clauses_769',['clauses',['../classtvm_1_1relay_1_1MatchNode.html#a1e35f1c0a12e235eb052cd48f6659cd6',1,'tvm::relay::MatchNode::clauses()'],['../classtvm_1_1te_1_1SpecializedConditionNode.html#a8121936069bdc150f53c6c116100b8ad',1,'tvm::te::SpecializedConditionNode::clauses()']]],
-  ['clear_770',['clear',['../classtvm_1_1runtime_1_1Array.html#a0c6b43e7ddd25655961a4bdf2251fd4e',1,'tvm::runtime::Array']]],
-  ['clear_771',['Clear',['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#a9c3292db647547578812ae497031e610',1,'tvm::runtime::micro_rpc::FrameBuffer']]],
-  ['clear_772',['clear',['../classtvm_1_1runtime_1_1ArrayNode.html#a71be89dffaf8f77fcb8c3d5bbdc202ed',1,'tvm::runtime::ArrayNode::clear()'],['../classtvm_1_1runtime_1_1Map.html#a093955a395c75f89c5a7f8a71b13250a',1,'tvm::runtime::Map::clear()']]],
-  ['clear_5fdispatch_773',['clear_dispatch',['../classtvm_1_1NodeFunctor_3_01R_07const_01ObjectRef_01_6n_00_01Args_8_8_8_08_4.html#a7c6f675b3c24ea09365a646b2ca7c77e',1,'tvm::NodeFunctor&lt; R(const ObjectRef &amp;n, Args...)&gt;']]],
-  ['clearreceivebuffer_774',['ClearReceiveBuffer',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#abfc769c8b0802c91d5770555b869bf2d',1,'tvm::runtime::micro_rpc::Session']]],
-  ['clip_775',['clip',['../structtvm_1_1relay_1_1MultiBoxTransformLocAttrs.html#aa552a0e06f1c961277d8b65af07c841a',1,'tvm::relay::MultiBoxTransformLocAttrs::clip()'],['../namespacetvm_1_1topi.html#a0535d4dc9cd63b723cba6f00ea1eccef',1,'tvm::topi::clip()'],['../structtvm_1_1relay_1_1MultiBoxPriorAttrs.html#ab9175969c52d2c4d9cdfdcfbc4fce995',1,'tvm::relay::MultiBoxPriorAttrs::clip()']]],
-  ['clipattrs_776',['ClipAttrs',['../structtvm_1_1relay_1_1ClipAttrs.html',1,'tvm::relay']]],
-  ['clo_5findex_777',['clo_index',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#aaea1385d3562231a2e242faa0b51c616',1,'tvm::runtime::vm::Instruction']]],
-  ['clock_5ffrequency_5fhz_778',['clock_frequency_hz',['../structtvm_1_1PoolInfoNode.html#a660b4708543cee4837496766f82dd4c2',1,'tvm::PoolInfoNode::clock_frequency_hz()'],['../structtvm_1_1PoolInfoPropertiesNode.html#ad0a43790f035d0d13efdf06b285dc1a8',1,'tvm::PoolInfoPropertiesNode::clock_frequency_hz()']]],
-  ['clone_779',['Clone',['../classtvm_1_1meta__schedule_1_1SearchStrategyNode.html#ab2da3a0418f5c7b25f61368969fde8a0',1,'tvm::meta_schedule::SearchStrategyNode::Clone()'],['../classtvm_1_1meta__schedule_1_1PyScheduleRuleNode.html#abcc4d1502d8bb3e7fceac2eebbfd3dce',1,'tvm::meta_schedule::PyScheduleRuleNode::Clone()'],['../classtvm_1_1meta__schedule_1_1ScheduleRuleNode.html#a8505847517d6f194e4b1679a0b46b147',1,'tvm::meta_schedule::ScheduleRuleNode::Clone()'],['../classtvm_1_1meta__schedule [...]
-  ['clos_780',['clos',['../classtvm_1_1relay_1_1RecClosureObj.html#a7a56c67a71f2d6d6621cdb0747b9dce0',1,'tvm::relay::RecClosureObj']]],
-  ['closure_781',['closure',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a3a175836bc0893d99935f32911e45bfd',1,'tvm::runtime::vm::Instruction']]],
-  ['closure_782',['Closure',['../classtvm_1_1runtime_1_1Closure.html',1,'tvm::runtime']]],
-  ['closure_2eh_783',['closure.h',['../closure_8h.html',1,'']]],
-  ['closure_5fargs_784',['closure_args',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#aee9011e3fab2ace2ae167db9a4394ee8',1,'tvm::runtime::vm::Instruction']]],
-  ['closureobj_785',['ClosureObj',['../classtvm_1_1runtime_1_1ClosureObj.html',1,'tvm::runtime']]],
-  ['clz_786',['clz',['../namespacetvm.html#aeeef6fde2a1352eae8abddd994c657b7',1,'tvm']]],
-  ['cmpopnode_787',['CmpOpNode',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
-  ['cmpopnode_3c_20eqnode_20_3e_788',['CmpOpNode&lt; EQNode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
-  ['cmpopnode_3c_20genode_20_3e_789',['CmpOpNode&lt; GENode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
-  ['cmpopnode_3c_20gtnode_20_3e_790',['CmpOpNode&lt; GTNode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
-  ['cmpopnode_3c_20lenode_20_3e_791',['CmpOpNode&lt; LENode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
-  ['cmpopnode_3c_20ltnode_20_3e_792',['CmpOpNode&lt; LTNode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
-  ['cmpopnode_3c_20nenode_20_3e_793',['CmpOpNode&lt; NENode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
-  ['code_794',['code',['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html#a6b1467a43cd8cb5123b08bbb4b39f428',1,'tvm::runtime::vm::VMFrame::code()'],['../classtvm_1_1runtime_1_1DataType.html#a71feb294f412836c3d7e012133a3f339',1,'tvm::runtime::DataType::code()']]],
-  ['code_5f_795',['code_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#ab220bfa34c9abb2935c3b622d9bc03b2',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['codegen_2eh_796',['codegen.h',['../codegen_8h.html',1,'']]],
-  ['coef_797',['coef',['../classtvm_1_1arith_1_1IntGroupBoundsNode.html#a2e632f8c44c4a6f071ad9d54107313f4',1,'tvm::arith::IntGroupBoundsNode']]],
-  ['coeff_798',['coeff',['../classtvm_1_1arith_1_1ModularSetNode.html#a0531d08d8529454c726a9a20a2002ee6',1,'tvm::arith::ModularSetNode']]],
-  ['collapse_5fsum_799',['collapse_sum',['../namespacetvm_1_1topi.html#a0055fc9fc2a61a472efd124c80db2c08',1,'tvm::topi']]],
-  ['column_800',['column',['../classtvm_1_1SpanNode.html#a51f1f2feef5505e4686c1906b84a4bb1',1,'tvm::SpanNode']]],
-  ['combinecontextcall_801',['CombineContextCall',['../namespacetvm_1_1tir_1_1transform.html#af00a9c2f441a8056f9abe65e72b41b36',1,'tvm::tir::transform']]],
-  ['combineparallelbatchmatmul_802',['CombineParallelBatchMatmul',['../namespacetvm_1_1relay_1_1transform.html#aa97a0ec61929f58aefff5da83a73e1cd',1,'tvm::relay::transform']]],
-  ['combineparallelconv2d_803',['CombineParallelConv2D',['../namespacetvm_1_1relay_1_1transform.html#a3b8f4cbf0bd699d2ca0ab92c534c867d',1,'tvm::relay::transform']]],
-  ['combineparalleldense_804',['CombineParallelDense',['../namespacetvm_1_1relay_1_1transform.html#a61517368427f429e784b4b128cb6351d',1,'tvm::relay::transform']]],
-  ['combiner_805',['combiner',['../classtvm_1_1tir_1_1ReduceNode.html#a5dcdd28aac37f4313ad18065bf824e11',1,'tvm::tir::ReduceNode']]],
-  ['comment_806',['comment',['../classtvm_1_1script_1_1printer_1_1StmtDocNode.html#ab5825bdb8b993e7bcdbe592163d549a1',1,'tvm::script::printer::StmtDocNode']]],
-  ['commentdoc_807',['CommentDoc',['../classtvm_1_1script_1_1printer_1_1CommentDoc.html#a1aa26f57bb5aea15db1b111392720290',1,'tvm::script::printer::CommentDoc::CommentDoc()'],['../classtvm_1_1script_1_1printer_1_1CommentDoc.html',1,'tvm::script::printer::CommentDoc']]],
-  ['commentdocnode_808',['CommentDocNode',['../classtvm_1_1script_1_1printer_1_1CommentDocNode.html',1,'tvm::script::printer']]],
-  ['committuningrecord_809',['CommitTuningRecord',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#a391ebf6b8bd4d6a1e564ad807f336fd4',1,'tvm::meta_schedule::DatabaseNode::CommitTuningRecord()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a00614b22bd1cae147dc0d83cdd071187',1,'tvm::meta_schedule::PyDatabaseNode::CommitTuningRecord()']]],
-  ['commitworkload_810',['CommitWorkload',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#a833752c0069eb976028425751df6e9ac',1,'tvm::meta_schedule::DatabaseNode::CommitWorkload()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a12d64aa44d3d2ab37a6600d17be3e708',1,'tvm::meta_schedule::PyDatabaseNode::CommitWorkload()']]],
-  ['common_5fprefix_811',['common_prefix',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#aaf110ff85d0263eabe1dbc9f50a6a499',1,'tvm::script::printer::IRDocsifierNode']]],
-  ['commonsubexprelimtir_812',['CommonSubexprElimTIR',['../namespacetvm_1_1tir_1_1transform.html#a82c5b1caff09436701fb9b9e7f672571',1,'tvm::tir::transform']]],
-  ['commreduce_813',['CommReduce',['../namespacetvm_1_1topi.html#aec9d2c654a75e1be977d159b87a6b8f5',1,'tvm::topi']]],
-  ['commreduceidx_814',['CommReduceIdx',['../namespacetvm_1_1topi.html#a50b46549c2a66bedd305f5e7db0d6d89',1,'tvm::topi']]],
-  ['commreducer_815',['CommReducer',['../classtvm_1_1tir_1_1CommReducer.html#a48f3eebf6a3148279ff796794df4c7c2',1,'tvm::tir::CommReducer::CommReducer()'],['../classtvm_1_1tir_1_1CommReducer.html',1,'tvm::tir::CommReducer']]],
-  ['commreducernode_816',['CommReducerNode',['../classtvm_1_1tir_1_1CommReducerNode.html',1,'tvm::tir']]],
-  ['compactbufferallocation_817',['CompactBufferAllocation',['../namespacetvm_1_1tir_1_1transform.html#a1e2ad780d6302432ead7f8b5fbffc275',1,'tvm::tir::transform']]],
-  ['compare_818',['compare',['../classtvm_1_1runtime_1_1String.html#abe6782ebaf76b9e3e1ef6ae21f5447c7',1,'tvm::runtime::String::compare(const std::string &amp;other) const'],['../classtvm_1_1runtime_1_1String.html#ad3af61a0b16c6d8a4e6b1c2f6e8f4a44',1,'tvm::runtime::String::compare(const String &amp;other) const'],['../classtvm_1_1runtime_1_1String.html#a03f9f2382fbbf611af6591ce1afb0dca',1,'tvm::runtime::String::compare(const char *other) const']]],
-  ['compareresult_819',['CompareResult',['../namespacetvm_1_1arith.html#a1d555a0cc363f669a49fad7e5f7d69d0',1,'tvm::arith']]],
-  ['compilation_5fconfig_2eh_820',['compilation_config.h',['../compilation__config_8h.html',1,'']]],
-  ['compilationconfig_821',['CompilationConfig',['../classtvm_1_1CompilationConfig.html#a94ca0dbfadfbd8959fb81a2ec415e4c6',1,'tvm::CompilationConfig::CompilationConfig()'],['../classtvm_1_1CompilationConfigNode.html#a770bdaa15e07052d9ee3434c7131ff27',1,'tvm::CompilationConfigNode::CompilationConfig()'],['../classtvm_1_1CompilationConfig.html',1,'tvm::CompilationConfig']]],
-  ['compilationconfignode_822',['CompilationConfigNode',['../classtvm_1_1CompilationConfigNode.html',1,'tvm']]],
-  ['compileerror_823',['CompileError',['../classtvm_1_1relay_1_1CompileError.html#af56d261e1abee8c52bea06af74a531b8',1,'tvm::relay::CompileError::CompileError()'],['../structtvm_1_1relay_1_1ErrorBuilder.html#a352b0727f48872b7a3cf65e6b8ac0940',1,'tvm::relay::ErrorBuilder::CompileError()'],['../classtvm_1_1relay_1_1CompileError.html#a46b97139a61f6aa4a0295e9ffea2e335',1,'tvm::relay::CompileError::CompileError(const ErrorBuilder &amp;err)'],['../classtvm_1_1relay_1_1CompileError.html#ac838c9 [...]
-  ['compiler_824',['compiler',['../structtvm_1_1relay_1_1CompilerAttrs.html#ae7f7c901a3dbe3b2a2150f8d197a3494',1,'tvm::relay::CompilerAttrs']]],
-  ['compilerattrs_825',['CompilerAttrs',['../structtvm_1_1relay_1_1CompilerAttrs.html',1,'tvm::relay']]],
-  ['complete_826',['complete',['../classtvm_1_1relay_1_1MatchNode.html#a17c6c8bd3fccfa7f86ace014db7fd694',1,'tvm::relay::MatchNode']]],
-  ['compute_827',['compute',['../namespacetvm_1_1te.html#afe4f57aeb3dd5ae9c0b58135e14d67ca',1,'tvm::te::compute(Array&lt; PrimExpr &gt; shape, FCompute fcompute, std::string name=&quot;tensor&quot;, std::string tag=&quot;&quot;, Map&lt; String, ObjectRef &gt; attrs={})'],['../namespacetvm_1_1te.html#ad228cc1637e4b354db234374f219d050',1,'tvm::te::compute(Array&lt; PrimExpr &gt; shape, FBatchCompute fcompute, std::string name=&quot;tensor&quot;, std::string tag=&quot;&quot;, Map&lt; String [...]
-  ['compute_828',['Compute',['../classtvm_1_1relay_1_1OpImplementation.html#a04d79f30d070ca87272c439dc7ed2d52',1,'tvm::relay::OpImplementation']]],
-  ['compute_5fat_829',['compute_at',['../classtvm_1_1auto__scheduler_1_1StageNode.html#a4890fd70d089bdb5906b6424575dae97',1,'tvm::auto_scheduler::StageNode::compute_at()'],['../classtvm_1_1auto__scheduler_1_1State.html#a0e00bb2f70dc2e28c236c92a14204850',1,'tvm::auto_scheduler::State::compute_at()'],['../classtvm_1_1te_1_1Stage.html#a071545484de7a894c01ccf0e77183730',1,'tvm::te::Stage::compute_at()']]],
-  ['compute_5fdag_830',['compute_dag',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#a783e92cf2ce4430fac92b9fb1f047654',1,'tvm::auto_scheduler::SearchTaskNode']]],
-  ['compute_5fdag_2eh_831',['compute_dag.h',['../compute__dag_8h.html',1,'']]],
-  ['compute_5fdtype_832',['compute_dtype',['../structtvm_1_1relay_1_1qnn_1_1RequantizeAttrs.html#a9f4ca3a2bfede63c59c8912be9119494',1,'tvm::relay::qnn::RequantizeAttrs']]],
-  ['compute_5finline_833',['compute_inline',['../classtvm_1_1auto__scheduler_1_1State.html#aa383a9b40e490c131ed696d696c3c7a0',1,'tvm::auto_scheduler::State::compute_inline()'],['../classtvm_1_1te_1_1Stage.html#a1c58b35e37561739440b322c29d30c3b',1,'tvm::te::Stage::compute_inline()']]],
-  ['compute_5froot_834',['compute_root',['../classtvm_1_1te_1_1Stage.html#a95b58b2d2ec034ecd0bdb99f95c0b0ba',1,'tvm::te::Stage::compute_root()'],['../classtvm_1_1auto__scheduler_1_1State.html#a6a0b192456798daac7d5b8403c1215d8',1,'tvm::auto_scheduler::State::compute_root()']]],
-  ['compute_5fscope_835',['compute_scope',['../namespacetvm_1_1tir_1_1attr.html#a00a6b89838348f152d844cead81b5016',1,'tvm::tir::attr']]],
-  ['computeat_836',['ComputeAt',['../classtvm_1_1tir_1_1ScheduleNode.html#af901a7fa1336ee2e6668a2e44a8a2efd',1,'tvm::tir::ScheduleNode']]],
-  ['computeatkind_837',['ComputeAtKind',['../namespacetvm_1_1auto__scheduler.html#ab75208ecc6a00ca7f86af04b3cc5657f',1,'tvm::auto_scheduler']]],
-  ['computeatstep_838',['ComputeAtStep',['../classtvm_1_1auto__scheduler_1_1ComputeAtStep.html#a52e1ff3450cea4694bab7fb8696a28fc',1,'tvm::auto_scheduler::ComputeAtStep::ComputeAtStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1ComputeAtStep.html#ae65a1fe0eeb84df13d81e8d2651c8e8a',1,'tvm::auto_scheduler::ComputeAtStep::ComputeAtStep(int stage_id, int target_stage_id, int target_iter_id)'],['../classtvm_1_1auto__scheduler_1_1ComputeAtStep.html',1,'tvm::auto_scheduler::C [...]
-  ['computeatstepnode_839',['ComputeAtStepNode',['../classtvm_1_1auto__scheduler_1_1ComputeAtStepNode.html',1,'tvm::auto_scheduler']]],
-  ['computedag_840',['ComputeDAG',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#a98edfb8259ecefff7c7c87d38692c316',1,'tvm::auto_scheduler::ComputeDAG::ComputeDAG(Array&lt; te::Tensor &gt; tensors)'],['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#a7f0af14c389357c9127e54d1dca380a1',1,'tvm::auto_scheduler::ComputeDAG::ComputeDAG(const te::Schedule &amp;sch)'],['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html',1,'tvm::auto_scheduler::ComputeDAG']]],
-  ['computedagnode_841',['ComputeDAGNode',['../classtvm_1_1auto__scheduler_1_1ComputeDAGNode.html',1,'tvm::auto_scheduler']]],
-  ['computeinline_842',['ComputeInline',['../classtvm_1_1tir_1_1ScheduleNode.html#a5e7310fe532cf9d168557ed792198c24',1,'tvm::tir::ScheduleNode']]],
-  ['computeinlinestep_843',['ComputeInlineStep',['../classtvm_1_1auto__scheduler_1_1ComputeInlineStep.html#a2e8902e916feddc046703c20e528f28a',1,'tvm::auto_scheduler::ComputeInlineStep::ComputeInlineStep(int stage_id)'],['../classtvm_1_1auto__scheduler_1_1ComputeInlineStep.html#ab5343070d4cbdbf0e3bd29ada1f8a057',1,'tvm::auto_scheduler::ComputeInlineStep::ComputeInlineStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1ComputeInlineStep.html',1,'tvm::auto_scheduler::Comput [...]
-  ['computeinlinestepnode_844',['ComputeInlineStepNode',['../classtvm_1_1auto__scheduler_1_1ComputeInlineStepNode.html',1,'tvm::auto_scheduler']]],
-  ['computeop_845',['ComputeOp',['../classtvm_1_1te_1_1ComputeOp.html#a83bc26168a2051549f24cf107a450e87',1,'tvm::te::ComputeOp::ComputeOp()'],['../classtvm_1_1te_1_1ComputeOp.html',1,'tvm::te::ComputeOp']]],
-  ['computeopnode_846',['ComputeOpNode',['../classtvm_1_1te_1_1ComputeOpNode.html#a45e42c63bd2ba62b6f85b9b79e0b9361',1,'tvm::te::ComputeOpNode::ComputeOpNode()'],['../classtvm_1_1te_1_1ComputeOpNode.html',1,'tvm::te::ComputeOpNode']]],
-  ['computerootstep_847',['ComputeRootStep',['../classtvm_1_1auto__scheduler_1_1ComputeRootStep.html#a26f5d934c644d211cd5bb51c5abb8734',1,'tvm::auto_scheduler::ComputeRootStep::ComputeRootStep(int stage_id)'],['../classtvm_1_1auto__scheduler_1_1ComputeRootStep.html#ab2e65ee558a69c55141b4319a81cb2cc',1,'tvm::auto_scheduler::ComputeRootStep::ComputeRootStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1ComputeRootStep.html',1,'tvm::auto_scheduler::ComputeRootStep']]],
-  ['computerootstepnode_848',['ComputeRootStepNode',['../classtvm_1_1auto__scheduler_1_1ComputeRootStepNode.html',1,'tvm::auto_scheduler']]],
-  ['concat_849',['Concat',['../classtvm_1_1tir_1_1Shuffle.html#a0a46caa8627735586e7dc67fa9321c59',1,'tvm::tir::Shuffle::Concat()'],['../namespacetvm_1_1runtime.html#a4a8b9d4d20b7993992145f73efa2abf2',1,'tvm::runtime::Concat()']]],
-  ['concatenate_850',['concatenate',['../namespacetvm_1_1topi.html#a6f3c749dadc49aa100626ef85a04a66b',1,'tvm::topi']]],
-  ['concatenateattrs_851',['ConcatenateAttrs',['../structtvm_1_1relay_1_1ConcatenateAttrs.html',1,'tvm::relay']]],
-  ['concrete_852',['Concrete',['../classtvm_1_1tir_1_1Schedule.html#a0f08114073a74fd35a8271ea09ab1f11',1,'tvm::tir::Schedule']]],
-  ['concrete_853',['concrete',['../classtvm_1_1auto__scheduler_1_1StateNode.html#ac8388ad8d9891dd91da8a029a094de8a',1,'tvm::auto_scheduler::StateNode']]],
-  ['cond_854',['cond',['../classtvm_1_1relay_1_1IfNode.html#a8cd1fc508da901b65c306cb4e76b0643',1,'tvm::relay::IfNode::cond()'],['../classtvm_1_1relay_1_1IfPatternNode.html#aad4b5226255a8abb538fd54cd7f20544',1,'tvm::relay::IfPatternNode::cond()']]],
-  ['condition_855',['condition',['../classtvm_1_1tir_1_1WhileNode.html#ab45e80473cef0deccbc18328e62b6a37',1,'tvm::tir::WhileNode::condition()'],['../classtvm_1_1tir_1_1IfThenElseNode.html#a635ac3bf9c574d2022d58bc305d36758',1,'tvm::tir::IfThenElseNode::condition()'],['../classtvm_1_1tir_1_1AllocateNode.html#a6b855ad51d1fcb4e21e9afe657f77ba5',1,'tvm::tir::AllocateNode::condition()'],['../classtvm_1_1tir_1_1BufferRealizeNode.html#a8ab45a20cd77a3c80187355aa211a9b9',1,'tvm::tir::BufferRealize [...]
-  ['config_856',['config',['../classtvm_1_1transform_1_1PassContextNode.html#af4eebff5de09bcf7db4506b3031b17db',1,'tvm::transform::PassContextNode::config()'],['../classtvm_1_1TargetTagNode.html#ad7dd856b0a404f1ee053ee3d0c25a8a3',1,'tvm::TargetTagNode::config()']]],
-  ['configuration_857',['configuration',['../classtvm_1_1runtime_1_1profiling_1_1ReportNode.html#a03216cd54383d55f809d2c1e6b6ac726',1,'tvm::runtime::profiling::ReportNode']]],
-  ['configure_858',['Configure',['../classtvm_1_1runtime_1_1threading_1_1ThreadGroup.html#a9b4df7c102afd3182051440481c6a2be',1,'tvm::runtime::threading::ThreadGroup::Configure()'],['../namespacetvm_1_1runtime_1_1threading.html#a05b973a90c88eab6de2131f436861eaf',1,'tvm::runtime::threading::Configure()']]],
-  ['conflicts_859',['conflicts',['../structtvm_1_1tir_1_1usmp_1_1BufferInfoNode.html#a6fee33f30028a2358ccd7a62f1ba4cb3',1,'tvm::tir::usmp::BufferInfoNode']]],
-  ['const_5fdevice_5findexes_860',['const_device_indexes',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a46b765fff87620da472fa15caf80e1d5',1,'tvm::runtime::vm::Executable']]],
-  ['const_5ffalse_861',['const_false',['../namespacetvm_1_1tir.html#a875c28f423ab96ae8f0d21f1263abe14',1,'tvm::tir']]],
-  ['const_5findex_862',['const_index',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a950d212c6493a47bfcbf368daa974853',1,'tvm::runtime::vm::Instruction']]],
-  ['const_5fint_5fbound_863',['const_int_bound',['../classtvm_1_1arith_1_1Analyzer.html#a435eba3ac3a839d3c53b74acfdc10146',1,'tvm::arith::Analyzer']]],
-  ['const_5fiterator_864',['const_iterator',['../classtvm_1_1runtime_1_1metadata_1_1ArrayAccessor_3_01const_01char_01_5_00_01_1_1tvm_1_1runtime_1_1String_01_4.html#a7068f394277484b87b066cd34979d03f',1,'tvm::runtime::metadata::ArrayAccessor&lt; const char *, ::tvm::runtime::String &gt;::const_iterator()'],['../classtvm_1_1support_1_1Span.html#a3c119690baa0a4da4f4c8d52c6666220',1,'tvm::support::Span::const_iterator()'],['../classtvm_1_1runtime_1_1metadata_1_1ArrayAccessor.html#a3904284b758 [...]
-  ['const_5fpool_5f_865',['const_pool_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a50557c8e0398599341c1a8539c528860',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['const_5fshape_866',['const_shape',['../structtvm_1_1relay_1_1AllocTensorAttrs.html#a3a6a06f96895107cce3ed17d85101cee',1,'tvm::relay::AllocTensorAttrs']]],
-  ['const_5ftrue_867',['const_true',['../namespacetvm_1_1tir.html#a8dd84303a9864b5b366835fa628a7824',1,'tvm::tir']]],
-  ['const_5fw_868',['const_W',['../classtvm_1_1support_1_1Span.html#a7d92ed4962dc8cf00f21baa8d3de5c8f',1,'tvm::support::Span']]],
-  ['constant_869',['Constant',['../classtvm_1_1relay_1_1Constant.html#acb2c9584fe3314ae16eab1670c554746',1,'tvm::relay::Constant::Constant()'],['../classtvm_1_1relay_1_1Constant.html',1,'tvm::relay::Constant']]],
-  ['constant_5finfo_5farray_870',['constant_info_array',['../structtvm_1_1ConstantPoolInfoNode.html#a373ce1fdfbfe98b62f5b8373ee212682',1,'tvm::ConstantPoolInfoNode']]],
-  ['constant_5fpools_871',['constant_pools',['../classtvm_1_1runtime_1_1metadata_1_1MetadataNode.html#a9f73424531616f0500209ccf35676db0',1,'tvm::runtime::metadata::MetadataNode::constant_pools()'],['../structTVMMetadata.html#a20dd9ef40a225176205ca09963677d7c',1,'TVMMetadata::constant_pools()']]],
-  ['constant_5futils_2eh_872',['constant_utils.h',['../constant__utils_8h.html',1,'']]],
-  ['constantallocationsize_873',['ConstantAllocationSize',['../classtvm_1_1tir_1_1AllocateConstNode.html#a3abf125e0a61e818a152e273ed8a63db',1,'tvm::tir::AllocateConstNode::ConstantAllocationSize(const Array&lt; PrimExpr &gt; &amp;extents)'],['../classtvm_1_1tir_1_1AllocateConstNode.html#ad540975cdb5def27f3aa3564b2f427db',1,'tvm::tir::AllocateConstNode::ConstantAllocationSize() const'],['../classtvm_1_1tir_1_1AllocateNode.html#a395d7a1e6dc5e461259c3a107b950c6e',1,'tvm::tir::AllocateNode:: [...]
-  ['constantcheck_874',['ConstantCheck',['../namespacetvm_1_1relay.html#abdade48285a63efffe743e73e41d3b35',1,'tvm::relay']]],
-  ['constantinfo_875',['ConstantInfo',['../classtvm_1_1ConstantInfo.html#aefce632d230aa4ef80e762eeeeea77a7',1,'tvm::ConstantInfo::ConstantInfo(String name, Integer byte_offset, runtime::NDArray data)'],['../classtvm_1_1ConstantInfo.html#ad16639db7fedf1dfacc0eadb75ac0bb1',1,'tvm::ConstantInfo::ConstantInfo(const struct ::TVMConstantInfo *data)'],['../classtvm_1_1ConstantInfo.html',1,'tvm::ConstantInfo']]],
-  ['constantinfometadata_876',['ConstantInfoMetadata',['../classtvm_1_1runtime_1_1metadata_1_1ConstantInfoMetadata.html#a5ecad1efb7d2f1abbd56ae9d0c0d83a3',1,'tvm::runtime::metadata::ConstantInfoMetadata::ConstantInfoMetadata()'],['../classtvm_1_1runtime_1_1metadata_1_1ConstantInfoMetadata.html',1,'tvm::runtime::metadata::ConstantInfoMetadata']]],
-  ['constantinfometadatanode_877',['ConstantInfoMetadataNode',['../classtvm_1_1runtime_1_1metadata_1_1ConstantInfoMetadataNode.html#a84484934d86dda6c36737622975f2c4e',1,'tvm::runtime::metadata::ConstantInfoMetadataNode::ConstantInfoMetadataNode()'],['../classtvm_1_1runtime_1_1metadata_1_1ConstantInfoMetadataNode.html',1,'tvm::runtime::metadata::ConstantInfoMetadataNode']]],
-  ['constantinfonode_878',['ConstantInfoNode',['../structtvm_1_1ConstantInfoNode.html',1,'tvm']]],
-  ['constantmemorypools_879',['ConstantMemoryPools',['../classtvm_1_1ConstantMemoryPools.html#a3033fe71bb3354900877583f3e1ab870',1,'tvm::ConstantMemoryPools::ConstantMemoryPools()'],['../classtvm_1_1ConstantMemoryPools.html',1,'tvm::ConstantMemoryPools']]],
-  ['constantmemorypoolsnode_880',['ConstantMemoryPoolsNode',['../structtvm_1_1ConstantMemoryPoolsNode.html',1,'tvm']]],
-  ['constantnode_881',['ConstantNode',['../classtvm_1_1relay_1_1ConstantNode.html',1,'tvm::relay']]],
-  ['constantpattern_882',['ConstantPattern',['../classtvm_1_1relay_1_1ConstantPattern.html',1,'tvm::relay']]],
-  ['constantpatternnode_883',['ConstantPatternNode',['../classtvm_1_1relay_1_1ConstantPatternNode.html',1,'tvm::relay']]],
-  ['constantpoolinfo_884',['ConstantPoolInfo',['../classtvm_1_1ConstantPoolInfo.html#ae9533adaafd59250b2676d9aeea7b4c2',1,'tvm::ConstantPoolInfo::ConstantPoolInfo()'],['../classtvm_1_1ConstantPoolInfo.html',1,'tvm::ConstantPoolInfo']]],
-  ['constantpoolinfonode_885',['ConstantPoolInfoNode',['../structtvm_1_1ConstantPoolInfoNode.html',1,'tvm']]],
-  ['constants_886',['constants',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a64a56d507939eee688f485057a2c7d2e',1,'tvm::runtime::vm::Executable']]],
-  ['constintbound_887',['ConstIntBound',['../classtvm_1_1arith_1_1ConstIntBound.html#aefb417203cf5c5996d7ed664051aaba3',1,'tvm::arith::ConstIntBound::ConstIntBound()'],['../classtvm_1_1arith_1_1ConstIntBound.html',1,'tvm::arith::ConstIntBound']]],
-  ['constintboundanalyzer_888',['ConstIntBoundAnalyzer',['../classtvm_1_1arith_1_1ConstIntBoundAnalyzer.html',1,'tvm::arith']]],
-  ['constintboundnode_889',['ConstIntBoundNode',['../classtvm_1_1arith_1_1ConstIntBoundNode.html',1,'tvm::arith']]],
-  ['constrain_5fbody_890',['constrain_body',['../structtvm_1_1relay_1_1OnDeviceAttrs.html#ad80798c111893bb5904bda3b4f70645d',1,'tvm::relay::OnDeviceAttrs']]],
-  ['constrain_5fresult_891',['constrain_result',['../structtvm_1_1relay_1_1OnDeviceAttrs.html#a219e14dc39b3d3d302e6b3d8b59167e1',1,'tvm::relay::OnDeviceAttrs']]],
-  ['constraintcontext_892',['ConstraintContext',['../classtvm_1_1arith_1_1TransitiveComparisonAnalyzer.html#ab8bf22547cf1df0a28fc4ee98841ab89',1,'tvm::arith::TransitiveComparisonAnalyzer::ConstraintContext()'],['../classtvm_1_1arith_1_1CanonicalSimplifier.html#ab8bf22547cf1df0a28fc4ee98841ab89',1,'tvm::arith::CanonicalSimplifier::ConstraintContext()'],['../classtvm_1_1arith_1_1ConstIntBoundAnalyzer.html#ab8bf22547cf1df0a28fc4ee98841ab89',1,'tvm::arith::ConstIntBoundAnalyzer::ConstraintCo [...]
-  ['constructor_893',['Constructor',['../namespacetvm_1_1relay.html#aec85bf097deda59bd22e88475c9165e9',1,'tvm::relay::Constructor()'],['../classtvm_1_1Constructor.html#a7047c4d252f83e7c0452a5abd6173084',1,'tvm::Constructor::Constructor()']]],
-  ['constructor_894',['constructor',['../classtvm_1_1relay_1_1PatternConstructorNode.html#a4ad38292de4758a711a01982ebf78f54',1,'tvm::relay::PatternConstructorNode::constructor()'],['../structtvm_1_1relay_1_1ConstructorValueObj.html#a8a8374bec3445e7225ec5ee3fcdb5b6e',1,'tvm::relay::ConstructorValueObj::constructor()']]],
-  ['constructor_895',['Constructor',['../classtvm_1_1Constructor.html',1,'tvm']]],
-  ['constructor_5ftag_896',['constructor_tag',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a7349fa2a2e70149d4af08289c539150f',1,'tvm::runtime::vm::Instruction']]],
-  ['constructor_5ftag_5fmap_5f_897',['constructor_tag_map_',['../classtvm_1_1IRModuleNode.html#a8bc4d7da196a212e7181a0f9afecd1c9',1,'tvm::IRModuleNode']]],
-  ['constructornode_898',['ConstructorNode',['../namespacetvm_1_1relay.html#a6b95f70a9b44cc1c96593201594d1345',1,'tvm::relay::ConstructorNode()'],['../classtvm_1_1ConstructorNode.html#ab53a12f5a1ab1d262c49c16d189fe27c',1,'tvm::ConstructorNode::ConstructorNode()'],['../classtvm_1_1ConstructorNode.html',1,'tvm::ConstructorNode']]],
-  ['constructors_899',['constructors',['../classtvm_1_1TypeDataNode.html#a4b8e6e09856e514b91586223d48fd117',1,'tvm::TypeDataNode']]],
-  ['constructorvalue_900',['ConstructorValue',['../classtvm_1_1relay_1_1ConstructorValue.html#aff30abb56a77b9964a4bb851b2e2721d',1,'tvm::relay::ConstructorValue::ConstructorValue()'],['../classtvm_1_1relay_1_1ConstructorValue.html',1,'tvm::relay::ConstructorValue']]],
-  ['constructorvalueobj_901',['ConstructorValueObj',['../structtvm_1_1relay_1_1ConstructorValueObj.html',1,'tvm::relay']]],
-  ['contain_902',['Contain',['../classtvm_1_1te_1_1ScheduleNode.html#a3e2f672b21e76d981064cc4fce65492f',1,'tvm::te::ScheduleNode::Contain(const Operation &amp;op) const'],['../classtvm_1_1te_1_1ScheduleNode.html#a4b341a8157026604f5c8831318b75f09',1,'tvm::te::ScheduleNode::Contain(const Tensor &amp;tensor) const']]],
-  ['container_903',['Container',['../classtvm_1_1runtime_1_1NDArray_1_1Container.html#a39b39ce5a2a658b44944381f1835404a',1,'tvm::runtime::NDArray::Container::Container()'],['../classtvm_1_1runtime_1_1NDArray_1_1Container.html#a5f3c42a1a5d71d914d3ca326553e4f79',1,'tvm::runtime::NDArray::Container::Container(void *data, ShapeTuple shape, DLDataType dtype, Device dev)'],['../classtvm_1_1runtime_1_1NDArray_1_1Container.html',1,'tvm::runtime::NDArray::Container']]],
-  ['containerbase_904',['ContainerBase',['../classtvm_1_1runtime_1_1NDArray_1_1ContainerBase.html',1,'tvm::runtime::NDArray']]],
-  ['containertype_905',['ContainerType',['../classtvm_1_1runtime_1_1ObjectRef.html#aa5c355fbb7d2f7402ee360dba8a52cdd',1,'tvm::runtime::ObjectRef::ContainerType()'],['../classtvm_1_1tir_1_1Var.html#a4b52ee26bf893b70e12087eb5700da6a',1,'tvm::tir::Var::ContainerType()'],['../classtvm_1_1auto__scheduler_1_1RandomModel.html#a8064f732b04dc3af712b4381b7bb386f',1,'tvm::auto_scheduler::RandomModel::ContainerType()'],['../classtvm_1_1EnvFunc.html#aa40f838af3c55fe6ca8ecf2a256c8550',1,'tvm::EnvFunc: [...]
-  ['containglobaltypevar_906',['ContainGlobalTypeVar',['../classtvm_1_1IRModuleNode.html#a0d057636380f1d0f72c83eeef5881dd4',1,'tvm::IRModuleNode']]],
-  ['containglobalvar_907',['ContainGlobalVar',['../classtvm_1_1IRModuleNode.html#ae211092cf3706d0d04aeac33e6643b6c',1,'tvm::IRModuleNode']]],
-  ['contains_908',['Contains',['../classtvm_1_1tir_1_1Layout.html#aa6326f16729fe950b537243e41a57aee',1,'tvm::tir::Layout']]],
-  ['containsname_909',['ContainsName',['../classtvm_1_1NameSupplyNode.html#ae21fb020cdff3d596f82cda382e08c02',1,'tvm::NameSupplyNode']]],
-  ['containsnode_910',['ContainsNode',['../namespacetvm_1_1tir.html#a2e86a6db6acc68d381d49df3e665415a',1,'tvm::tir']]],
-  ['continuesearchoneround_911',['ContinueSearchOneRound',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#a51222150cbfe753ddbf69da8ddc998e8',1,'tvm::auto_scheduler::SearchPolicyNode']]],
-  ['conv1dattrs_912',['Conv1DAttrs',['../structtvm_1_1relay_1_1Conv1DAttrs.html',1,'tvm::relay']]],
-  ['conv1dtransposeattrs_913',['Conv1DTransposeAttrs',['../structtvm_1_1relay_1_1Conv1DTransposeAttrs.html',1,'tvm::relay']]],
-  ['conv2d_5fhwcn_914',['conv2d_hwcn',['../namespacetvm_1_1topi.html#add4fcf426adc8dc763147fe931cd75db',1,'tvm::topi']]],
-  ['conv2d_5fnchw_915',['conv2d_nchw',['../namespacetvm_1_1topi.html#ab9c51c7fef04ab3ef134ce03aee3ce60',1,'tvm::topi']]],
-  ['conv2dattrs_916',['Conv2DAttrs',['../structtvm_1_1relay_1_1Conv2DAttrs.html',1,'tvm::relay']]],
-  ['conv2dtransposeattrs_917',['Conv2DTransposeAttrs',['../structtvm_1_1relay_1_1Conv2DTransposeAttrs.html',1,'tvm::relay']]],
-  ['conv2dwinogradattrs_918',['Conv2DWinogradAttrs',['../structtvm_1_1relay_1_1Conv2DWinogradAttrs.html',1,'tvm::relay']]],
-  ['conv2dwinogradnnpackweighttransformattrs_919',['Conv2DWinogradNNPACKWeightTransformAttrs',['../structtvm_1_1relay_1_1Conv2DWinogradNNPACKWeightTransformAttrs.html',1,'tvm::relay']]],
-  ['conv3dattrs_920',['Conv3DAttrs',['../structtvm_1_1relay_1_1Conv3DAttrs.html',1,'tvm::relay']]],
-  ['conv3dtransposeattrs_921',['Conv3DTransposeAttrs',['../structtvm_1_1relay_1_1Conv3DTransposeAttrs.html',1,'tvm::relay']]],
-  ['conv3dwinogradattrs_922',['Conv3DWinogradAttrs',['../structtvm_1_1relay_1_1Conv3DWinogradAttrs.html',1,'tvm::relay']]],
-  ['convert_923',['convert',['../structtvm_1_1runtime_1_1Array_1_1ValueConverter.html#a4bb65df089f7cafd324faa1cc6175403',1,'tvm::runtime::Array::ValueConverter']]],
-  ['convertblockstoopaque_924',['ConvertBlocksToOpaque',['../namespacetvm_1_1tir_1_1transform.html#ae14f476f006bd24ef62f7c170aded18d',1,'tvm::tir::transform']]],
-  ['convertdommap_925',['ConvertDomMap',['../namespacetvm_1_1arith.html#a32c10274dba2e8367f902ad4bb0e152a',1,'tvm::arith']]],
-  ['convertforloopstoserial_926',['ConvertForLoopsToSerial',['../namespacetvm_1_1tir_1_1transform.html#a106e04ba8c560e24a4b6c8c414184ec4',1,'tvm::tir::transform']]],
-  ['convertlayout_927',['ConvertLayout',['../namespacetvm_1_1relay_1_1transform.html#a40b49e82dd73b05bfac14040ee2fbdee',1,'tvm::relay::transform']]],
-  ['convertpoolallocationstooffsets_928',['ConvertPoolAllocationsToOffsets',['../namespacetvm_1_1tir_1_1usmp_1_1transform.html#a464c07ca7cea628a49aa8c90fa6359ef',1,'tvm::tir::usmp::transform']]],
-  ['convertssa_929',['ConvertSSA',['../namespacetvm_1_1tir_1_1transform.html#a78c4595056a7a397a88cce0d06975409',1,'tvm::tir::transform']]],
-  ['converttoarrayofbufferinfo_930',['ConvertToArrayOfBufferInfo',['../namespacetvm_1_1tir_1_1usmp.html#a21ae43cbdb5b3d51a069db62f3ae9227',1,'tvm::tir::usmp']]],
-  ['convgemmweighttransformattrs_931',['ConvGemmWeightTransformAttrs',['../structtvm_1_1relay_1_1ConvGemmWeightTransformAttrs.html',1,'tvm::relay']]],
-  ['convolution_5falgorithm_932',['convolution_algorithm',['../structtvm_1_1relay_1_1Conv2DWinogradNNPACKWeightTransformAttrs.html#a77b19e3aa880cd4476b261523aa6e9de',1,'tvm::relay::Conv2DWinogradNNPACKWeightTransformAttrs']]],
-  ['convwinogradweighttransformattrs_933',['ConvWinogradWeightTransformAttrs',['../structtvm_1_1relay_1_1ConvWinogradWeightTransformAttrs.html',1,'tvm::relay']]],
-  ['cooldown_5finterval_934',['cooldown_interval',['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html#a50c477ae09d4dd362bd8c71c0c1c7893',1,'tvm::auto_scheduler::ProgramRunnerNode']]],
-  ['coord_5fstart_935',['coord_start',['../structtvm_1_1relay_1_1NonMaximumSuppressionAttrs.html#a19d7377e75dd9b0db212a04a53155427',1,'tvm::relay::NonMaximumSuppressionAttrs']]],
-  ['coordinate_5ftransformation_5fmode_936',['coordinate_transformation_mode',['../structtvm_1_1relay_1_1Resize1DAttrs.html#a5db747680501dcc718f7b9a2198594cb',1,'tvm::relay::Resize1DAttrs::coordinate_transformation_mode()'],['../structtvm_1_1relay_1_1Resize2DAttrs.html#af8d786bcce6dd497c7c01e745f347939',1,'tvm::relay::Resize2DAttrs::coordinate_transformation_mode()'],['../structtvm_1_1relay_1_1Resize3DAttrs.html#a8a3907ec118c7be7fca24acf97b02786',1,'tvm::relay::Resize3DAttrs::coordinate_ [...]
-  ['coproc_5fscope_937',['coproc_scope',['../namespacetvm_1_1tir_1_1attr.html#ac62a341bfebe5448f290aa54b0f84cac',1,'tvm::tir::attr']]],
-  ['coproc_5fuop_5fscope_938',['coproc_uop_scope',['../namespacetvm_1_1tir_1_1attr.html#ae1d3379e8d6486e79853e254ea9a724e',1,'tvm::tir::attr']]],
-  ['coprocsync_939',['CoProcSync',['../namespacetvm_1_1tir_1_1transform.html#aa234deedbe456bf561a1b90f2ed94206',1,'tvm::tir::transform']]],
-  ['copy_940',['copy',['../classtvm_1_1te_1_1Schedule.html#aa4f7b3b60661fb56dd80892260d31189',1,'tvm::te::Schedule::copy()'],['../classtvm_1_1auto__scheduler_1_1MeasureResultNode.html#a78e416eabbaaf1d394b4e9f5c32703bf',1,'tvm::auto_scheduler::MeasureResultNode::copy()'],['../classtvm_1_1auto__scheduler_1_1MeasureInputNode.html#aa95a871b051e15e079038c51c83e35c2',1,'tvm::auto_scheduler::MeasureInputNode::copy()']]],
-  ['copy_941',['Copy',['../classtvm_1_1tir_1_1ScheduleNode.html#a70fbececf8717a961436a36ccc79c1d5',1,'tvm::tir::ScheduleNode']]],
+  ['c_5fbackend_5fapi_2eh_674',['c_backend_api.h',['../c__backend__api_8h.html',1,'']]],
+  ['c_5fruntime_5fapi_2eh_675',['c_runtime_api.h',['../c__runtime__api_8h.html',1,'']]],
+  ['c_5fstr_676',['c_str',['../classtvm_1_1runtime_1_1String.html#ac785c91cb744c18f3ef21fab098d02b2',1,'tvm::runtime::String']]],
+  ['cache_5fline_5fbytes_677',['cache_line_bytes',['../classtvm_1_1auto__scheduler_1_1HardwareParamsNode.html#a3ed41e95549c177c0e3cbafefc0f3959',1,'tvm::auto_scheduler::HardwareParamsNode']]],
+  ['cache_5fread_678',['cache_read',['../classtvm_1_1auto__scheduler_1_1State.html#a4ff71b692f0eabfabf515ed91b59a116',1,'tvm::auto_scheduler::State::cache_read()'],['../classtvm_1_1te_1_1Schedule.html#a38ef95a62faf0c15f132847efa20249b',1,'tvm::te::Schedule::cache_read()']]],
+  ['cache_5fwrite_679',['cache_write',['../classtvm_1_1auto__scheduler_1_1State.html#a385adc36d7cb242e8204fe14c4df8335',1,'tvm::auto_scheduler::State::cache_write()'],['../classtvm_1_1te_1_1Schedule.html#ada9825f59ef130a0ab0b3a01ea348d71',1,'tvm::te::Schedule::cache_write(const Array&lt; Tensor &gt; &amp;tensor, const std::string &amp;scope)'],['../classtvm_1_1te_1_1Schedule.html#a15582f96d0aaf9a2bd9f2afcad3935d4',1,'tvm::te::Schedule::cache_write(const Tensor &amp;tensor, const std::str [...]
+  ['cacheindex_680',['CacheIndex',['../classtvm_1_1tir_1_1ScheduleNode.html#a22353e0eac6afe97fb0f0b2da9ab4da1',1,'tvm::tir::ScheduleNode']]],
+  ['cacheinplace_681',['CacheInplace',['../classtvm_1_1tir_1_1ScheduleNode.html#abefe28d441bad8fc4b276e1bb35f9818',1,'tvm::tir::ScheduleNode']]],
+  ['cacheread_682',['CacheRead',['../classtvm_1_1tir_1_1ScheduleNode.html#a55bf333c162865fa4d18eb20ecf9a9a7',1,'tvm::tir::ScheduleNode']]],
+  ['cachereadstep_683',['CacheReadStep',['../classtvm_1_1auto__scheduler_1_1CacheReadStep.html#a5e0dd0b6d5f746e96e4fec058edc98dc',1,'tvm::auto_scheduler::CacheReadStep::CacheReadStep(int stage_id, String scope_name, const Array&lt; Integer &gt; &amp;reader_stage_ids)'],['../classtvm_1_1auto__scheduler_1_1CacheReadStep.html#a6bc3dd6558c83d1d74cc7163cccbea7f',1,'tvm::auto_scheduler::CacheReadStep::CacheReadStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1CacheReadStep.h [...]
+  ['cachereadstepnode_684',['CacheReadStepNode',['../classtvm_1_1auto__scheduler_1_1CacheReadStepNode.html',1,'tvm::auto_scheduler']]],
+  ['cachewrite_685',['CacheWrite',['../classtvm_1_1tir_1_1ScheduleNode.html#a9f43b2ddd6e3b3bab64671345ecba72d',1,'tvm::tir::ScheduleNode']]],
+  ['cachewritestep_686',['CacheWriteStep',['../classtvm_1_1auto__scheduler_1_1CacheWriteStep.html#a371ff5005c7312db88088f35f11dabcb',1,'tvm::auto_scheduler::CacheWriteStep::CacheWriteStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1CacheWriteStep.html#ad2c24762f35f7f9ebe85d7c03cba1c8e',1,'tvm::auto_scheduler::CacheWriteStep::CacheWriteStep(int stage_id, String scope_name)'],['../classtvm_1_1auto__scheduler_1_1CacheWriteStep.html',1,'tvm::auto_scheduler::CacheWriteStep']]],
+  ['cachewritestepnode_687',['CacheWriteStepNode',['../classtvm_1_1auto__scheduler_1_1CacheWriteStepNode.html',1,'tvm::auto_scheduler']]],
+  ['calccapacityimpl_688',['CalcCapacityImpl',['../classtvm_1_1runtime_1_1Array.html#a9c7976c159330719285dbc5f0de07bd9',1,'tvm::runtime::Array::CalcCapacityImpl(T value, Args... args)'],['../classtvm_1_1runtime_1_1Array.html#af82bc60b2c258ad05586bea49de7dd42',1,'tvm::runtime::Array::CalcCapacityImpl(Array&lt; T &gt; value, Args... args)'],['../classtvm_1_1runtime_1_1Array.html#ad8746b19be1b83fe867869a597e6d479',1,'tvm::runtime::Array::CalcCapacityImpl()']]],
+  ['calculateallocatedbytes_689',['CalculateAllocatedBytes',['../namespacetvm_1_1tir.html#a2f0f177b771c1c6c8a4097f540ed903c',1,'tvm::tir::CalculateAllocatedBytes(const PrimFunc &amp;func)'],['../namespacetvm_1_1tir.html#a85941ea6fbd2fa8f4ab58ddd9b0c27f8',1,'tvm::tir::CalculateAllocatedBytes(const IRModule &amp;mod)']]],
+  ['calculateconstantbytes_690',['CalculateConstantBytes',['../namespacetvm_1_1tir.html#a7314714e14035c9b1096737920c689ee',1,'tvm::tir']]],
+  ['calculateexprcomplexity_691',['CalculateExprComplexity',['../namespacetvm_1_1tir.html#accfaeb3b1ce8601eab8d9b575d66f025',1,'tvm::tir']]],
+  ['calculateextentssize_692',['CalculateExtentsSize',['../namespacetvm_1_1tir_1_1usmp.html#a1529c901d8116a3ff0331a38b8e0e076',1,'tvm::tir::usmp::CalculateExtentsSize(const AllocateConstNode *op)'],['../namespacetvm_1_1tir_1_1usmp.html#ad2424e3662cdcad9a18b496ba42ca10d',1,'tvm::tir::usmp::CalculateExtentsSize(const AllocateNode *op)']]],
+  ['calculatemoduleworkspacesize_693',['CalculateModuleWorkspaceSize',['../namespacetvm_1_1tir_1_1usmp.html#a40a26630428319adf281826355d3e56f',1,'tvm::tir::usmp']]],
+  ['calculateworkspacebytes_694',['CalculateWorkspaceBytes',['../namespacetvm_1_1tir.html#a24f9f5bc52105a2a38a7a97390c55f18',1,'tvm::tir']]],
+  ['call_695',['Call',['../classtvm_1_1tir_1_1Call.html#ad161ddeb7faba88cdaa13d25eb4d6cec',1,'tvm::tir::Call::Call()'],['../structTVMPackedFunc.html#a8d30f9231108fec5e01b06f1c49d0681',1,'TVMPackedFunc::Call()'],['../classtvm_1_1script_1_1printer_1_1ExprDocNode.html#ace78e133cc50ed4cbcc8326d52f0eaa4',1,'tvm::script::printer::ExprDocNode::Call(Array&lt; ExprDoc, void &gt; args, Array&lt; String &gt; kwargs_keys, Array&lt; ExprDoc, void &gt; kwargs_values) const'],['../classtvm_1_1script_1_ [...]
+  ['call_2eh_696',['call.h',['../call_8h.html',1,'']]],
+  ['call_5fextern_697',['call_extern',['../namespacetvm_1_1tir_1_1builtin.html#a668eaad07b6c46238f2bf758e61b58a5',1,'tvm::tir::builtin']]],
+  ['call_5fllvm_5fintrin_698',['call_llvm_intrin',['../namespacetvm_1_1tir_1_1builtin.html#a83892dca19e44a96752625c65c38d645',1,'tvm::tir::builtin']]],
+  ['call_5fllvm_5fpure_5fintrin_699',['call_llvm_pure_intrin',['../namespacetvm_1_1tir_1_1builtin.html#a8e3504415c78f3f8fd719a21e5280b38',1,'tvm::tir::builtin']]],
+  ['call_5fpure_5fextern_700',['call_pure_extern',['../namespacetvm_1_1tir_1_1builtin.html#ae2add6e324d391782d367360a68ccf51',1,'tvm::tir::builtin']]],
+  ['call_5fspirv_5fpure_5fglsl450_701',['call_spirv_pure_glsl450',['../namespacetvm_1_1tir_1_1builtin.html#ac4887bd93ad67619ad290a33e2bdd340',1,'tvm::tir::builtin']]],
+  ['callable_5f_702',['callable_',['../classtvm_1_1runtime_1_1PackedFuncSubObj.html#a969aa766a25d7e9091e08b3a8e1ea974',1,'tvm::runtime::PackedFuncSubObj']]],
+  ['callback_703',['Callback',['../classtvm_1_1auto__scheduler_1_1PythonBasedMeasureCallbackNode.html#a3d0d944ee872d688fe5183a648543a3e',1,'tvm::auto_scheduler::PythonBasedMeasureCallbackNode::Callback()'],['../classtvm_1_1auto__scheduler_1_1PreloadMeasuredStatesNode.html#a05fb2bd8d20c77efd2c29379766f6422',1,'tvm::auto_scheduler::PreloadMeasuredStatesNode::Callback()'],['../classtvm_1_1auto__scheduler_1_1SearchCallbackNode.html#a5cf3b19490db48b070c0a30bde2148a8',1,'tvm::auto_scheduler::S [...]
+  ['callback_5ffunc_704',['callback_func',['../classtvm_1_1auto__scheduler_1_1PythonBasedMeasureCallbackNode.html#ad5ca2368cd2735e14aa30921cc28716c',1,'tvm::auto_scheduler::PythonBasedMeasureCallbackNode']]],
+  ['callbacks_705',['callbacks',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a9454dd321ce373cb2434f10d392db345',1,'tvm::auto_scheduler::ProgramMeasurerNode::callbacks()'],['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderFrameNode.html#aa21f554fdcf6ab78c55cb54cdc69c0c2',1,'tvm::script::ir_builder::IRBuilderFrameNode::callbacks()'],['../classtvm_1_1script_1_1printer_1_1FrameNode.html#ab7cd0d3ddf5eb95aa781590907f5aa21',1,'tvm::script::printer::FrameNode::callbacks()']]],
+  ['calldoc_706',['CallDoc',['../classtvm_1_1script_1_1printer_1_1CallDoc.html#a8bebc54ecdc7c2c7cbf4b8bb6dc902c0',1,'tvm::script::printer::CallDoc::CallDoc()'],['../classtvm_1_1script_1_1printer_1_1CallDoc.html',1,'tvm::script::printer::CallDoc']]],
+  ['calldocnode_707',['CallDocNode',['../classtvm_1_1script_1_1printer_1_1CallDocNode.html',1,'tvm::script::printer']]],
+  ['callee_708',['callee',['../classtvm_1_1script_1_1printer_1_1CallDocNode.html#afd99b131a9accf85284b528203e71413',1,'tvm::script::printer::CallDocNode']]],
+  ['calleffectkind_709',['CallEffectKind',['../namespacetvm_1_1tir.html#a8f4a86b205145696c0555fd02bd37f46',1,'tvm::tir']]],
+  ['caller_5freturn_5fregister_710',['caller_return_register',['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html#a2f6c425a89ee6872ead9bc71ab87fdd1',1,'tvm::runtime::vm::VMFrame']]],
+  ['callframe_711',['CallFrame',['../structtvm_1_1runtime_1_1profiling_1_1CallFrame.html',1,'tvm::runtime::profiling']]],
+  ['callingconv_712',['CallingConv',['../namespacetvm.html#a85e4536ea4e1c8d3d48c61135f0a8ff0',1,'tvm']]],
+  ['callloweredattrs_713',['CallLoweredAttrs',['../structtvm_1_1relay_1_1CallLoweredAttrs.html',1,'tvm::relay']]],
+  ['callnode_714',['CallNode',['../classtvm_1_1relay_1_1CallNode.html',1,'tvm::relay::CallNode'],['../classtvm_1_1tir_1_1CallNode.html',1,'tvm::tir::CallNode']]],
+  ['callpacked_715',['CallPacked',['../classtvm_1_1runtime_1_1PackedFuncObj.html#ae5f18a03762f4e3e347b624140774855',1,'tvm::runtime::PackedFuncObj::CallPacked()'],['../classtvm_1_1GenericFunc.html#a4d3816fb9dddb92e7e9f89bfbb70352a',1,'tvm::GenericFunc::CallPacked()'],['../classtvm_1_1runtime_1_1PackedFunc.html#a9b39e8a75956bc889745ded9131b9c17',1,'tvm::runtime::PackedFunc::CallPacked()']]],
+  ['callpattern_716',['CallPattern',['../classtvm_1_1relay_1_1CallPattern.html#afb3037d0393989a1952ef92b126654d6',1,'tvm::relay::CallPattern::CallPattern()'],['../classtvm_1_1relay_1_1CallPattern.html',1,'tvm::relay::CallPattern']]],
+  ['callpatternnode_717',['CallPatternNode',['../classtvm_1_1relay_1_1CallPatternNode.html',1,'tvm::relay']]],
+  ['calls_718',['calls',['../classtvm_1_1runtime_1_1profiling_1_1ReportNode.html#a260723c8cfdf288106cc7195da2b30a0',1,'tvm::runtime::profiling::ReportNode']]],
+  ['can_5fdispatch_719',['can_dispatch',['../classtvm_1_1NodeFunctor_3_01R_07const_01ObjectRef_01_6n_00_01Args_8_8_8_08_4.html#aa60aa2dc83ba65dd430d4df41034362b',1,'tvm::NodeFunctor&lt; R(const ObjectRef &amp;n, Args...)&gt;']]],
+  ['canconvertfrom_720',['CanConvertFrom',['../classtvm_1_1runtime_1_1String.html#a2ee7733b1c8092383ffab8c67bf8cb20',1,'tvm::runtime::String']]],
+  ['candispatch_721',['CanDispatch',['../classtvm_1_1ReprLegacyPrinter.html#a235fd606e078defba830d9dab6442239',1,'tvm::ReprLegacyPrinter']]],
+  ['canonical_5fsimplify_722',['canonical_simplify',['../classtvm_1_1arith_1_1Analyzer.html#a6cdf29adeceaa20b8c3dd7c26b92cd00',1,'tvm::arith::Analyzer']]],
+  ['canonicalizecast_723',['CanonicalizeCast',['../namespacetvm_1_1relay_1_1transform.html#a93bbf7ab3f612d4f38a6832d6b53b4fd',1,'tvm::relay::transform']]],
+  ['canonicalizeops_724',['CanonicalizeOps',['../namespacetvm_1_1relay_1_1transform.html#adcddf150ca7da40e20408928421b0086',1,'tvm::relay::transform']]],
+  ['canonicalsimplifier_725',['CanonicalSimplifier',['../classtvm_1_1arith_1_1RewriteSimplifier.html#abd092d074e3063da6d7bb0c67115a33f',1,'tvm::arith::RewriteSimplifier::CanonicalSimplifier()'],['../classtvm_1_1arith_1_1CanonicalSimplifier.html',1,'tvm::arith::CanonicalSimplifier']]],
+  ['canonicaltarget_726',['CanonicalTarget',['../classtvm_1_1CompilationConfigNode.html#a3b2bc6e1481c8fd7dce9d66652993955',1,'tvm::CompilationConfigNode']]],
+  ['canonicalvirtualdevice_727',['CanonicalVirtualDevice',['../classtvm_1_1CompilationConfigNode.html#a91de372f958bbe17e7c170f6abccc2a4',1,'tvm::CompilationConfigNode']]],
+  ['canprove_728',['CanProve',['../classtvm_1_1arith_1_1Analyzer.html#a7d8be689aff9dab648ca21f210d6d9c2',1,'tvm::arith::Analyzer']]],
+  ['canproveequal_729',['CanProveEqual',['../classtvm_1_1arith_1_1Analyzer.html#a5b4350d33e93a9e6bb7f26e4c6569703',1,'tvm::arith::Analyzer']]],
+  ['canprovegreaterequal_730',['CanProveGreaterEqual',['../classtvm_1_1arith_1_1Analyzer.html#a5e97e3abc176f85a5b8e5d0d1cb9f5e1',1,'tvm::arith::Analyzer']]],
+  ['canproveless_731',['CanProveLess',['../classtvm_1_1arith_1_1Analyzer.html#adb7ace66382583595724d79cc1504638',1,'tvm::arith::Analyzer']]],
+  ['canprovelessequalthansymbolicshapevalue_732',['CanProveLessEqualThanSymbolicShapeValue',['../classtvm_1_1arith_1_1Analyzer.html#a4371d28a6b3306bdb4eec6c6a8317e03',1,'tvm::arith::Analyzer']]],
+  ['canprovenegative_733',['CanProveNegative',['../classtvm_1_1arith_1_1IntSet.html#aa1371d4a7c964dc76c3e8f884d8b484b',1,'tvm::arith::IntSet']]],
+  ['canprovenonnegative_734',['CanProveNonNegative',['../classtvm_1_1arith_1_1IntSet.html#a7a70babc7d3e3b8f1ee2850e84baefd7',1,'tvm::arith::IntSet']]],
+  ['canprovenonpositive_735',['CanProveNonPositive',['../classtvm_1_1arith_1_1IntSet.html#a64f8769ce292fe5874f34ef1db0773c0',1,'tvm::arith::IntSet']]],
+  ['canprovepositive_736',['CanProvePositive',['../classtvm_1_1arith_1_1IntSet.html#aada3359d831943ed78a4e52eb39d01f8',1,'tvm::arith::IntSet']]],
+  ['canprovesinglepoint_737',['CanProveSinglePoint',['../classtvm_1_1arith_1_1IntSet.html#a724939db1ac377a19be87bb9cbc2eaf6',1,'tvm::arith::IntSet']]],
+  ['capacity_738',['capacity',['../classtvm_1_1runtime_1_1Array.html#a420e3711ae1cdfc044e4f90b600e76cd',1,'tvm::runtime::Array']]],
+  ['capturepostdfsindexinspans_739',['CapturePostDfsIndexInSpans',['../namespacetvm_1_1relay_1_1transform.html#a0d850ee9bfb41de42cf3a2bb25586528',1,'tvm::relay::transform']]],
+  ['cast_740',['cast',['../namespacetvm.html#a4bfb789a86d95f6241b50fd26f269c28',1,'tvm::cast()'],['../namespacetvm_1_1topi.html#a7da4c96db87c1459a2b097b87afd811f',1,'tvm::topi::cast()']]],
+  ['cast_741',['Cast',['../classtvm_1_1tir_1_1Cast.html#ab4861ae553a79f9c78ed822c52396111',1,'tvm::tir::Cast::Cast()'],['../classtvm_1_1tir_1_1Cast.html',1,'tvm::tir::Cast']]],
+  ['castattrs_742',['CastAttrs',['../structtvm_1_1relay_1_1CastAttrs.html',1,'tvm::relay']]],
+  ['casthintattrs_743',['CastHintAttrs',['../structtvm_1_1relay_1_1CastHintAttrs.html',1,'tvm::relay']]],
+  ['castnode_744',['CastNode',['../classtvm_1_1tir_1_1CastNode.html',1,'tvm::tir']]],
+  ['ceil_745',['ceil',['../namespacetvm_1_1topi.html#a058e1d1fac459ba3694b881f52c1e574',1,'tvm::topi::ceil()'],['../namespacetvm.html#a5e4738caa6bcd0259af64b25e25dfd93',1,'tvm::ceil()']]],
+  ['ceil_5fmode_746',['ceil_mode',['../structtvm_1_1relay_1_1MaxPool3DAttrs.html#a6a5bb2bda2cb0b5654987cd08d74c64a',1,'tvm::relay::MaxPool3DAttrs::ceil_mode()'],['../structtvm_1_1relay_1_1MaxPool2DAttrs.html#a40f8ca285721a1a69b37ab630d601632',1,'tvm::relay::MaxPool2DAttrs::ceil_mode()'],['../structtvm_1_1relay_1_1AvgPool2DAttrs.html#a843fa213a45d524b669f11b7a0438eb7',1,'tvm::relay::AvgPool2DAttrs::ceil_mode()'],['../structtvm_1_1relay_1_1AvgPool1DAttrs.html#ac9c3f2c26da975c9d78bc33955163 [...]
+  ['ceildiv_747',['ceildiv',['../namespacetvm.html#a0d36aeede9c8e2fa2973807edf2b9f4b',1,'tvm']]],
+  ['center_748',['center',['../structtvm_1_1relay_1_1BatchNormAttrs.html#ab0ad1e2be87f4e12d9e46b2da6c12713',1,'tvm::relay::BatchNormAttrs::center()'],['../structtvm_1_1relay_1_1InstanceNormAttrs.html#af8c9c7c50567f50cd6e7e21721a11532',1,'tvm::relay::InstanceNormAttrs::center()'],['../structtvm_1_1relay_1_1LayerNormAttrs.html#a013460f687b8751814e5c09d5d2033ae',1,'tvm::relay::LayerNormAttrs::center()'],['../structtvm_1_1relay_1_1GroupNormAttrs.html#ad8f288514880bff6ca973cdaa2aea905',1,'tvm [...]
+  ['cfg_749',['cfg',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#a9686de8629390dbdf8254d5c7585822f',1,'tvm::script::printer::IRDocsifierNode']]],
+  ['channel_5fread_5fadvance_750',['channel_read_advance',['../namespacetvm_1_1tir_1_1attr.html#a14632ed9597f1c21a0dbd23949e5916e',1,'tvm::tir::attr']]],
+  ['channel_5fread_5fscope_751',['channel_read_scope',['../namespacetvm_1_1tir_1_1attr.html#ad39d05bd13aeed7af51e9f8e323d263b',1,'tvm::tir::attr']]],
+  ['channel_5fwrite_5fadvance_752',['channel_write_advance',['../namespacetvm_1_1tir_1_1attr.html#ad8886f0bca07d9fa56db9edea67b1a8d',1,'tvm::tir::attr']]],
+  ['channel_5fwrite_5fscope_753',['channel_write_scope',['../namespacetvm_1_1tir_1_1attr.html#a52f378fc437bd4f7f6508c8e2e9b3e05',1,'tvm::tir::attr']]],
+  ['channels_754',['channels',['../structtvm_1_1relay_1_1BinaryConv2DAttrs.html#afd527f4e8a2f2498b5ac9607df628b3b',1,'tvm::relay::BinaryConv2DAttrs::channels()'],['../structtvm_1_1relay_1_1DeformableConv2DAttrs.html#a9c8548a21c300c93205b3e27368707b7',1,'tvm::relay::DeformableConv2DAttrs::channels()'],['../structtvm_1_1relay_1_1Conv1DTransposeAttrs.html#ae1618e8e0d91676c32df3982484614ec',1,'tvm::relay::Conv1DTransposeAttrs::channels()'],['../structtvm_1_1relay_1_1Conv2DTransposeAttrs.html [...]
+  ['check_755',['Check',['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Map_3_01K_00_01V_01_4_01_4.html#a66cc223f8e80086a6396540546b07eed',1,'tvm::runtime::ObjectTypeChecker&lt; Map&lt; K, V &gt; &gt;::Check()'],['../structtvm_1_1runtime_1_1ObjectTypeChecker.html#aba05348357ac739ea2d3deecc7a1b202',1,'tvm::runtime::ObjectTypeChecker::Check()'],['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Array_3_01T_01_4_01_4.html#add60b83f5e77c1d9016b65898bcbfe69',1,'tvm::runtime::ObjectTypeChec [...]
+  ['checkandgetmismatch_756',['CheckAndGetMismatch',['../structtvm_1_1runtime_1_1ObjectTypeChecker.html#aebb30723fbc8a9530855dfd4f6185e89',1,'tvm::runtime::ObjectTypeChecker::CheckAndGetMismatch()'],['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Array_3_01T_01_4_01_4.html#aecb7f71a675e74979a0ed08202cf09cc',1,'tvm::runtime::ObjectTypeChecker&lt; Array&lt; T &gt; &gt;::CheckAndGetMismatch()'],['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Map_3_01K_00_01V_01_4_01_4.html#a813be6b98e [...]
+  ['checkandupdatehostconsistency_757',['CheckAndUpdateHostConsistency',['../namespacetvm.html#a741dec82c75bea850290cf8bc412c006',1,'tvm']]],
+  ['checked_5ftype_758',['checked_type',['../classtvm_1_1RelayExprNode.html#aca36f6077174fd8361d57c71bac371e2',1,'tvm::RelayExprNode']]],
+  ['checked_5ftype_5f_759',['checked_type_',['../classtvm_1_1RelayExprNode.html#ae30ca49a8b84288fbc21d5f312f02929',1,'tvm::RelayExprNode']]],
+  ['checkfeature_760',['CheckFeature',['../namespacetvm_1_1relay.html#a8e07d921de65446566e9fb93ff8c4daf',1,'tvm::relay::CheckFeature(const RelayExpr &amp;expr, const IRModule &amp;mod, const FeatureSet &amp;fs)'],['../namespacetvm_1_1relay.html#af81527dc5836266c66411b082b01a80c',1,'tvm::relay::CheckFeature(const IRModule &amp;mod, const FeatureSet &amp;fs)'],['../namespacetvm_1_1relay.html#a886335a9bb055385ed04d27b74da36c7',1,'tvm::relay::CheckFeature(const RelayExpr &amp;expr, const Fea [...]
+  ['checkvisited_761',['CheckVisited',['../classtvm_1_1relay_1_1MixedModeVisitor.html#a7987f5e9c4d81ae6676a15ea342ce616',1,'tvm::relay::MixedModeVisitor::CheckVisited()'],['../classtvm_1_1relay_1_1MixedModeMutator.html#ae4039a7f00a900a6c7412eede16f2a91',1,'tvm::relay::MixedModeMutator::CheckVisited()']]],
+  ['child_762',['child',['../classtvm_1_1relay_1_1DominatorPatternNode.html#af0e0d4239dd36a3dda174e538b69e7f1',1,'tvm::relay::DominatorPatternNode']]],
+  ['children_5fexpanded_763',['children_expanded',['../structtvm_1_1relay_1_1v__info.html#a8c18574534508fddf65002834e173583',1,'tvm::relay::v_info']]],
+  ['classdoc_764',['ClassDoc',['../classtvm_1_1script_1_1printer_1_1ClassDoc.html#aa22d98189b6b0ce275949b3b975d869d',1,'tvm::script::printer::ClassDoc::ClassDoc()'],['../classtvm_1_1script_1_1printer_1_1ClassDoc.html',1,'tvm::script::printer::ClassDoc']]],
+  ['classdocnode_765',['ClassDocNode',['../classtvm_1_1script_1_1printer_1_1ClassDocNode.html',1,'tvm::script::printer']]],
+  ['clause_766',['Clause',['../classtvm_1_1relay_1_1Clause.html#a184cc12fa7b63039d56e3c11c3b9ad47',1,'tvm::relay::Clause::Clause()'],['../classtvm_1_1relay_1_1Clause.html',1,'tvm::relay::Clause']]],
+  ['clausenode_767',['ClauseNode',['../classtvm_1_1relay_1_1ClauseNode.html',1,'tvm::relay']]],
+  ['clauses_768',['clauses',['../classtvm_1_1relay_1_1MatchNode.html#a1e35f1c0a12e235eb052cd48f6659cd6',1,'tvm::relay::MatchNode::clauses()'],['../classtvm_1_1te_1_1SpecializedConditionNode.html#a8121936069bdc150f53c6c116100b8ad',1,'tvm::te::SpecializedConditionNode::clauses()']]],
+  ['clear_769',['clear',['../classtvm_1_1runtime_1_1Array.html#a0c6b43e7ddd25655961a4bdf2251fd4e',1,'tvm::runtime::Array']]],
+  ['clear_770',['Clear',['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#a9c3292db647547578812ae497031e610',1,'tvm::runtime::micro_rpc::FrameBuffer']]],
+  ['clear_771',['clear',['../classtvm_1_1runtime_1_1ArrayNode.html#a71be89dffaf8f77fcb8c3d5bbdc202ed',1,'tvm::runtime::ArrayNode::clear()'],['../classtvm_1_1runtime_1_1Map.html#a093955a395c75f89c5a7f8a71b13250a',1,'tvm::runtime::Map::clear()']]],
+  ['clear_5fdispatch_772',['clear_dispatch',['../classtvm_1_1NodeFunctor_3_01R_07const_01ObjectRef_01_6n_00_01Args_8_8_8_08_4.html#a7c6f675b3c24ea09365a646b2ca7c77e',1,'tvm::NodeFunctor&lt; R(const ObjectRef &amp;n, Args...)&gt;']]],
+  ['clearreceivebuffer_773',['ClearReceiveBuffer',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#abfc769c8b0802c91d5770555b869bf2d',1,'tvm::runtime::micro_rpc::Session']]],
+  ['clip_774',['clip',['../structtvm_1_1relay_1_1MultiBoxTransformLocAttrs.html#aa552a0e06f1c961277d8b65af07c841a',1,'tvm::relay::MultiBoxTransformLocAttrs::clip()'],['../namespacetvm_1_1topi.html#a0535d4dc9cd63b723cba6f00ea1eccef',1,'tvm::topi::clip()'],['../structtvm_1_1relay_1_1MultiBoxPriorAttrs.html#ab9175969c52d2c4d9cdfdcfbc4fce995',1,'tvm::relay::MultiBoxPriorAttrs::clip()']]],
+  ['clipattrs_775',['ClipAttrs',['../structtvm_1_1relay_1_1ClipAttrs.html',1,'tvm::relay']]],
+  ['clo_5findex_776',['clo_index',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#aaea1385d3562231a2e242faa0b51c616',1,'tvm::runtime::vm::Instruction']]],
+  ['clock_5ffrequency_5fhz_777',['clock_frequency_hz',['../structtvm_1_1PoolInfoNode.html#a660b4708543cee4837496766f82dd4c2',1,'tvm::PoolInfoNode::clock_frequency_hz()'],['../structtvm_1_1PoolInfoPropertiesNode.html#ad0a43790f035d0d13efdf06b285dc1a8',1,'tvm::PoolInfoPropertiesNode::clock_frequency_hz()']]],
+  ['clone_778',['Clone',['../classtvm_1_1meta__schedule_1_1SearchStrategyNode.html#ab2da3a0418f5c7b25f61368969fde8a0',1,'tvm::meta_schedule::SearchStrategyNode::Clone()'],['../classtvm_1_1meta__schedule_1_1PyScheduleRuleNode.html#abcc4d1502d8bb3e7fceac2eebbfd3dce',1,'tvm::meta_schedule::PyScheduleRuleNode::Clone()'],['../classtvm_1_1meta__schedule_1_1ScheduleRuleNode.html#a8505847517d6f194e4b1679a0b46b147',1,'tvm::meta_schedule::ScheduleRuleNode::Clone()'],['../classtvm_1_1meta__schedule [...]
+  ['clos_779',['clos',['../classtvm_1_1relay_1_1RecClosureObj.html#a7a56c67a71f2d6d6621cdb0747b9dce0',1,'tvm::relay::RecClosureObj']]],
+  ['closure_780',['closure',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a3a175836bc0893d99935f32911e45bfd',1,'tvm::runtime::vm::Instruction']]],
+  ['closure_781',['Closure',['../classtvm_1_1runtime_1_1Closure.html',1,'tvm::runtime']]],
+  ['closure_2eh_782',['closure.h',['../closure_8h.html',1,'']]],
+  ['closure_5fargs_783',['closure_args',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#aee9011e3fab2ace2ae167db9a4394ee8',1,'tvm::runtime::vm::Instruction']]],
+  ['closureobj_784',['ClosureObj',['../classtvm_1_1runtime_1_1ClosureObj.html',1,'tvm::runtime']]],
+  ['clz_785',['clz',['../namespacetvm.html#aeeef6fde2a1352eae8abddd994c657b7',1,'tvm']]],
+  ['cmpopnode_786',['CmpOpNode',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
+  ['cmpopnode_3c_20eqnode_20_3e_787',['CmpOpNode&lt; EQNode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
+  ['cmpopnode_3c_20genode_20_3e_788',['CmpOpNode&lt; GENode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
+  ['cmpopnode_3c_20gtnode_20_3e_789',['CmpOpNode&lt; GTNode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
+  ['cmpopnode_3c_20lenode_20_3e_790',['CmpOpNode&lt; LENode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
+  ['cmpopnode_3c_20ltnode_20_3e_791',['CmpOpNode&lt; LTNode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
+  ['cmpopnode_3c_20nenode_20_3e_792',['CmpOpNode&lt; NENode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
+  ['code_793',['code',['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html#a6b1467a43cd8cb5123b08bbb4b39f428',1,'tvm::runtime::vm::VMFrame::code()'],['../classtvm_1_1runtime_1_1DataType.html#a71feb294f412836c3d7e012133a3f339',1,'tvm::runtime::DataType::code()']]],
+  ['code_5f_794',['code_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#ab220bfa34c9abb2935c3b622d9bc03b2',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['codegen_2eh_795',['codegen.h',['../codegen_8h.html',1,'']]],
+  ['coef_796',['coef',['../classtvm_1_1arith_1_1IntGroupBoundsNode.html#a2e632f8c44c4a6f071ad9d54107313f4',1,'tvm::arith::IntGroupBoundsNode']]],
+  ['coeff_797',['coeff',['../classtvm_1_1arith_1_1ModularSetNode.html#a0531d08d8529454c726a9a20a2002ee6',1,'tvm::arith::ModularSetNode']]],
+  ['collapse_5fsum_798',['collapse_sum',['../namespacetvm_1_1topi.html#a0055fc9fc2a61a472efd124c80db2c08',1,'tvm::topi']]],
+  ['column_799',['column',['../classtvm_1_1SpanNode.html#a51f1f2feef5505e4686c1906b84a4bb1',1,'tvm::SpanNode']]],
+  ['combinecontextcall_800',['CombineContextCall',['../namespacetvm_1_1tir_1_1transform.html#af00a9c2f441a8056f9abe65e72b41b36',1,'tvm::tir::transform']]],
+  ['combineparallelbatchmatmul_801',['CombineParallelBatchMatmul',['../namespacetvm_1_1relay_1_1transform.html#aa97a0ec61929f58aefff5da83a73e1cd',1,'tvm::relay::transform']]],
+  ['combineparallelconv2d_802',['CombineParallelConv2D',['../namespacetvm_1_1relay_1_1transform.html#a3b8f4cbf0bd699d2ca0ab92c534c867d',1,'tvm::relay::transform']]],
+  ['combineparalleldense_803',['CombineParallelDense',['../namespacetvm_1_1relay_1_1transform.html#a61517368427f429e784b4b128cb6351d',1,'tvm::relay::transform']]],
+  ['combiner_804',['combiner',['../classtvm_1_1tir_1_1ReduceNode.html#a5dcdd28aac37f4313ad18065bf824e11',1,'tvm::tir::ReduceNode']]],
+  ['comment_805',['comment',['../classtvm_1_1script_1_1printer_1_1StmtDocNode.html#ab5825bdb8b993e7bcdbe592163d549a1',1,'tvm::script::printer::StmtDocNode']]],
+  ['commentdoc_806',['CommentDoc',['../classtvm_1_1script_1_1printer_1_1CommentDoc.html#a1aa26f57bb5aea15db1b111392720290',1,'tvm::script::printer::CommentDoc::CommentDoc()'],['../classtvm_1_1script_1_1printer_1_1CommentDoc.html',1,'tvm::script::printer::CommentDoc']]],
+  ['commentdocnode_807',['CommentDocNode',['../classtvm_1_1script_1_1printer_1_1CommentDocNode.html',1,'tvm::script::printer']]],
+  ['committuningrecord_808',['CommitTuningRecord',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#a391ebf6b8bd4d6a1e564ad807f336fd4',1,'tvm::meta_schedule::DatabaseNode::CommitTuningRecord()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a00614b22bd1cae147dc0d83cdd071187',1,'tvm::meta_schedule::PyDatabaseNode::CommitTuningRecord()']]],
+  ['commitworkload_809',['CommitWorkload',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#a833752c0069eb976028425751df6e9ac',1,'tvm::meta_schedule::DatabaseNode::CommitWorkload()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a12d64aa44d3d2ab37a6600d17be3e708',1,'tvm::meta_schedule::PyDatabaseNode::CommitWorkload()']]],
+  ['common_5fprefix_810',['common_prefix',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#aaf110ff85d0263eabe1dbc9f50a6a499',1,'tvm::script::printer::IRDocsifierNode']]],
+  ['commonsubexprelimtir_811',['CommonSubexprElimTIR',['../namespacetvm_1_1tir_1_1transform.html#a82c5b1caff09436701fb9b9e7f672571',1,'tvm::tir::transform']]],
+  ['commreduce_812',['CommReduce',['../namespacetvm_1_1topi.html#aec9d2c654a75e1be977d159b87a6b8f5',1,'tvm::topi']]],
+  ['commreduceidx_813',['CommReduceIdx',['../namespacetvm_1_1topi.html#a50b46549c2a66bedd305f5e7db0d6d89',1,'tvm::topi']]],
+  ['commreducer_814',['CommReducer',['../classtvm_1_1tir_1_1CommReducer.html#a48f3eebf6a3148279ff796794df4c7c2',1,'tvm::tir::CommReducer::CommReducer()'],['../classtvm_1_1tir_1_1CommReducer.html',1,'tvm::tir::CommReducer']]],
+  ['commreducernode_815',['CommReducerNode',['../classtvm_1_1tir_1_1CommReducerNode.html',1,'tvm::tir']]],
+  ['compactbufferallocation_816',['CompactBufferAllocation',['../namespacetvm_1_1tir_1_1transform.html#a1e2ad780d6302432ead7f8b5fbffc275',1,'tvm::tir::transform']]],
+  ['compare_817',['compare',['../classtvm_1_1runtime_1_1String.html#abe6782ebaf76b9e3e1ef6ae21f5447c7',1,'tvm::runtime::String::compare(const std::string &amp;other) const'],['../classtvm_1_1runtime_1_1String.html#ad3af61a0b16c6d8a4e6b1c2f6e8f4a44',1,'tvm::runtime::String::compare(const String &amp;other) const'],['../classtvm_1_1runtime_1_1String.html#a03f9f2382fbbf611af6591ce1afb0dca',1,'tvm::runtime::String::compare(const char *other) const']]],
+  ['compareresult_818',['CompareResult',['../namespacetvm_1_1arith.html#a1d555a0cc363f669a49fad7e5f7d69d0',1,'tvm::arith']]],
+  ['compilation_5fconfig_2eh_819',['compilation_config.h',['../compilation__config_8h.html',1,'']]],
+  ['compilationconfig_820',['CompilationConfig',['../classtvm_1_1CompilationConfig.html#a94ca0dbfadfbd8959fb81a2ec415e4c6',1,'tvm::CompilationConfig::CompilationConfig()'],['../classtvm_1_1CompilationConfigNode.html#a770bdaa15e07052d9ee3434c7131ff27',1,'tvm::CompilationConfigNode::CompilationConfig()'],['../classtvm_1_1CompilationConfig.html',1,'tvm::CompilationConfig']]],
+  ['compilationconfignode_821',['CompilationConfigNode',['../classtvm_1_1CompilationConfigNode.html',1,'tvm']]],
+  ['compileerror_822',['CompileError',['../classtvm_1_1relay_1_1CompileError.html#af56d261e1abee8c52bea06af74a531b8',1,'tvm::relay::CompileError::CompileError()'],['../structtvm_1_1relay_1_1ErrorBuilder.html#a352b0727f48872b7a3cf65e6b8ac0940',1,'tvm::relay::ErrorBuilder::CompileError()'],['../classtvm_1_1relay_1_1CompileError.html#a46b97139a61f6aa4a0295e9ffea2e335',1,'tvm::relay::CompileError::CompileError(const ErrorBuilder &amp;err)'],['../classtvm_1_1relay_1_1CompileError.html#ac838c9 [...]
+  ['compiler_823',['compiler',['../structtvm_1_1relay_1_1CompilerAttrs.html#ae7f7c901a3dbe3b2a2150f8d197a3494',1,'tvm::relay::CompilerAttrs']]],
+  ['compilerattrs_824',['CompilerAttrs',['../structtvm_1_1relay_1_1CompilerAttrs.html',1,'tvm::relay']]],
+  ['complete_825',['complete',['../classtvm_1_1relay_1_1MatchNode.html#a17c6c8bd3fccfa7f86ace014db7fd694',1,'tvm::relay::MatchNode']]],
+  ['compute_826',['compute',['../namespacetvm_1_1te.html#afe4f57aeb3dd5ae9c0b58135e14d67ca',1,'tvm::te::compute(Array&lt; PrimExpr &gt; shape, FCompute fcompute, std::string name=&quot;tensor&quot;, std::string tag=&quot;&quot;, Map&lt; String, ObjectRef &gt; attrs={})'],['../namespacetvm_1_1te.html#ad228cc1637e4b354db234374f219d050',1,'tvm::te::compute(Array&lt; PrimExpr &gt; shape, FBatchCompute fcompute, std::string name=&quot;tensor&quot;, std::string tag=&quot;&quot;, Map&lt; String [...]
+  ['compute_827',['Compute',['../classtvm_1_1relay_1_1OpImplementation.html#a04d79f30d070ca87272c439dc7ed2d52',1,'tvm::relay::OpImplementation']]],
+  ['compute_5fat_828',['compute_at',['../classtvm_1_1auto__scheduler_1_1StageNode.html#a4890fd70d089bdb5906b6424575dae97',1,'tvm::auto_scheduler::StageNode::compute_at()'],['../classtvm_1_1auto__scheduler_1_1State.html#a0e00bb2f70dc2e28c236c92a14204850',1,'tvm::auto_scheduler::State::compute_at()'],['../classtvm_1_1te_1_1Stage.html#a071545484de7a894c01ccf0e77183730',1,'tvm::te::Stage::compute_at()']]],
+  ['compute_5fdag_829',['compute_dag',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#a783e92cf2ce4430fac92b9fb1f047654',1,'tvm::auto_scheduler::SearchTaskNode']]],
+  ['compute_5fdag_2eh_830',['compute_dag.h',['../compute__dag_8h.html',1,'']]],
+  ['compute_5fdtype_831',['compute_dtype',['../structtvm_1_1relay_1_1qnn_1_1RequantizeAttrs.html#a9f4ca3a2bfede63c59c8912be9119494',1,'tvm::relay::qnn::RequantizeAttrs']]],
+  ['compute_5finline_832',['compute_inline',['../classtvm_1_1auto__scheduler_1_1State.html#aa383a9b40e490c131ed696d696c3c7a0',1,'tvm::auto_scheduler::State::compute_inline()'],['../classtvm_1_1te_1_1Stage.html#a1c58b35e37561739440b322c29d30c3b',1,'tvm::te::Stage::compute_inline()']]],
+  ['compute_5froot_833',['compute_root',['../classtvm_1_1te_1_1Stage.html#a95b58b2d2ec034ecd0bdb99f95c0b0ba',1,'tvm::te::Stage::compute_root()'],['../classtvm_1_1auto__scheduler_1_1State.html#a6a0b192456798daac7d5b8403c1215d8',1,'tvm::auto_scheduler::State::compute_root()']]],
+  ['compute_5fscope_834',['compute_scope',['../namespacetvm_1_1tir_1_1attr.html#a00a6b89838348f152d844cead81b5016',1,'tvm::tir::attr']]],
+  ['computeat_835',['ComputeAt',['../classtvm_1_1tir_1_1ScheduleNode.html#af901a7fa1336ee2e6668a2e44a8a2efd',1,'tvm::tir::ScheduleNode']]],
+  ['computeatkind_836',['ComputeAtKind',['../namespacetvm_1_1auto__scheduler.html#ab75208ecc6a00ca7f86af04b3cc5657f',1,'tvm::auto_scheduler']]],
+  ['computeatstep_837',['ComputeAtStep',['../classtvm_1_1auto__scheduler_1_1ComputeAtStep.html#a52e1ff3450cea4694bab7fb8696a28fc',1,'tvm::auto_scheduler::ComputeAtStep::ComputeAtStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1ComputeAtStep.html#ae65a1fe0eeb84df13d81e8d2651c8e8a',1,'tvm::auto_scheduler::ComputeAtStep::ComputeAtStep(int stage_id, int target_stage_id, int target_iter_id)'],['../classtvm_1_1auto__scheduler_1_1ComputeAtStep.html',1,'tvm::auto_scheduler::C [...]
+  ['computeatstepnode_838',['ComputeAtStepNode',['../classtvm_1_1auto__scheduler_1_1ComputeAtStepNode.html',1,'tvm::auto_scheduler']]],
+  ['computedag_839',['ComputeDAG',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#a98edfb8259ecefff7c7c87d38692c316',1,'tvm::auto_scheduler::ComputeDAG::ComputeDAG(Array&lt; te::Tensor &gt; tensors)'],['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#a7f0af14c389357c9127e54d1dca380a1',1,'tvm::auto_scheduler::ComputeDAG::ComputeDAG(const te::Schedule &amp;sch)'],['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html',1,'tvm::auto_scheduler::ComputeDAG']]],
+  ['computedagnode_840',['ComputeDAGNode',['../classtvm_1_1auto__scheduler_1_1ComputeDAGNode.html',1,'tvm::auto_scheduler']]],
+  ['computeinline_841',['ComputeInline',['../classtvm_1_1tir_1_1ScheduleNode.html#a5e7310fe532cf9d168557ed792198c24',1,'tvm::tir::ScheduleNode']]],
+  ['computeinlinestep_842',['ComputeInlineStep',['../classtvm_1_1auto__scheduler_1_1ComputeInlineStep.html#a2e8902e916feddc046703c20e528f28a',1,'tvm::auto_scheduler::ComputeInlineStep::ComputeInlineStep(int stage_id)'],['../classtvm_1_1auto__scheduler_1_1ComputeInlineStep.html#ab5343070d4cbdbf0e3bd29ada1f8a057',1,'tvm::auto_scheduler::ComputeInlineStep::ComputeInlineStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1ComputeInlineStep.html',1,'tvm::auto_scheduler::Comput [...]
+  ['computeinlinestepnode_843',['ComputeInlineStepNode',['../classtvm_1_1auto__scheduler_1_1ComputeInlineStepNode.html',1,'tvm::auto_scheduler']]],
+  ['computeop_844',['ComputeOp',['../classtvm_1_1te_1_1ComputeOp.html#a83bc26168a2051549f24cf107a450e87',1,'tvm::te::ComputeOp::ComputeOp()'],['../classtvm_1_1te_1_1ComputeOp.html',1,'tvm::te::ComputeOp']]],
+  ['computeopnode_845',['ComputeOpNode',['../classtvm_1_1te_1_1ComputeOpNode.html#a45e42c63bd2ba62b6f85b9b79e0b9361',1,'tvm::te::ComputeOpNode::ComputeOpNode()'],['../classtvm_1_1te_1_1ComputeOpNode.html',1,'tvm::te::ComputeOpNode']]],
+  ['computerootstep_846',['ComputeRootStep',['../classtvm_1_1auto__scheduler_1_1ComputeRootStep.html#a26f5d934c644d211cd5bb51c5abb8734',1,'tvm::auto_scheduler::ComputeRootStep::ComputeRootStep(int stage_id)'],['../classtvm_1_1auto__scheduler_1_1ComputeRootStep.html#ab2e65ee558a69c55141b4319a81cb2cc',1,'tvm::auto_scheduler::ComputeRootStep::ComputeRootStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1ComputeRootStep.html',1,'tvm::auto_scheduler::ComputeRootStep']]],
+  ['computerootstepnode_847',['ComputeRootStepNode',['../classtvm_1_1auto__scheduler_1_1ComputeRootStepNode.html',1,'tvm::auto_scheduler']]],
+  ['concat_848',['Concat',['../classtvm_1_1tir_1_1Shuffle.html#a0a46caa8627735586e7dc67fa9321c59',1,'tvm::tir::Shuffle::Concat()'],['../namespacetvm_1_1runtime.html#a4a8b9d4d20b7993992145f73efa2abf2',1,'tvm::runtime::Concat()']]],
+  ['concatenate_849',['concatenate',['../namespacetvm_1_1topi.html#a6f3c749dadc49aa100626ef85a04a66b',1,'tvm::topi']]],
+  ['concatenateattrs_850',['ConcatenateAttrs',['../structtvm_1_1relay_1_1ConcatenateAttrs.html',1,'tvm::relay']]],
+  ['concrete_851',['Concrete',['../classtvm_1_1tir_1_1Schedule.html#a0f08114073a74fd35a8271ea09ab1f11',1,'tvm::tir::Schedule']]],
+  ['concrete_852',['concrete',['../classtvm_1_1auto__scheduler_1_1StateNode.html#ac8388ad8d9891dd91da8a029a094de8a',1,'tvm::auto_scheduler::StateNode']]],
+  ['cond_853',['cond',['../classtvm_1_1relay_1_1IfNode.html#a8cd1fc508da901b65c306cb4e76b0643',1,'tvm::relay::IfNode::cond()'],['../classtvm_1_1relay_1_1IfPatternNode.html#aad4b5226255a8abb538fd54cd7f20544',1,'tvm::relay::IfPatternNode::cond()']]],
+  ['condition_854',['condition',['../classtvm_1_1tir_1_1WhileNode.html#ab45e80473cef0deccbc18328e62b6a37',1,'tvm::tir::WhileNode::condition()'],['../classtvm_1_1tir_1_1IfThenElseNode.html#a635ac3bf9c574d2022d58bc305d36758',1,'tvm::tir::IfThenElseNode::condition()'],['../classtvm_1_1tir_1_1AllocateNode.html#a6b855ad51d1fcb4e21e9afe657f77ba5',1,'tvm::tir::AllocateNode::condition()'],['../classtvm_1_1tir_1_1BufferRealizeNode.html#a8ab45a20cd77a3c80187355aa211a9b9',1,'tvm::tir::BufferRealize [...]
+  ['config_855',['config',['../classtvm_1_1transform_1_1PassContextNode.html#af4eebff5de09bcf7db4506b3031b17db',1,'tvm::transform::PassContextNode::config()'],['../classtvm_1_1TargetTagNode.html#ad7dd856b0a404f1ee053ee3d0c25a8a3',1,'tvm::TargetTagNode::config()']]],
+  ['configuration_856',['configuration',['../classtvm_1_1runtime_1_1profiling_1_1ReportNode.html#a03216cd54383d55f809d2c1e6b6ac726',1,'tvm::runtime::profiling::ReportNode']]],
+  ['configure_857',['Configure',['../classtvm_1_1runtime_1_1threading_1_1ThreadGroup.html#a9b4df7c102afd3182051440481c6a2be',1,'tvm::runtime::threading::ThreadGroup::Configure()'],['../namespacetvm_1_1runtime_1_1threading.html#a05b973a90c88eab6de2131f436861eaf',1,'tvm::runtime::threading::Configure()']]],
+  ['conflicts_858',['conflicts',['../structtvm_1_1tir_1_1usmp_1_1BufferInfoNode.html#a6fee33f30028a2358ccd7a62f1ba4cb3',1,'tvm::tir::usmp::BufferInfoNode']]],
+  ['const_5fdevice_5findexes_859',['const_device_indexes',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a46b765fff87620da472fa15caf80e1d5',1,'tvm::runtime::vm::Executable']]],
+  ['const_5ffalse_860',['const_false',['../namespacetvm_1_1tir.html#a875c28f423ab96ae8f0d21f1263abe14',1,'tvm::tir']]],
+  ['const_5findex_861',['const_index',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a950d212c6493a47bfcbf368daa974853',1,'tvm::runtime::vm::Instruction']]],
+  ['const_5fint_5fbound_862',['const_int_bound',['../classtvm_1_1arith_1_1Analyzer.html#a435eba3ac3a839d3c53b74acfdc10146',1,'tvm::arith::Analyzer']]],
+  ['const_5fiterator_863',['const_iterator',['../classtvm_1_1runtime_1_1metadata_1_1ArrayAccessor_3_01const_01char_01_5_00_01_1_1tvm_1_1runtime_1_1String_01_4.html#a7068f394277484b87b066cd34979d03f',1,'tvm::runtime::metadata::ArrayAccessor&lt; const char *, ::tvm::runtime::String &gt;::const_iterator()'],['../classtvm_1_1support_1_1Span.html#a3c119690baa0a4da4f4c8d52c6666220',1,'tvm::support::Span::const_iterator()'],['../classtvm_1_1runtime_1_1metadata_1_1ArrayAccessor.html#a3904284b758 [...]
+  ['const_5fpool_5f_864',['const_pool_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a50557c8e0398599341c1a8539c528860',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['const_5fshape_865',['const_shape',['../structtvm_1_1relay_1_1AllocTensorAttrs.html#a3a6a06f96895107cce3ed17d85101cee',1,'tvm::relay::AllocTensorAttrs']]],
+  ['const_5ftrue_866',['const_true',['../namespacetvm_1_1tir.html#a8dd84303a9864b5b366835fa628a7824',1,'tvm::tir']]],
+  ['const_5fw_867',['const_W',['../classtvm_1_1support_1_1Span.html#a7d92ed4962dc8cf00f21baa8d3de5c8f',1,'tvm::support::Span']]],
+  ['constant_868',['Constant',['../classtvm_1_1relay_1_1Constant.html#acb2c9584fe3314ae16eab1670c554746',1,'tvm::relay::Constant::Constant()'],['../classtvm_1_1relay_1_1Constant.html',1,'tvm::relay::Constant']]],
+  ['constant_5finfo_5farray_869',['constant_info_array',['../structtvm_1_1ConstantPoolInfoNode.html#a373ce1fdfbfe98b62f5b8373ee212682',1,'tvm::ConstantPoolInfoNode']]],
+  ['constant_5fpools_870',['constant_pools',['../classtvm_1_1runtime_1_1metadata_1_1MetadataNode.html#a9f73424531616f0500209ccf35676db0',1,'tvm::runtime::metadata::MetadataNode::constant_pools()'],['../structTVMMetadata.html#a20dd9ef40a225176205ca09963677d7c',1,'TVMMetadata::constant_pools()']]],
+  ['constant_5futils_2eh_871',['constant_utils.h',['../constant__utils_8h.html',1,'']]],
+  ['constantallocationsize_872',['ConstantAllocationSize',['../classtvm_1_1tir_1_1AllocateConstNode.html#a3abf125e0a61e818a152e273ed8a63db',1,'tvm::tir::AllocateConstNode::ConstantAllocationSize(const Array&lt; PrimExpr &gt; &amp;extents)'],['../classtvm_1_1tir_1_1AllocateConstNode.html#ad540975cdb5def27f3aa3564b2f427db',1,'tvm::tir::AllocateConstNode::ConstantAllocationSize() const'],['../classtvm_1_1tir_1_1AllocateNode.html#a395d7a1e6dc5e461259c3a107b950c6e',1,'tvm::tir::AllocateNode:: [...]
+  ['constantcheck_873',['ConstantCheck',['../namespacetvm_1_1relay.html#abdade48285a63efffe743e73e41d3b35',1,'tvm::relay']]],
+  ['constantinfo_874',['ConstantInfo',['../classtvm_1_1ConstantInfo.html#aefce632d230aa4ef80e762eeeeea77a7',1,'tvm::ConstantInfo::ConstantInfo(String name, Integer byte_offset, runtime::NDArray data)'],['../classtvm_1_1ConstantInfo.html#ad16639db7fedf1dfacc0eadb75ac0bb1',1,'tvm::ConstantInfo::ConstantInfo(const struct ::TVMConstantInfo *data)'],['../classtvm_1_1ConstantInfo.html',1,'tvm::ConstantInfo']]],
+  ['constantinfometadata_875',['ConstantInfoMetadata',['../classtvm_1_1runtime_1_1metadata_1_1ConstantInfoMetadata.html#a5ecad1efb7d2f1abbd56ae9d0c0d83a3',1,'tvm::runtime::metadata::ConstantInfoMetadata::ConstantInfoMetadata()'],['../classtvm_1_1runtime_1_1metadata_1_1ConstantInfoMetadata.html',1,'tvm::runtime::metadata::ConstantInfoMetadata']]],
+  ['constantinfometadatanode_876',['ConstantInfoMetadataNode',['../classtvm_1_1runtime_1_1metadata_1_1ConstantInfoMetadataNode.html#a84484934d86dda6c36737622975f2c4e',1,'tvm::runtime::metadata::ConstantInfoMetadataNode::ConstantInfoMetadataNode()'],['../classtvm_1_1runtime_1_1metadata_1_1ConstantInfoMetadataNode.html',1,'tvm::runtime::metadata::ConstantInfoMetadataNode']]],
+  ['constantinfonode_877',['ConstantInfoNode',['../structtvm_1_1ConstantInfoNode.html',1,'tvm']]],
+  ['constantmemorypools_878',['ConstantMemoryPools',['../classtvm_1_1ConstantMemoryPools.html#a3033fe71bb3354900877583f3e1ab870',1,'tvm::ConstantMemoryPools::ConstantMemoryPools()'],['../classtvm_1_1ConstantMemoryPools.html',1,'tvm::ConstantMemoryPools']]],
+  ['constantmemorypoolsnode_879',['ConstantMemoryPoolsNode',['../structtvm_1_1ConstantMemoryPoolsNode.html',1,'tvm']]],
+  ['constantnode_880',['ConstantNode',['../classtvm_1_1relay_1_1ConstantNode.html',1,'tvm::relay']]],
+  ['constantpattern_881',['ConstantPattern',['../classtvm_1_1relay_1_1ConstantPattern.html',1,'tvm::relay']]],
+  ['constantpatternnode_882',['ConstantPatternNode',['../classtvm_1_1relay_1_1ConstantPatternNode.html',1,'tvm::relay']]],
+  ['constantpoolinfo_883',['ConstantPoolInfo',['../classtvm_1_1ConstantPoolInfo.html#ae9533adaafd59250b2676d9aeea7b4c2',1,'tvm::ConstantPoolInfo::ConstantPoolInfo()'],['../classtvm_1_1ConstantPoolInfo.html',1,'tvm::ConstantPoolInfo']]],
+  ['constantpoolinfonode_884',['ConstantPoolInfoNode',['../structtvm_1_1ConstantPoolInfoNode.html',1,'tvm']]],
+  ['constants_885',['constants',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a64a56d507939eee688f485057a2c7d2e',1,'tvm::runtime::vm::Executable']]],
+  ['constintbound_886',['ConstIntBound',['../classtvm_1_1arith_1_1ConstIntBound.html#aefb417203cf5c5996d7ed664051aaba3',1,'tvm::arith::ConstIntBound::ConstIntBound()'],['../classtvm_1_1arith_1_1ConstIntBound.html',1,'tvm::arith::ConstIntBound']]],
+  ['constintboundanalyzer_887',['ConstIntBoundAnalyzer',['../classtvm_1_1arith_1_1ConstIntBoundAnalyzer.html',1,'tvm::arith']]],
+  ['constintboundnode_888',['ConstIntBoundNode',['../classtvm_1_1arith_1_1ConstIntBoundNode.html',1,'tvm::arith']]],
+  ['constrain_5fbody_889',['constrain_body',['../structtvm_1_1relay_1_1OnDeviceAttrs.html#ad80798c111893bb5904bda3b4f70645d',1,'tvm::relay::OnDeviceAttrs']]],
+  ['constrain_5fresult_890',['constrain_result',['../structtvm_1_1relay_1_1OnDeviceAttrs.html#a219e14dc39b3d3d302e6b3d8b59167e1',1,'tvm::relay::OnDeviceAttrs']]],
+  ['constraintcontext_891',['ConstraintContext',['../classtvm_1_1arith_1_1TransitiveComparisonAnalyzer.html#ab8bf22547cf1df0a28fc4ee98841ab89',1,'tvm::arith::TransitiveComparisonAnalyzer::ConstraintContext()'],['../classtvm_1_1arith_1_1CanonicalSimplifier.html#ab8bf22547cf1df0a28fc4ee98841ab89',1,'tvm::arith::CanonicalSimplifier::ConstraintContext()'],['../classtvm_1_1arith_1_1ConstIntBoundAnalyzer.html#ab8bf22547cf1df0a28fc4ee98841ab89',1,'tvm::arith::ConstIntBoundAnalyzer::ConstraintCo [...]
+  ['constructor_892',['Constructor',['../namespacetvm_1_1relay.html#aec85bf097deda59bd22e88475c9165e9',1,'tvm::relay::Constructor()'],['../classtvm_1_1Constructor.html#a7047c4d252f83e7c0452a5abd6173084',1,'tvm::Constructor::Constructor()']]],
+  ['constructor_893',['constructor',['../classtvm_1_1relay_1_1PatternConstructorNode.html#a4ad38292de4758a711a01982ebf78f54',1,'tvm::relay::PatternConstructorNode::constructor()'],['../structtvm_1_1relay_1_1ConstructorValueObj.html#a8a8374bec3445e7225ec5ee3fcdb5b6e',1,'tvm::relay::ConstructorValueObj::constructor()']]],
+  ['constructor_894',['Constructor',['../classtvm_1_1Constructor.html',1,'tvm']]],
+  ['constructor_5ftag_895',['constructor_tag',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a7349fa2a2e70149d4af08289c539150f',1,'tvm::runtime::vm::Instruction']]],
+  ['constructor_5ftag_5fmap_5f_896',['constructor_tag_map_',['../classtvm_1_1IRModuleNode.html#a8bc4d7da196a212e7181a0f9afecd1c9',1,'tvm::IRModuleNode']]],
+  ['constructornode_897',['ConstructorNode',['../namespacetvm_1_1relay.html#a6b95f70a9b44cc1c96593201594d1345',1,'tvm::relay::ConstructorNode()'],['../classtvm_1_1ConstructorNode.html#ab53a12f5a1ab1d262c49c16d189fe27c',1,'tvm::ConstructorNode::ConstructorNode()'],['../classtvm_1_1ConstructorNode.html',1,'tvm::ConstructorNode']]],
+  ['constructors_898',['constructors',['../classtvm_1_1TypeDataNode.html#a4b8e6e09856e514b91586223d48fd117',1,'tvm::TypeDataNode']]],
+  ['constructorvalue_899',['ConstructorValue',['../classtvm_1_1relay_1_1ConstructorValue.html#aff30abb56a77b9964a4bb851b2e2721d',1,'tvm::relay::ConstructorValue::ConstructorValue()'],['../classtvm_1_1relay_1_1ConstructorValue.html',1,'tvm::relay::ConstructorValue']]],
+  ['constructorvalueobj_900',['ConstructorValueObj',['../structtvm_1_1relay_1_1ConstructorValueObj.html',1,'tvm::relay']]],
+  ['contain_901',['Contain',['../classtvm_1_1te_1_1ScheduleNode.html#a3e2f672b21e76d981064cc4fce65492f',1,'tvm::te::ScheduleNode::Contain(const Operation &amp;op) const'],['../classtvm_1_1te_1_1ScheduleNode.html#a4b341a8157026604f5c8831318b75f09',1,'tvm::te::ScheduleNode::Contain(const Tensor &amp;tensor) const']]],
+  ['container_902',['Container',['../classtvm_1_1runtime_1_1NDArray_1_1Container.html#a39b39ce5a2a658b44944381f1835404a',1,'tvm::runtime::NDArray::Container::Container()'],['../classtvm_1_1runtime_1_1NDArray_1_1Container.html#a5f3c42a1a5d71d914d3ca326553e4f79',1,'tvm::runtime::NDArray::Container::Container(void *data, ShapeTuple shape, DLDataType dtype, Device dev)'],['../classtvm_1_1runtime_1_1NDArray_1_1Container.html',1,'tvm::runtime::NDArray::Container']]],
+  ['containerbase_903',['ContainerBase',['../classtvm_1_1runtime_1_1NDArray_1_1ContainerBase.html',1,'tvm::runtime::NDArray']]],
+  ['containertype_904',['ContainerType',['../classtvm_1_1runtime_1_1ObjectRef.html#aa5c355fbb7d2f7402ee360dba8a52cdd',1,'tvm::runtime::ObjectRef::ContainerType()'],['../classtvm_1_1runtime_1_1Optional.html#a060b345337ea063b80b6380878704c00',1,'tvm::runtime::Optional::ContainerType()'],['../classtvm_1_1EnvFunc.html#aa40f838af3c55fe6ca8ecf2a256c8550',1,'tvm::EnvFunc::ContainerType()'],['../classtvm_1_1TypedEnvFunc_3_01R_07Args_8_8_8_08_4.html#a5ab2d64bd5e6a0d5dbce945a0d5f8b30',1,'tvm::Type [...]
+  ['containglobaltypevar_905',['ContainGlobalTypeVar',['../classtvm_1_1IRModuleNode.html#a0d057636380f1d0f72c83eeef5881dd4',1,'tvm::IRModuleNode']]],
+  ['containglobalvar_906',['ContainGlobalVar',['../classtvm_1_1IRModuleNode.html#ae211092cf3706d0d04aeac33e6643b6c',1,'tvm::IRModuleNode']]],
+  ['contains_907',['Contains',['../classtvm_1_1tir_1_1Layout.html#aa6326f16729fe950b537243e41a57aee',1,'tvm::tir::Layout']]],
+  ['containsname_908',['ContainsName',['../classtvm_1_1NameSupplyNode.html#ae21fb020cdff3d596f82cda382e08c02',1,'tvm::NameSupplyNode']]],
+  ['containsnode_909',['ContainsNode',['../namespacetvm_1_1tir.html#a2e86a6db6acc68d381d49df3e665415a',1,'tvm::tir']]],
+  ['continuesearchoneround_910',['ContinueSearchOneRound',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#a51222150cbfe753ddbf69da8ddc998e8',1,'tvm::auto_scheduler::SearchPolicyNode']]],
+  ['conv1dattrs_911',['Conv1DAttrs',['../structtvm_1_1relay_1_1Conv1DAttrs.html',1,'tvm::relay']]],
+  ['conv1dtransposeattrs_912',['Conv1DTransposeAttrs',['../structtvm_1_1relay_1_1Conv1DTransposeAttrs.html',1,'tvm::relay']]],
+  ['conv2d_5fhwcn_913',['conv2d_hwcn',['../namespacetvm_1_1topi.html#add4fcf426adc8dc763147fe931cd75db',1,'tvm::topi']]],
+  ['conv2d_5fnchw_914',['conv2d_nchw',['../namespacetvm_1_1topi.html#ab9c51c7fef04ab3ef134ce03aee3ce60',1,'tvm::topi']]],
+  ['conv2dattrs_915',['Conv2DAttrs',['../structtvm_1_1relay_1_1Conv2DAttrs.html',1,'tvm::relay']]],
+  ['conv2dtransposeattrs_916',['Conv2DTransposeAttrs',['../structtvm_1_1relay_1_1Conv2DTransposeAttrs.html',1,'tvm::relay']]],
+  ['conv2dwinogradattrs_917',['Conv2DWinogradAttrs',['../structtvm_1_1relay_1_1Conv2DWinogradAttrs.html',1,'tvm::relay']]],
+  ['conv2dwinogradnnpackweighttransformattrs_918',['Conv2DWinogradNNPACKWeightTransformAttrs',['../structtvm_1_1relay_1_1Conv2DWinogradNNPACKWeightTransformAttrs.html',1,'tvm::relay']]],
+  ['conv3dattrs_919',['Conv3DAttrs',['../structtvm_1_1relay_1_1Conv3DAttrs.html',1,'tvm::relay']]],
+  ['conv3dtransposeattrs_920',['Conv3DTransposeAttrs',['../structtvm_1_1relay_1_1Conv3DTransposeAttrs.html',1,'tvm::relay']]],
+  ['conv3dwinogradattrs_921',['Conv3DWinogradAttrs',['../structtvm_1_1relay_1_1Conv3DWinogradAttrs.html',1,'tvm::relay']]],
+  ['convert_922',['convert',['../structtvm_1_1runtime_1_1Array_1_1ValueConverter.html#a4bb65df089f7cafd324faa1cc6175403',1,'tvm::runtime::Array::ValueConverter']]],
+  ['convertblockstoopaque_923',['ConvertBlocksToOpaque',['../namespacetvm_1_1tir_1_1transform.html#ae14f476f006bd24ef62f7c170aded18d',1,'tvm::tir::transform']]],
+  ['convertdommap_924',['ConvertDomMap',['../namespacetvm_1_1arith.html#a32c10274dba2e8367f902ad4bb0e152a',1,'tvm::arith']]],
+  ['convertforloopstoserial_925',['ConvertForLoopsToSerial',['../namespacetvm_1_1tir_1_1transform.html#a106e04ba8c560e24a4b6c8c414184ec4',1,'tvm::tir::transform']]],
+  ['convertlayout_926',['ConvertLayout',['../namespacetvm_1_1relay_1_1transform.html#a40b49e82dd73b05bfac14040ee2fbdee',1,'tvm::relay::transform']]],
+  ['convertpoolallocationstooffsets_927',['ConvertPoolAllocationsToOffsets',['../namespacetvm_1_1tir_1_1usmp_1_1transform.html#a464c07ca7cea628a49aa8c90fa6359ef',1,'tvm::tir::usmp::transform']]],
+  ['convertssa_928',['ConvertSSA',['../namespacetvm_1_1tir_1_1transform.html#a78c4595056a7a397a88cce0d06975409',1,'tvm::tir::transform']]],
+  ['converttoarrayofbufferinfo_929',['ConvertToArrayOfBufferInfo',['../namespacetvm_1_1tir_1_1usmp.html#a21ae43cbdb5b3d51a069db62f3ae9227',1,'tvm::tir::usmp']]],
+  ['convgemmweighttransformattrs_930',['ConvGemmWeightTransformAttrs',['../structtvm_1_1relay_1_1ConvGemmWeightTransformAttrs.html',1,'tvm::relay']]],
+  ['convolution_5falgorithm_931',['convolution_algorithm',['../structtvm_1_1relay_1_1Conv2DWinogradNNPACKWeightTransformAttrs.html#a77b19e3aa880cd4476b261523aa6e9de',1,'tvm::relay::Conv2DWinogradNNPACKWeightTransformAttrs']]],
+  ['convwinogradweighttransformattrs_932',['ConvWinogradWeightTransformAttrs',['../structtvm_1_1relay_1_1ConvWinogradWeightTransformAttrs.html',1,'tvm::relay']]],
+  ['cooldown_5finterval_933',['cooldown_interval',['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html#a50c477ae09d4dd362bd8c71c0c1c7893',1,'tvm::auto_scheduler::ProgramRunnerNode']]],
+  ['coord_5fstart_934',['coord_start',['../structtvm_1_1relay_1_1NonMaximumSuppressionAttrs.html#a19d7377e75dd9b0db212a04a53155427',1,'tvm::relay::NonMaximumSuppressionAttrs']]],
+  ['coordinate_5ftransformation_5fmode_935',['coordinate_transformation_mode',['../structtvm_1_1relay_1_1Resize1DAttrs.html#a5db747680501dcc718f7b9a2198594cb',1,'tvm::relay::Resize1DAttrs::coordinate_transformation_mode()'],['../structtvm_1_1relay_1_1Resize2DAttrs.html#af8d786bcce6dd497c7c01e745f347939',1,'tvm::relay::Resize2DAttrs::coordinate_transformation_mode()'],['../structtvm_1_1relay_1_1Resize3DAttrs.html#a8a3907ec118c7be7fca24acf97b02786',1,'tvm::relay::Resize3DAttrs::coordinate_ [...]
+  ['coproc_5fscope_936',['coproc_scope',['../namespacetvm_1_1tir_1_1attr.html#ac62a341bfebe5448f290aa54b0f84cac',1,'tvm::tir::attr']]],
+  ['coproc_5fuop_5fscope_937',['coproc_uop_scope',['../namespacetvm_1_1tir_1_1attr.html#ae1d3379e8d6486e79853e254ea9a724e',1,'tvm::tir::attr']]],
+  ['coprocsync_938',['CoProcSync',['../namespacetvm_1_1tir_1_1transform.html#aa234deedbe456bf561a1b90f2ed94206',1,'tvm::tir::transform']]],
+  ['copy_939',['copy',['../classtvm_1_1te_1_1Schedule.html#aa4f7b3b60661fb56dd80892260d31189',1,'tvm::te::Schedule']]],
+  ['copy_940',['Copy',['../classtvm_1_1tir_1_1ScheduleNode.html#a70fbececf8717a961436a36ccc79c1d5',1,'tvm::tir::ScheduleNode']]],
+  ['copy_941',['copy',['../classtvm_1_1auto__scheduler_1_1MeasureResultNode.html#a78e416eabbaaf1d394b4e9f5c32703bf',1,'tvm::auto_scheduler::MeasureResultNode::copy()'],['../classtvm_1_1auto__scheduler_1_1MeasureInputNode.html#aa95a871b051e15e079038c51c83e35c2',1,'tvm::auto_scheduler::MeasureInputNode::copy()']]],
   ['copy_5fwith_5fdtype_942',['copy_with_dtype',['../classtvm_1_1tir_1_1Var.html#ade0597767b96db272938e5c50729fc10',1,'tvm::tir::Var']]],
   ['copy_5fwith_5fname_943',['copy_with_name',['../classtvm_1_1tir_1_1Var.html#a8938efd45dd5926d1da56850835a745c',1,'tvm::tir::Var']]],
   ['copy_5fwith_5fsuffix_944',['copy_with_suffix',['../classtvm_1_1tir_1_1Var.html#a9b7e30f86bd47d0442640df4f2b10dd7',1,'tvm::tir::Var']]],
@@ -274,52 +275,53 @@ var searchData=
   ['copyfrom_946',['CopyFrom',['../classtvm_1_1runtime_1_1NDArray.html#a62ceb9ace65922dde15790fff6d04661',1,'tvm::runtime::NDArray::CopyFrom(const NDArray &amp;other)'],['../classtvm_1_1runtime_1_1NDArray.html#a1550151d3616e918d45e047840b81e1e',1,'tvm::runtime::NDArray::CopyFrom(const DLTensor *other)'],['../classtvm_1_1runtime_1_1MapNode.html#a2d2eef30b22325a3535a25a1f9728f63',1,'tvm::runtime::MapNode::CopyFrom()'],['../classtvm_1_1runtime_1_1ArrayNode.html#abfcb7af7536da67a1789d99abfbb [...]
   ['copyfrombytes_947',['CopyFromBytes',['../classtvm_1_1runtime_1_1NDArray.html#af4d489a1208be9cc4248b592769bccf2',1,'tvm::runtime::NDArray']]],
   ['copyfromto_948',['CopyFromTo',['../classtvm_1_1runtime_1_1NDArray.html#ae2a878fb8c847666d2318b979714cefa',1,'tvm::runtime::NDArray']]],
-  ['copyonwrite_949',['CopyOnWrite',['../classtvm_1_1tir_1_1StmtMutator.html#a8dfe0e96ba9d3b4cd08e57c20c1eb414',1,'tvm::tir::StmtMutator::CopyOnWrite()'],['../classtvm_1_1runtime_1_1Map.html#a156c1e32c6e7a8a39e43091166563170',1,'tvm::runtime::Map::CopyOnWrite()'],['../classtvm_1_1runtime_1_1Array.html#ae82a366999c6d2a503411868f3bcf6af',1,'tvm::runtime::Array::CopyOnWrite()'],['../classtvm_1_1auto__scheduler_1_1Step.html#a0383dfd74c9bd48fd992f666c33be672',1,'tvm::auto_scheduler::Step::Cop [...]
+  ['copyonwrite_949',['CopyOnWrite',['../classtvm_1_1auto__scheduler_1_1Step.html#a0383dfd74c9bd48fd992f666c33be672',1,'tvm::auto_scheduler::Step::CopyOnWrite()'],['../classtvm_1_1tir_1_1StmtMutator.html#a8dfe0e96ba9d3b4cd08e57c20c1eb414',1,'tvm::tir::StmtMutator::CopyOnWrite()'],['../classtvm_1_1runtime_1_1Map.html#a156c1e32c6e7a8a39e43091166563170',1,'tvm::runtime::Map::CopyOnWrite()'],['../classtvm_1_1runtime_1_1Array.html#ae82a366999c6d2a503411868f3bcf6af',1,'tvm::runtime::Array::Cop [...]
   ['copysign_950',['copysign',['../namespacetvm.html#a69f67f2d38656a8e663af0912d00cb51',1,'tvm']]],
   ['copyto_951',['CopyTo',['../classtvm_1_1runtime_1_1NDArray.html#a4866411a24084a1a340ba7949a9b57dc',1,'tvm::runtime::NDArray::CopyTo(const Device &amp;dev, Optional&lt; String &gt; mem_scope=NullOpt) const'],['../classtvm_1_1runtime_1_1NDArray.html#a2fcba54ffa630bfbf024d8626dfec436',1,'tvm::runtime::NDArray::CopyTo(const NDArray &amp;other) const'],['../classtvm_1_1runtime_1_1NDArray.html#a7b077581a4cd4b1d4f783b49ccac112b',1,'tvm::runtime::NDArray::CopyTo(DLTensor *other) const']]],
   ['copytobytes_952',['CopyToBytes',['../classtvm_1_1runtime_1_1NDArray.html#abdef2994270da88d69a39245216543d8',1,'tvm::runtime::NDArray']]],
   ['correlationattrs_953',['CorrelationAttrs',['../structtvm_1_1relay_1_1CorrelationAttrs.html',1,'tvm::relay']]],
-  ['cos_954',['cos',['../namespacetvm.html#afdd8659490e81bdc0f2d42b77b882d30',1,'tvm::cos()'],['../namespacetvm_1_1topi.html#a7a7929aec48f7051695da35fce6a6835',1,'tvm::topi::cos()']]],
+  ['cos_954',['cos',['../namespacetvm_1_1topi.html#a7a7929aec48f7051695da35fce6a6835',1,'tvm::topi::cos()'],['../namespacetvm.html#afdd8659490e81bdc0f2d42b77b882d30',1,'tvm::cos(PrimExpr x, Span span=Span())']]],
   ['cosh_955',['cosh',['../namespacetvm.html#a41c8855d1e4f7ea1d01e42e6c214f877',1,'tvm::cosh()'],['../namespacetvm_1_1topi.html#ad343de728513ee6303ddb9012325cf89',1,'tvm::topi::cosh()']]],
-  ['cost_5fmodel_2eh_956',['cost_model.h',['../auto__scheduler_2cost__model_8h.html',1,'(Global Namespace)'],['../meta__schedule_2cost__model_8h.html',1,'(Global Namespace)']]],
+  ['cost_5fmodel_2eh_956',['cost_model.h',['../meta__schedule_2cost__model_8h.html',1,'(Global Namespace)'],['../auto__scheduler_2cost__model_8h.html',1,'(Global Namespace)']]],
   ['cost_5fmodel_5f_957',['cost_model_',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#a9aada5004adee75a8ad0087f8dfcaef1',1,'tvm::meta_schedule::TaskSchedulerNode']]],
   ['costmodel_958',['CostModel',['../classtvm_1_1auto__scheduler_1_1CostModel.html',1,'tvm::auto_scheduler::CostModel'],['../classtvm_1_1meta__schedule_1_1CostModel.html',1,'tvm::meta_schedule::CostModel']]],
   ['costmodelnode_959',['CostModelNode',['../classtvm_1_1auto__scheduler_1_1CostModelNode.html',1,'tvm::auto_scheduler::CostModelNode'],['../classtvm_1_1meta__schedule_1_1CostModelNode.html',1,'tvm::meta_schedule::CostModelNode']]],
   ['costs_960',['costs',['../classtvm_1_1auto__scheduler_1_1MeasureResultNode.html#a35f811b15ecfdd1a54d27559228c98b1',1,'tvm::auto_scheduler::MeasureResultNode']]],
-  ['count_961',['count',['../classtvm_1_1AttrRegistryMapContainerMap.html#a7ff19967cecc86432777d4e1c84c2e3d',1,'tvm::AttrRegistryMapContainerMap::count()'],['../classtvm_1_1AttrRegistryMap.html#a663fdc3db2e1736068b67afa33e234ae',1,'tvm::AttrRegistryMap::count()'],['../classtvm_1_1runtime_1_1MapNode.html#a670b7adb420248489fd57a9458ced561',1,'tvm::runtime::MapNode::count()'],['../classtvm_1_1runtime_1_1SmallMapNode.html#a99bd2454e0afbfb9fdf2644f5f709783',1,'tvm::runtime::SmallMapNode::coun [...]
+  ['count_961',['count',['../classtvm_1_1runtime_1_1MapNode.html#a670b7adb420248489fd57a9458ced561',1,'tvm::runtime::MapNode::count()'],['../classtvm_1_1runtime_1_1Map.html#aa713b1b421fda78159a0a66740943c6c',1,'tvm::runtime::Map::count()'],['../classtvm_1_1runtime_1_1DenseMapNode.html#ace1ea25bb95eb97d15788e83649db912',1,'tvm::runtime::DenseMapNode::count()'],['../classtvm_1_1runtime_1_1SmallMapNode.html#a99bd2454e0afbfb9fdf2644f5f709783',1,'tvm::runtime::SmallMapNode::count()'],['../cla [...]
   ['count_5finclude_5fpad_962',['count_include_pad',['../structtvm_1_1relay_1_1AvgPool2DAttrs.html#ab8af740bf62dcdc954cdd31f64e701d9',1,'tvm::relay::AvgPool2DAttrs::count_include_pad()'],['../structtvm_1_1relay_1_1AvgPool1DAttrs.html#a40706eb3415bd5cec546d10721c41c8a',1,'tvm::relay::AvgPool1DAttrs::count_include_pad()'],['../structtvm_1_1relay_1_1AvgPool3DAttrs.html#ae59fca8d9b4572dc87c46520262c595b',1,'tvm::relay::AvgPool3DAttrs::count_include_pad()']]],
   ['countnode_963',['CountNode',['../classtvm_1_1runtime_1_1profiling_1_1CountNode.html#ac3b94e98fc70b7583a1912123715f7a1',1,'tvm::runtime::profiling::CountNode::CountNode()'],['../classtvm_1_1runtime_1_1profiling_1_1CountNode.html',1,'tvm::runtime::profiling::CountNode']]],
   ['coverrange_964',['CoverRange',['../classtvm_1_1arith_1_1IntSet.html#a42f788ffee7a95c72337896e91a68f9c',1,'tvm::arith::IntSet']]],
   ['crc16_5fcompute_965',['crc16_compute',['../namespacetvm_1_1runtime_1_1micro__rpc.html#aee88d771b775a6c7caf709c47880ad3d',1,'tvm::runtime::micro_rpc']]],
   ['create_966',['Create',['../classtvm_1_1tir_1_1SRefTreeCreator.html#aec756aaae7eacc4e6f88e74d9d490fcc',1,'tvm::tir::SRefTreeCreator::Create()'],['../classtvm_1_1relay_1_1Runtime.html#a7f9d3ecff6d137acf0537a495a6e25a9',1,'tvm::relay::Runtime::Create()'],['../classtvm_1_1relay_1_1Executor.html#a40d1e25dda59f1d3bb24317c8cf9aac9',1,'tvm::relay::Executor::Create()'],['../classtvm_1_1transform_1_1PassContext.html#aabfad8965c2f4e7b6e4b0812652ddfd2',1,'tvm::transform::PassContext::Create()']]],
-  ['create_5fgroup_967',['create_group',['../classtvm_1_1te_1_1Schedule.html#a638e7b946df3b5d2e2cde3acc0201da0',1,'tvm::te::Schedule']]],
-  ['create_5fschedule_968',['create_schedule',['../namespacetvm_1_1te.html#a485034766309df280239e0994913b34b',1,'tvm::te']]],
-  ['createallocatesforio_969',['CreateAllocatesForIO',['../namespacetvm_1_1tir_1_1usmp_1_1transform.html#ad1751f300f05f2448d280b98c48b65a1',1,'tvm::tir::usmp::transform']]],
-  ['createfromrange_970',['CreateFromRange',['../classtvm_1_1runtime_1_1MapNode.html#a6b54c7503c17ee3bb7eadcd1ac0ed009',1,'tvm::runtime::MapNode']]],
-  ['createfunctionpass_971',['CreateFunctionPass',['../namespacetvm_1_1relay_1_1transform.html#a2101aa797e69d398012ef94b63db51da',1,'tvm::relay::transform']]],
-  ['createinitobject_972',['CreateInitObject',['../classtvm_1_1ReflectionVTable.html#a4a07073de645894b19522d7da1ac708c',1,'tvm::ReflectionVTable']]],
-  ['createinitvisitor_973',['CreateInitVisitor',['../namespacetvm_1_1detail.html#abe71237e8e483fd9277356234eca35d4',1,'tvm::detail']]],
-  ['createmodulepass_974',['CreateModulePass',['../namespacetvm_1_1transform.html#a241d7d09dbbff79f935e67a8c5fce7e9',1,'tvm::transform']]],
-  ['createobject_975',['CreateObject',['../classtvm_1_1ReflectionVTable.html#a7e9282bf41a0b789833f63fd93f4d181',1,'tvm::ReflectionVTable::CreateObject(const std::string &amp;type_key, const runtime::TVMArgs &amp;kwargs)'],['../classtvm_1_1ReflectionVTable.html#a64c45110f5eb7dbb7976a35f58a3e20c',1,'tvm::ReflectionVTable::CreateObject(const std::string &amp;type_key, const Map&lt; String, ObjectRef &gt; &amp;kwargs)']]],
-  ['createpapimetriccollector_976',['CreatePAPIMetricCollector',['../namespacetvm_1_1runtime_1_1profiling.html#af49d404b75e55adc53c4282c4b247573',1,'tvm::runtime::profiling']]],
-  ['createprimfuncpass_977',['CreatePrimFuncPass',['../namespacetvm_1_1tir_1_1transform.html#a6b1492ac3cab5f661e4fb05d26a19200',1,'tvm::tir::transform']]],
-  ['createrepeated_978',['CreateRepeated',['../classtvm_1_1runtime_1_1ArrayNode.html#aae210dbc3667622a873a27b822462ace',1,'tvm::runtime::ArrayNode']]],
-  ['createstream_979',['CreateStream',['../classtvm_1_1runtime_1_1DeviceAPI.html#a33ace0cb4e8bfc9708fc5599a7ffe96a',1,'tvm::runtime::DeviceAPI']]],
-  ['createview_980',['CreateView',['../classtvm_1_1runtime_1_1NDArray.html#ae0f316337429906e958021fca394eb38',1,'tvm::runtime::NDArray']]],
-  ['creator_981',['creator',['../structtvm_1_1script_1_1printer_1_1IRDocsifierNode_1_1VariableInfo.html#a12cd0628b9d92adbd0f0817269d60eaf',1,'tvm::script::printer::IRDocsifierNode::VariableInfo']]],
-  ['crop_5fsize_982',['crop_size',['../structtvm_1_1relay_1_1CropAndResizeAttrs.html#aaf429a447bb693f1c86ee0cc091656f2',1,'tvm::relay::CropAndResizeAttrs']]],
-  ['cropandresizeattrs_983',['CropAndResizeAttrs',['../structtvm_1_1relay_1_1CropAndResizeAttrs.html',1,'tvm::relay']]],
-  ['crops_984',['crops',['../structtvm_1_1relay_1_1BatchToSpaceNDAttrs.html#af631cf77a6c0ad5f19a9f645ce51b8aa',1,'tvm::relay::BatchToSpaceNDAttrs']]],
-  ['crossthreadreduction_985',['CrossThreadReduction',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a14acfc5ea272e2e53f9ac3e1110e53ea',1,'tvm::meta_schedule::ScheduleRule']]],
-  ['crt_2eh_986',['crt.h',['../crt_8h.html',1,'']]],
-  ['ct_987',['ct',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#aae1a473d3d612cd302bc1ba7bb4fa147',1,'tvm::auto_scheduler::ProgramMeasurerNode']]],
-  ['ctx_988',['ctx',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html#af7d6798ed44ce08b2b28b2897bd53814',1,'tvm::meta_schedule::TaskRecordNode']]],
-  ['cubic_5falpha_989',['cubic_alpha',['../structtvm_1_1relay_1_1Resize3DAttrs.html#a2b4f1c4e23644bae17f1f2b5d9257636',1,'tvm::relay::Resize3DAttrs::cubic_alpha()'],['../structtvm_1_1relay_1_1Resize1DAttrs.html#ae32a764f27eb591f4aa1713fb60b270d',1,'tvm::relay::Resize1DAttrs::cubic_alpha()'],['../structtvm_1_1relay_1_1Resize2DAttrs.html#a7b0f288b94267757ae9ab921aa12ddff',1,'tvm::relay::Resize2DAttrs::cubic_alpha()']]],
-  ['cubic_5fexclude_990',['cubic_exclude',['../structtvm_1_1relay_1_1Resize3DAttrs.html#a90a603b3e67cf0325a5b9aab5afdbfe6',1,'tvm::relay::Resize3DAttrs::cubic_exclude()'],['../structtvm_1_1relay_1_1Resize2DAttrs.html#a5de3109c57c4b7597c2f6362cc29726e',1,'tvm::relay::Resize2DAttrs::cubic_exclude()'],['../structtvm_1_1relay_1_1Resize1DAttrs.html#a02fa3ba327906b0dea5f2c2f066f89ba',1,'tvm::relay::Resize1DAttrs::cubic_exclude()']]],
-  ['cublas_2eh_991',['cublas.h',['../cublas_8h.html',1,'']]],
-  ['cublas_5fbatch_5fmatmul_992',['cublas_batch_matmul',['../namespacetvm_1_1topi_1_1contrib.html#a73b932a26374350f8a1b75b092c92091',1,'tvm::topi::contrib']]],
-  ['cublas_5fmatmul_993',['cublas_matmul',['../namespacetvm_1_1topi_1_1contrib.html#a68c8492ea536608724ef6267b0785054',1,'tvm::topi::contrib']]],
-  ['current_994',['Current',['../classtvm_1_1te_1_1SpecializedCondition.html#a5da12dcea3337e0caf59ffda33dd824a',1,'tvm::te::SpecializedCondition::Current()'],['../classtvm_1_1Target.html#abed5e5cfb5d36e70ea5eaadef9fb63b2',1,'tvm::Target::Current()'],['../classtvm_1_1script_1_1ir__builder_1_1IRBuilder.html#a7cbc01ca0448da52ce17a3fd961c569e',1,'tvm::script::ir_builder::IRBuilder::Current()'],['../classtvm_1_1meta__schedule_1_1Profiler.html#a6e6dcf192fceb3d5e23e12c6a79c30b6',1,'tvm::meta_sc [...]
-  ['current_5fcompute_5fdag_995',['current_compute_dag',['../classtvm_1_1auto__scheduler_1_1StateNode.html#a84416c45e06875f255e3c7b092f17404',1,'tvm::auto_scheduler::StateNode']]],
-  ['customdatatypeslowerer_996',['CustomDatatypesLowerer',['../classtvm_1_1tir_1_1BufferLoadNode.html#a46452c76ddea6c63353f8101e3462238',1,'tvm::tir::BufferLoadNode']]]
+  ['create_5fbarriers_967',['create_barriers',['../namespacetvm_1_1tir_1_1builtin.html#a2dd4fbe8995788ddcd3dfb1f788869ee',1,'tvm::tir::builtin']]],
+  ['create_5fgroup_968',['create_group',['../classtvm_1_1te_1_1Schedule.html#a638e7b946df3b5d2e2cde3acc0201da0',1,'tvm::te::Schedule']]],
+  ['create_5fschedule_969',['create_schedule',['../namespacetvm_1_1te.html#a485034766309df280239e0994913b34b',1,'tvm::te']]],
+  ['createallocatesforio_970',['CreateAllocatesForIO',['../namespacetvm_1_1tir_1_1usmp_1_1transform.html#ad1751f300f05f2448d280b98c48b65a1',1,'tvm::tir::usmp::transform']]],
+  ['createfromrange_971',['CreateFromRange',['../classtvm_1_1runtime_1_1MapNode.html#a6b54c7503c17ee3bb7eadcd1ac0ed009',1,'tvm::runtime::MapNode']]],
+  ['createfunctionpass_972',['CreateFunctionPass',['../namespacetvm_1_1relay_1_1transform.html#a2101aa797e69d398012ef94b63db51da',1,'tvm::relay::transform']]],
+  ['createinitobject_973',['CreateInitObject',['../classtvm_1_1ReflectionVTable.html#a4a07073de645894b19522d7da1ac708c',1,'tvm::ReflectionVTable']]],
+  ['createinitvisitor_974',['CreateInitVisitor',['../namespacetvm_1_1detail.html#abe71237e8e483fd9277356234eca35d4',1,'tvm::detail']]],
+  ['createmodulepass_975',['CreateModulePass',['../namespacetvm_1_1transform.html#a241d7d09dbbff79f935e67a8c5fce7e9',1,'tvm::transform']]],
+  ['createobject_976',['CreateObject',['../classtvm_1_1ReflectionVTable.html#a7e9282bf41a0b789833f63fd93f4d181',1,'tvm::ReflectionVTable::CreateObject(const std::string &amp;type_key, const runtime::TVMArgs &amp;kwargs)'],['../classtvm_1_1ReflectionVTable.html#a64c45110f5eb7dbb7976a35f58a3e20c',1,'tvm::ReflectionVTable::CreateObject(const std::string &amp;type_key, const Map&lt; String, ObjectRef &gt; &amp;kwargs)']]],
+  ['createpapimetriccollector_977',['CreatePAPIMetricCollector',['../namespacetvm_1_1runtime_1_1profiling.html#af49d404b75e55adc53c4282c4b247573',1,'tvm::runtime::profiling']]],
+  ['createprimfuncpass_978',['CreatePrimFuncPass',['../namespacetvm_1_1tir_1_1transform.html#a6b1492ac3cab5f661e4fb05d26a19200',1,'tvm::tir::transform']]],
+  ['createrepeated_979',['CreateRepeated',['../classtvm_1_1runtime_1_1ArrayNode.html#aae210dbc3667622a873a27b822462ace',1,'tvm::runtime::ArrayNode']]],
+  ['createstream_980',['CreateStream',['../classtvm_1_1runtime_1_1DeviceAPI.html#a33ace0cb4e8bfc9708fc5599a7ffe96a',1,'tvm::runtime::DeviceAPI']]],
+  ['createview_981',['CreateView',['../classtvm_1_1runtime_1_1NDArray.html#ae0f316337429906e958021fca394eb38',1,'tvm::runtime::NDArray']]],
+  ['creator_982',['creator',['../structtvm_1_1script_1_1printer_1_1IRDocsifierNode_1_1VariableInfo.html#a12cd0628b9d92adbd0f0817269d60eaf',1,'tvm::script::printer::IRDocsifierNode::VariableInfo']]],
+  ['crop_5fsize_983',['crop_size',['../structtvm_1_1relay_1_1CropAndResizeAttrs.html#aaf429a447bb693f1c86ee0cc091656f2',1,'tvm::relay::CropAndResizeAttrs']]],
+  ['cropandresizeattrs_984',['CropAndResizeAttrs',['../structtvm_1_1relay_1_1CropAndResizeAttrs.html',1,'tvm::relay']]],
+  ['crops_985',['crops',['../structtvm_1_1relay_1_1BatchToSpaceNDAttrs.html#af631cf77a6c0ad5f19a9f645ce51b8aa',1,'tvm::relay::BatchToSpaceNDAttrs']]],
+  ['crossthreadreduction_986',['CrossThreadReduction',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a14acfc5ea272e2e53f9ac3e1110e53ea',1,'tvm::meta_schedule::ScheduleRule']]],
+  ['crt_2eh_987',['crt.h',['../crt_8h.html',1,'']]],
+  ['ct_988',['ct',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#aae1a473d3d612cd302bc1ba7bb4fa147',1,'tvm::auto_scheduler::ProgramMeasurerNode']]],
+  ['ctx_989',['ctx',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html#af7d6798ed44ce08b2b28b2897bd53814',1,'tvm::meta_schedule::TaskRecordNode']]],
+  ['cubic_5falpha_990',['cubic_alpha',['../structtvm_1_1relay_1_1Resize3DAttrs.html#a2b4f1c4e23644bae17f1f2b5d9257636',1,'tvm::relay::Resize3DAttrs::cubic_alpha()'],['../structtvm_1_1relay_1_1Resize2DAttrs.html#a7b0f288b94267757ae9ab921aa12ddff',1,'tvm::relay::Resize2DAttrs::cubic_alpha()'],['../structtvm_1_1relay_1_1Resize1DAttrs.html#ae32a764f27eb591f4aa1713fb60b270d',1,'tvm::relay::Resize1DAttrs::cubic_alpha()']]],
+  ['cubic_5fexclude_991',['cubic_exclude',['../structtvm_1_1relay_1_1Resize3DAttrs.html#a90a603b3e67cf0325a5b9aab5afdbfe6',1,'tvm::relay::Resize3DAttrs::cubic_exclude()'],['../structtvm_1_1relay_1_1Resize2DAttrs.html#a5de3109c57c4b7597c2f6362cc29726e',1,'tvm::relay::Resize2DAttrs::cubic_exclude()'],['../structtvm_1_1relay_1_1Resize1DAttrs.html#a02fa3ba327906b0dea5f2c2f066f89ba',1,'tvm::relay::Resize1DAttrs::cubic_exclude()']]],
+  ['cublas_2eh_992',['cublas.h',['../cublas_8h.html',1,'']]],
+  ['cublas_5fbatch_5fmatmul_993',['cublas_batch_matmul',['../namespacetvm_1_1topi_1_1contrib.html#a73b932a26374350f8a1b75b092c92091',1,'tvm::topi::contrib']]],
+  ['cublas_5fmatmul_994',['cublas_matmul',['../namespacetvm_1_1topi_1_1contrib.html#a68c8492ea536608724ef6267b0785054',1,'tvm::topi::contrib']]],
+  ['current_995',['Current',['../classtvm_1_1te_1_1SpecializedCondition.html#a5da12dcea3337e0caf59ffda33dd824a',1,'tvm::te::SpecializedCondition::Current()'],['../classtvm_1_1Target.html#abed5e5cfb5d36e70ea5eaadef9fb63b2',1,'tvm::Target::Current()'],['../classtvm_1_1script_1_1ir__builder_1_1IRBuilder.html#a7cbc01ca0448da52ce17a3fd961c569e',1,'tvm::script::ir_builder::IRBuilder::Current()'],['../classtvm_1_1meta__schedule_1_1Profiler.html#a6e6dcf192fceb3d5e23e12c6a79c30b6',1,'tvm::meta_sc [...]
+  ['current_5fcompute_5fdag_996',['current_compute_dag',['../classtvm_1_1auto__scheduler_1_1StateNode.html#a84416c45e06875f255e3c7b092f17404',1,'tvm::auto_scheduler::StateNode']]],
+  ['customdatatypeslowerer_997',['CustomDatatypesLowerer',['../classtvm_1_1tir_1_1BufferLoadNode.html#a46452c76ddea6c63353f8101e3462238',1,'tvm::tir::BufferLoadNode']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_5.js b/docs/reference/api/doxygen/search/all_5.js
index 8a5dfad3b1..66ee58e494 100644
--- a/docs/reference/api/doxygen/search/all_5.js
+++ b/docs/reference/api/doxygen/search/all_5.js
@@ -1,231 +1,231 @@
 var searchData=
 [
-  ['d_997',['d',['../classtvm_1_1script_1_1printer_1_1FrameNode.html#a221b8540800c2675d5887114251c0121',1,'tvm::script::printer::FrameNode']]],
-  ['data_998',['data',['../classtvm_1_1runtime_1_1metadata_1_1ConstantInfoMetadataNode.html#afe95baaa2d7cca5908f4907a91b037b2',1,'tvm::runtime::metadata::ConstantInfoMetadataNode::data()'],['../structtvm_1_1ConstantInfoNode.html#a5939dd0858673a2f966a0329d77833b7',1,'tvm::ConstantInfoNode::data()'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfoNode.html#ac7e7dc8bf39bea5f51d34758f0edd30f',1,'tvm::runtime::metadata::TensorInfoNode::data()'],['../classtvm_1_1runtime_1_1metadata_1_1Metada [...]
-  ['data_5f_999',['data_',['../classtvm_1_1runtime_1_1ObjectRef.html#ac261cdb80487fb29ac42b28678f8cbef',1,'tvm::runtime::ObjectRef::data_()'],['../classtvm_1_1runtime_1_1metadata_1_1ConstantInfoMetadataNode.html#a95f74c847b816465fc96b861f164af88',1,'tvm::runtime::metadata::ConstantInfoMetadataNode::data_()'],['../classtvm_1_1runtime_1_1DenseMapNode.html#a58d530f3be4fac7ff99a574c2f6c8ddc',1,'tvm::runtime::DenseMapNode::data_()']]],
-  ['data_5falignment_1000',['data_alignment',['../classtvm_1_1tir_1_1BufferNode.html#aac30fc17abe8bde34272a854ba74b16a',1,'tvm::tir::BufferNode']]],
-  ['data_5fbits_1001',['data_bits',['../structtvm_1_1relay_1_1BinaryDenseAttrs.html#a080c3768bc73266ef675953ea98c8ae8',1,'tvm::relay::BinaryDenseAttrs']]],
-  ['data_5fbytes_1002',['data_bytes',['../structTVMConstantInfo.html#a0dc8d8ec969b45d9d5c8bbbf615e29d2',1,'TVMConstantInfo']]],
-  ['data_5flayout_1003',['data_layout',['../structtvm_1_1relay_1_1Conv2DWinogradAttrs.html#a15350be67dd9492f29b828660a3f7a5f',1,'tvm::relay::Conv2DWinogradAttrs::data_layout()'],['../structtvm_1_1relay_1_1DeformableConv2DAttrs.html#afbf41d75b87a6d33a15b4a9a9523710d',1,'tvm::relay::DeformableConv2DAttrs::data_layout()'],['../structtvm_1_1relay_1_1Conv1DTransposeAttrs.html#ad84a491c34314a7f22d3a628d717eb83',1,'tvm::relay::Conv1DTransposeAttrs::data_layout()'],['../structtvm_1_1relay_1_1Con [...]
-  ['data_5flayout_2eh_1004',['data_layout.h',['../data__layout_8h.html',1,'']]],
-  ['data_5flen_1005',['data_len',['../structTVMConstantInfo.html#ad5b469162c8a56c49b59f20b50102a41',1,'TVMConstantInfo']]],
-  ['data_5ftype_2eh_1006',['data_type.h',['../data__type_8h.html',1,'']]],
-  ['data_5ftype_5frewriter_2eh_1007',['data_type_rewriter.h',['../data__type__rewriter_8h.html',1,'']]],
-  ['database_1008',['Database',['../classtvm_1_1meta__schedule_1_1Database.html',1,'tvm::meta_schedule']]],
-  ['database_2eh_1009',['database.h',['../database_8h.html',1,'']]],
-  ['database_5f_1010',['database_',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#af0668d2e6974fff979474d9941f97a96',1,'tvm::meta_schedule::TaskSchedulerNode']]],
-  ['databasenode_1011',['DatabaseNode',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#adfd6210675fcb9dd7778d77b8ce56782',1,'tvm::meta_schedule::DatabaseNode::DatabaseNode()'],['../classtvm_1_1meta__schedule_1_1DatabaseNode.html',1,'tvm::meta_schedule::DatabaseNode']]],
-  ['dataflow_5fmatcher_2eh_1012',['dataflow_matcher.h',['../dataflow__matcher_8h.html',1,'']]],
-  ['dataflow_5fpattern_2eh_1013',['dataflow_pattern.h',['../dataflow__pattern_8h.html',1,'']]],
-  ['dataflow_5fpattern_5ffunctor_2eh_1014',['dataflow_pattern_functor.h',['../dataflow__pattern__functor_8h.html',1,'']]],
-  ['dataproducer_1015',['DataProducer',['../classtvm_1_1tir_1_1DataProducer.html',1,'tvm::tir']]],
-  ['dataproducernode_1016',['DataProducerNode',['../classtvm_1_1tir_1_1DataProducerNode.html',1,'tvm::tir']]],
-  ['datatype_1017',['DataType',['../classtvm_1_1script_1_1printer_1_1LiteralDoc.html#adf4d8759e01746a0da3b03146b7baf00',1,'tvm::script::printer::LiteralDoc::DataType()'],['../classtvm_1_1runtime_1_1NDArray.html#a87d9cadd0c232324c101f7ed231aa193',1,'tvm::runtime::NDArray::DataType()'],['../classtvm_1_1runtime_1_1DataType.html#a58d36fef8d6b5fb39b01dccd79ff1db4',1,'tvm::runtime::DataType::DataType(int code, int bits, int lanes)'],['../classtvm_1_1runtime_1_1DataType.html#aa27f63a2395ce1ac52 [...]
-  ['datatype_5ffields_1018',['datatype_fields',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a25adb383014a74a9c4ac805beefe86b6',1,'tvm::runtime::vm::Instruction']]],
-  ['datatypelegalizer_1019',['DataTypeLegalizer',['../classtvm_1_1tir_1_1DataTypeLegalizer.html',1,'tvm::tir']]],
-  ['datatypepattern_1020',['DataTypePattern',['../classtvm_1_1relay_1_1DataTypePattern.html#a1af68239730a8b75bfb35cfce320b653',1,'tvm::relay::DataTypePattern::DataTypePattern()'],['../classtvm_1_1relay_1_1DataTypePattern.html',1,'tvm::relay::DataTypePattern']]],
-  ['datatypepatternnode_1021',['DataTypePatternNode',['../classtvm_1_1relay_1_1DataTypePatternNode.html',1,'tvm::relay']]],
-  ['deadcodeelimination_1022',['DeadCodeElimination',['../namespacetvm_1_1relay_1_1transform.html#a441963a144dae58b7633d0a674cf20cc',1,'tvm::relay::transform']]],
-  ['debug_2eh_1023',['debug.h',['../runtime_2debug_8h.html',1,'(Global Namespace)'],['../relay_2attrs_2debug_8h.html',1,'(Global Namespace)']]],
-  ['debug_5ffunc_1024',['debug_func',['../structtvm_1_1relay_1_1DebugAttrs.html#aa57fc666a9674bdd94ad8e5ac4da18f7',1,'tvm::relay::DebugAttrs']]],
-  ['debug_5fmask_1025',['debug_mask',['../classtvm_1_1tir_1_1ScheduleStateNode.html#a33ab5d3859aaf065c35e561d17b3ca48',1,'tvm::tir::ScheduleStateNode']]],
-  ['debugattrs_1026',['DebugAttrs',['../structtvm_1_1relay_1_1DebugAttrs.html',1,'tvm::relay']]],
-  ['debugverify_1027',['DebugVerify',['../classtvm_1_1tir_1_1ScheduleStateNode.html#a7ebf3759af136021c4549596ebfc468f',1,'tvm::tir::ScheduleStateNode']]],
-  ['decisions_1028',['decisions',['../classtvm_1_1tir_1_1TraceNode.html#a28bd8da64eaa35b0150c3b2a08a0e9e4',1,'tvm::tir::TraceNode']]],
-  ['decl_5fbuffer_1029',['decl_buffer',['../namespacetvm_1_1tir.html#a65cc94726eb40752523b952f500d00ec',1,'tvm::tir']]],
-  ['declbuffer_1030',['DeclBuffer',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a6e77b67c895c432fe7f69cc2d19b2cf2',1,'tvm::script::ir_builder::tir::DeclBuffer()'],['../classtvm_1_1tir_1_1DeclBuffer.html#a45dacd8c131761c6b8275f3da994e653',1,'tvm::tir::DeclBuffer::DeclBuffer()'],['../classtvm_1_1tir_1_1DeclBuffer.html',1,'tvm::tir::DeclBuffer']]],
-  ['declbufferframe_1031',['DeclBufferFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1DeclBufferFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['declbufferframenode_1032',['DeclBufferFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1DeclBufferFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['declbuffernode_1033',['DeclBufferNode',['../classtvm_1_1tir_1_1DeclBufferNode.html',1,'tvm::tir']]],
-  ['declfunction_1034',['DeclFunction',['../namespacetvm_1_1script_1_1ir__builder_1_1ir.html#ae9bc084cfd82fd955306725c1a2add20',1,'tvm::script::ir_builder::ir']]],
-  ['decomposepadding_1035',['DecomposePadding',['../classtvm_1_1tir_1_1ScheduleNode.html#af7ef928082afe7f45b417f3e130792e8',1,'tvm::tir::ScheduleNode']]],
-  ['decomposereduction_1036',['DecomposeReduction',['../classtvm_1_1tir_1_1ScheduleNode.html#a134eb33f96d69623a024c9c466183a00',1,'tvm::tir::ScheduleNode']]],
-  ['decoratedevicescope_1037',['DecorateDeviceScope',['../namespacetvm_1_1tir_1_1transform.html#a6648d0a1c613e7e0f5e231059c3c22c6',1,'tvm::tir::transform']]],
-  ['decorators_1038',['decorators',['../classtvm_1_1script_1_1printer_1_1FunctionDocNode.html#a5bfd7179298fe5bcbc9527af2b3b98e0',1,'tvm::script::printer::FunctionDocNode::decorators()'],['../classtvm_1_1script_1_1printer_1_1ClassDocNode.html#a253cf698eba7d39b7345553e646bc8b9',1,'tvm::script::printer::ClassDocNode::decorators()']]],
-  ['decref_1039',['DecRef',['../classtvm_1_1runtime_1_1Object.html#a70fb5361147634605d6595bb89381f03',1,'tvm::runtime::Object::DecRef()'],['../classtvm_1_1runtime_1_1NDArray_1_1Container.html#a70fb5361147634605d6595bb89381f03',1,'tvm::runtime::NDArray::Container::DecRef()']]],
-  ['deducebound_1040',['DeduceBound',['../namespacetvm_1_1arith.html#a3658d391f2333f405abdd54a9f174c9f',1,'tvm::arith::DeduceBound(PrimExpr v, PrimExpr cond, const std::unordered_map&lt; const VarNode *, IntSet &gt; &amp;hint_map, const std::unordered_map&lt; const VarNode *, IntSet &gt; &amp;relax_map)'],['../namespacetvm_1_1arith.html#af0860828b70ea8ff0d2f7c036c37afd5',1,'tvm::arith::DeduceBound(PrimExpr v, PrimExpr cond, const Map&lt; Var, IntSet &gt; &amp;hint_map, const Map&lt; Var, [...]
-  ['dedup_1041',['DeDup',['../namespacetvm_1_1relay.html#a1ecbcbe35c7abd82b9eabf94f6b797d2',1,'tvm::relay']]],
-  ['default_1042',['Default',['../classtvm_1_1DiagnosticContext.html#ab0a08b05d11230b5108086cd5118f488',1,'tvm::DiagnosticContext::Default()'],['../classtvm_1_1meta__schedule_1_1MeasureCallback.html#a88ce90c3501edf83c42196f29920029f',1,'tvm::meta_schedule::MeasureCallback::Default()'],['../classtvm_1_1VirtualDevice.html#a73364da6471b4634fb14abf10ce42f3c',1,'tvm::VirtualDevice::Default()']]],
-  ['default_2eh_1043',['default.h',['../generic_2default_8h.html',1,'(Global Namespace)'],['../x86_2default_8h.html',1,'(Global Namespace)']]],
-  ['default_5fdevice_5ftype_1044',['default_device_type',['../classtvm_1_1TargetKindNode.html#a0d66deaddc1ac8bfe3e39616df811b7e',1,'tvm::TargetKindNode']]],
-  ['default_5fkeys_1045',['default_keys',['../classtvm_1_1TargetKindNode.html#aa62e049ba158730d9ab88e4c0b173de9',1,'tvm::TargetKindNode']]],
-  ['default_5fmax_5fcontinuous_5ferror_1046',['DEFAULT_MAX_CONTINUOUS_ERROR',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a6600d5b819e6c7e9ef3f6c32c355e3db',1,'tvm::auto_scheduler::ProgramMeasurerNode']]],
-  ['default_5fprimitive_5fvirtual_5fdevice_1047',['default_primitive_virtual_device',['../classtvm_1_1CompilationConfigNode.html#abe4569cf32c57b710be99b50e7118876',1,'tvm::CompilationConfigNode']]],
-  ['default_5fschedule_1048',['default_schedule',['../namespacetvm_1_1topi_1_1x86.html#a8df4b07cd29b24d5c1323df91892fad4',1,'tvm::topi::x86::default_schedule()'],['../namespacetvm_1_1topi_1_1generic.html#ae10c7793be021c3da437aeb2f79d8d2e',1,'tvm::topi::generic::default_schedule()']]],
-  ['default_5fschedule_5fauto_5finline_1049',['default_schedule_auto_inline',['../namespacetvm_1_1topi_1_1x86.html#af70d13cc92e434e9bce17cf76f4ef4f8',1,'tvm::topi::x86::default_schedule_auto_inline()'],['../namespacetvm_1_1topi_1_1generic.html#a1b7888cf36fa1da754ec65303a2dbbfb',1,'tvm::topi::generic::default_schedule_auto_inline()']]],
-  ['defaultarm_1050',['DefaultARM',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#aed1df21c99b9b2be40b0614b33800635',1,'tvm::meta_schedule::ScheduleRule']]],
-  ['defaultcputensorization_1051',['DefaultCPUTensorization',['../classtvm_1_1meta__schedule_1_1Postproc.html#a4fe2775d916e99f27815aac6df46fd0c',1,'tvm::meta_schedule::Postproc']]],
-  ['defaultcuda_1052',['DefaultCUDA',['../classtvm_1_1meta__schedule_1_1Mutator.html#a6eb9b1298865cdeb5a8247a4e14454e3',1,'tvm::meta_schedule::Mutator::DefaultCUDA()'],['../classtvm_1_1meta__schedule_1_1Postproc.html#a799e989283bbfa92471829ab23179df5',1,'tvm::meta_schedule::Postproc::DefaultCUDA()'],['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a77ab3dd14cbfcec7ed059559f7afc372',1,'tvm::meta_schedule::ScheduleRule::DefaultCUDA()']]],
-  ['defaultcudatensorcore_1053',['DefaultCUDATensorCore',['../classtvm_1_1meta__schedule_1_1Mutator.html#af612e614b9550f83d7cc30e0a431df2a',1,'tvm::meta_schedule::Mutator::DefaultCUDATensorCore()'],['../classtvm_1_1meta__schedule_1_1Postproc.html#a48dc2532ac0a7970cfcf1d482473a631',1,'tvm::meta_schedule::Postproc::DefaultCUDATensorCore()'],['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a2abd71c2f3600573784d855d3cd63814',1,'tvm::meta_schedule::ScheduleRule::DefaultCUDATensorCore()']]],
-  ['defaulthexagon_1054',['DefaultHexagon',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#acd4de1f7ace3a34603f8832ae1b3180b',1,'tvm::meta_schedule::ScheduleRule::DefaultHexagon()'],['../classtvm_1_1meta__schedule_1_1Mutator.html#a4ce54511e556a30567e5d5876c81c91d',1,'tvm::meta_schedule::Mutator::DefaultHexagon()'],['../classtvm_1_1meta__schedule_1_1Postproc.html#ae4b33fac30e9420d0a0287ab44c37a98',1,'tvm::meta_schedule::Postproc::DefaultHexagon()']]],
-  ['defaultindextype_1055',['DefaultIndexType',['../classtvm_1_1tir_1_1BufferNode.html#ac24a0bfa4f80776086c7eadaf98ba5b3',1,'tvm::tir::BufferNode']]],
-  ['defaultllvm_1056',['DefaultLLVM',['../classtvm_1_1meta__schedule_1_1Mutator.html#a15a0354263735c53c4b7419153da7c87',1,'tvm::meta_schedule::Mutator::DefaultLLVM()'],['../classtvm_1_1meta__schedule_1_1Postproc.html#a540ba92c0e373ff6872c736e3a2ca1b7',1,'tvm::meta_schedule::Postproc::DefaultLLVM()'],['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a031b6dcad67f1d985aa30adb13e2b6e8',1,'tvm::meta_schedule::ScheduleRule::DefaultLLVM()']]],
-  ['defaultmicro_1057',['DefaultMicro',['../classtvm_1_1meta__schedule_1_1Mutator.html#af8fca919396df4557beeacfce9be0ef2',1,'tvm::meta_schedule::Mutator::DefaultMicro()'],['../classtvm_1_1meta__schedule_1_1Postproc.html#a83c92e6d1f474a65115e7c4a1216e631',1,'tvm::meta_schedule::Postproc::DefaultMicro()'],['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#ad181358bf6ca1951f0038f0691308bee',1,'tvm::meta_schedule::ScheduleRule::DefaultMicro()']]],
-  ['defaulttimer_1058',['DefaultTimer',['../namespacetvm_1_1runtime.html#ab69f2cbb94a9c579ee870ca7f186cf10',1,'tvm::runtime']]],
-  ['defaultx86_1059',['DefaultX86',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a5342931a76e2269970f132d0921e2f45',1,'tvm::meta_schedule::ScheduleRule']]],
-  ['defequal_1060',['DefEqual',['../classtvm_1_1SEqualReducer.html#a62ba4c55928d4886853f9c33f4147340',1,'tvm::SEqualReducer']]],
-  ['deferfail_1061',['DeferFail',['../classtvm_1_1SEqualHandlerDefault.html#a916706dd76898d8ff4e381233c609d14',1,'tvm::SEqualHandlerDefault::DeferFail()'],['../classtvm_1_1SEqualReducer_1_1Handler.html#aa59c1a7a863c81f2a903795b1a96f986',1,'tvm::SEqualReducer::Handler::DeferFail()']]],
-  ['deffunction_1062',['DefFunction',['../namespacetvm_1_1script_1_1ir__builder_1_1ir.html#aafda5c2027912f2cbd684afb3becbca7',1,'tvm::script::ir_builder::ir']]],
-  ['defhash_1063',['DefHash',['../classtvm_1_1SHashReducer.html#a74260485bd50d1bfa52ded457a6a7777',1,'tvm::SHashReducer']]],
-  ['define_1064',['Define',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#a7ce8b7c1199f958d6dc16b24db426b9d',1,'tvm::script::printer::IRDocsifierNode::Define(const ObjectRef &amp;obj, const Frame &amp;frame, const String &amp;name_hint)'],['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#a05f2f73b4ed424594bcb3bd14837e922',1,'tvm::script::printer::IRDocsifierNode::Define(const ObjectRef &amp;obj, const Frame &amp;frame, DocCreator doc_factory)']]],
-  ['define_5foverload_5fslice_5fbinary_5fop_1065',['DEFINE_OVERLOAD_SLICE_BINARY_OP',['../tensor_8h.html#ae0fd4dc106013194f57e4db8454083e7',1,'tensor.h']]],
-  ['define_5foverload_5fslice_5funary_5fop_1066',['DEFINE_OVERLOAD_SLICE_UNARY_OP',['../tensor_8h.html#a2a32e5f31a6c0d80884b4aa9822dbbac',1,'tensor.h']]],
-  ['define_5ftvm_5fcrt_5ferror_1067',['DEFINE_TVM_CRT_ERROR',['../error__codes_8h.html#a45944d0eccc0a57ac2eefba99b91f074',1,'error_codes.h']]],
-  ['defined_1068',['defined',['../classtvm_1_1runtime_1_1ObjectRef.html#a17d8d5ad92691f9e18e3e0ae8ef69e4f',1,'tvm::runtime::ObjectRef']]],
-  ['defined_5fnames_1069',['defined_names',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#a565f9fb59cfdd54522b3d206ba076ef4',1,'tvm::script::printer::IRDocsifierNode']]],
-  ['deformable_5fgroups_1070',['deformable_groups',['../structtvm_1_1relay_1_1DeformableConv2DAttrs.html#a4fba285602385ee96a4a64fb5ed29af5',1,'tvm::relay::DeformableConv2DAttrs']]],
-  ['deformableconv2dattrs_1071',['DeformableConv2DAttrs',['../structtvm_1_1relay_1_1DeformableConv2DAttrs.html',1,'tvm::relay']]],
-  ['defuseops_1072',['DefuseOps',['../namespacetvm_1_1relay_1_1transform.html#a8f3eee7092f7e3e58e1c76f4498e32e7',1,'tvm::relay::transform']]],
-  ['deleter_1073',['Deleter',['../classtvm_1_1runtime_1_1SimpleObjAllocator_1_1Handler.html#ad2425c09e13c317ab96e728ee6cd4065',1,'tvm::runtime::SimpleObjAllocator::Handler::Deleter()'],['../classtvm_1_1runtime_1_1SimpleObjAllocator_1_1ArrayHandler.html#af4771f19c83f265a9cdafd4362e49f56',1,'tvm::runtime::SimpleObjAllocator::ArrayHandler::Deleter()'],['../classtvm_1_1runtime_1_1vm_1_1StorageObj.html#aeff7038047b64e80d1e640368f6696b5',1,'tvm::runtime::vm::StorageObj::Deleter()']]],
-  ['deleter_5f_1074',['Deleter_',['../classtvm_1_1relay_1_1LetNode.html#a63ad91919aae89c1da30b738dd10b5b2',1,'tvm::relay::LetNode::Deleter_()'],['../classtvm_1_1relay_1_1CallNode.html#a5d290e2122d160249df2b36a77fdc107',1,'tvm::relay::CallNode::Deleter_()']]],
-  ['deleter_5f_1075',['deleter_',['../classtvm_1_1runtime_1_1Object.html#af4407d2b59132e803ff791482dbe0145',1,'tvm::runtime::Object']]],
-  ['deletestage_1076',['DeleteStage',['../classtvm_1_1auto__scheduler_1_1AttachMap.html#a7af553d4d27ce6dff65c823768c02c1b',1,'tvm::auto_scheduler::AttachMap']]],
-  ['dense_1077',['dense',['../namespacetvm_1_1topi_1_1nn.html#a34e1a8305acf89ef2f745c8d99bf8e89',1,'tvm::topi::nn']]],
-  ['dense_2eh_1078',['dense.h',['../cuda_2dense_8h.html',1,'(Global Namespace)'],['../nn_2dense_8h.html',1,'(Global Namespace)'],['../rocm_2dense_8h.html',1,'(Global Namespace)']]],
-  ['dense_5fcuda_1079',['dense_cuda',['../namespacetvm_1_1topi_1_1cuda.html#a0d7e7f3b8eb9deab467e47366d56ff91',1,'tvm::topi::cuda']]],
-  ['dense_5frocm_1080',['dense_rocm',['../namespacetvm_1_1topi_1_1rocm.html#a2efd86ef8f0f356ed950a8d81e62b1d7',1,'tvm::topi::rocm']]],
-  ['denseattrs_1081',['DenseAttrs',['../structtvm_1_1relay_1_1DenseAttrs.html',1,'tvm::relay']]],
-  ['densemapnode_1082',['DenseMapNode',['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#a10a48b1eb7dbae119faa38e62ec5b5b9',1,'tvm::runtime::MapNode::iterator::DenseMapNode()'],['../classtvm_1_1runtime_1_1SmallMapNode.html#a10a48b1eb7dbae119faa38e62ec5b5b9',1,'tvm::runtime::SmallMapNode::DenseMapNode()'],['../classtvm_1_1runtime_1_1DenseMapNode.html',1,'tvm::runtime::DenseMapNode']]],
-  ['densepackattrs_1083',['DensePackAttrs',['../structtvm_1_1relay_1_1DensePackAttrs.html',1,'tvm::relay']]],
-  ['dependency_1084',['Dependency',['../classtvm_1_1tir_1_1Dependency.html#a43d8f2d3a2b251094774252f526db176',1,'tvm::tir::Dependency::Dependency()'],['../classtvm_1_1tir_1_1Dependency.html',1,'tvm::tir::Dependency']]],
-  ['dependencynode_1085',['DependencyNode',['../classtvm_1_1tir_1_1DependencyNode.html',1,'tvm::tir']]],
-  ['depkind_1086',['DepKind',['../namespacetvm_1_1tir.html#a82f5fe564edbe0dadbc4a56a82dfe12e',1,'tvm::tir']]],
-  ['depth_1087',['depth',['../structtvm_1_1relay_1_1OneHotAttrs.html#a698ab8a2112fff60a95425155e015a78',1,'tvm::relay::OneHotAttrs']]],
-  ['depthwise_5fconv2d_5fnchw_1088',['depthwise_conv2d_nchw',['../namespacetvm_1_1topi.html#a786c950302117f8bdbbcab523f7b83bb',1,'tvm::topi']]],
-  ['depthwise_5fconv2d_5fnhwc_1089',['depthwise_conv2d_nhwc',['../namespacetvm_1_1topi.html#af6ff6aea6b38b58798240c370fa16968',1,'tvm::topi']]],
-  ['dequantizeattrs_1090',['DequantizeAttrs',['../structtvm_1_1relay_1_1qnn_1_1DequantizeAttrs.html',1,'tvm::relay::qnn']]],
-  ['derivative_1091',['Derivative',['../namespacetvm_1_1te.html#a5c207a8c51face2c69657fb93ecec9c8',1,'tvm::te']]],
-  ['desc_1092',['desc',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#a48705d24c39a0e0d567d8bf3b0c158a3',1,'tvm::auto_scheduler::SearchTaskNode::desc()'],['../classtvm_1_1tir_1_1TensorIntrinNode.html#af62c65f05cebe7b55a4017d599955349',1,'tvm::tir::TensorIntrinNode::desc()']]],
-  ['describe_1093',['describe',['../structtvm_1_1detail_1_1AttrNopEntry.html#a9bd1f913549c1b4376c0137f4101b791',1,'tvm::detail::AttrNopEntry::describe()'],['../structtvm_1_1detail_1_1AttrInitEntry.html#a64eca870988f14379a2c47085cb5a0f2',1,'tvm::detail::AttrInitEntry::describe()'],['../classtvm_1_1OpRegEntry.html#a31b037ff8212469a337b891c777418c7',1,'tvm::OpRegEntry::describe()'],['../structtvm_1_1detail_1_1AttrTriggerNonDefaultEntry.html#aa9eac8ca63d91dab631e14547ec4ce00',1,'tvm::detail: [...]
-  ['description_1094',['description',['../classtvm_1_1OpNode.html#af08bec95b94b4fe302e8c0fe70cab5e9',1,'tvm::OpNode::description()'],['../classtvm_1_1AttrFieldInfoNode.html#a7b565d9b3eca049a906e42fba57516a0',1,'tvm::AttrFieldInfoNode::description()']]],
-  ['deserializemodulefrombytes_1095',['DeserializeModuleFromBytes',['../namespacetvm_1_1codegen.html#a84ce3ef68c731ece74a2e6065f7b5c5b',1,'tvm::codegen']]],
-  ['dest_1096',['dest',['../structtvm_1_1tir_1_1MemCpyDetails.html#ad2bba7932b61964afa25752a302b7ec7',1,'tvm::tir::MemCpyDetails']]],
-  ['detectbufferaccesslca_1097',['DetectBufferAccessLCA',['../namespacetvm_1_1tir.html#abbd3ced524b506f532aa1d8ae36dadf3',1,'tvm::tir']]],
-  ['detectclipbound_1098',['DetectClipBound',['../namespacetvm_1_1arith.html#a739616342876c2633b87ed16c649bc91',1,'tvm::arith']]],
-  ['detectfeature_1099',['DetectFeature',['../namespacetvm_1_1relay.html#a191d5425083368521d49cc49cef65aba',1,'tvm::relay::DetectFeature(const Expr &amp;expr, const IRModule &amp;mod)'],['../namespacetvm_1_1relay.html#a81978c82e1130854e575ccabc152ad70',1,'tvm::relay::DetectFeature(const IRModule &amp;mod)'],['../namespacetvm_1_1relay.html#a62b651084b386991221bc32c020cbef5',1,'tvm::relay::DetectFeature(const RelayExpr &amp;expr)']]],
-  ['detectitermap_1100',['DetectIterMap',['../namespacetvm_1_1arith.html#ad0052f8b26c04f2b161a2432331f661c',1,'tvm::arith']]],
-  ['detectlinearequation_1101',['DetectLinearEquation',['../namespacetvm_1_1arith.html#a87a12ee0854469b04329a961ef261559',1,'tvm::arith']]],
-  ['dev_1102',['dev',['../structtvm_1_1runtime_1_1profiling_1_1CallFrame.html#abe09bc06a0a25001435ef512865d6259',1,'tvm::runtime::profiling::CallFrame']]],
-  ['device_1103',['Device',['../namespacetvm.html#a7c2095aed90b2129ba631b90103313a2',1,'tvm']]],
-  ['device_1104',['device',['../structtvm_1_1runtime_1_1profiling_1_1DeviceWrapperNode.html#a1c3c3c0fc8f177ddedc0ec02ca77b123',1,'tvm::runtime::profiling::DeviceWrapperNode::device()'],['../structtvm_1_1runtime_1_1vm_1_1Buffer.html#a2dc9562c031262e16ff6e8d007f601f2',1,'tvm::runtime::vm::Buffer::device()'],['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html#acc0be09b0c6b0f21aef92088c0e38602',1,'tvm::auto_scheduler::ProgramRunnerNode::device()'],['../structTVMAotExecutor.html#a556d1 [...]
-  ['device_5fapi_2eh_1105',['device_api.h',['../device__api_8h.html',1,'']]],
-  ['device_5fcopy_1106',['device_copy',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#afccc5580528097a88c4fdb5b30c702f8',1,'tvm::runtime::vm::Instruction']]],
-  ['device_5fcopy_2eh_1107',['device_copy.h',['../device__copy_8h.html',1,'']]],
-  ['device_5fid_1108',['device_id',['../namespacetvm_1_1tir_1_1attr.html#a61b1ef1047fb722a4e5ec2167c9963d7',1,'tvm::tir::attr']]],
-  ['device_5findex_1109',['device_index',['../structTVMGraphExecutorGraphAttr.html#ae55c2e6d56c07fc475c44d82ba1de012',1,'TVMGraphExecutorGraphAttr::device_index()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#af91776ae1a16f3545bc4749599d62643',1,'tvm::runtime::vm::Instruction::device_index()']]],
-  ['device_5fmetrics_1110',['device_metrics',['../classtvm_1_1runtime_1_1profiling_1_1ReportNode.html#ababc1b17ad3a7f9bfe9a8006cc2c4cd0',1,'tvm::runtime::profiling::ReportNode']]],
-  ['device_5fscope_1111',['device_scope',['../namespacetvm_1_1tir_1_1attr.html#a36db026f638ad3d951c302796ddcae24',1,'tvm::tir::attr']]],
-  ['device_5ftype_1112',['device_type',['../namespacetvm_1_1tir_1_1attr.html#a7e4e7cd47471a9089022214d63d24206',1,'tvm::tir::attr::device_type()'],['../classtvm_1_1VirtualDeviceNode.html#a5e3f67045652bc27b937acf1ddc677a7',1,'tvm::VirtualDeviceNode::device_type()'],['../classtvm_1_1meta__schedule_1_1RunnerInputNode.html#a5879e387f788cfd90b5a62ef1e55011e',1,'tvm::meta_schedule::RunnerInputNode::device_type()']]],
-  ['deviceapi_1113',['DeviceAPI',['../classtvm_1_1runtime_1_1DeviceAPI.html',1,'tvm::runtime']]],
-  ['deviceattrkind_1114',['DeviceAttrKind',['../namespacetvm_1_1runtime.html#a46fef1ca0ccc05473e9bb0a8c6b66619',1,'tvm::runtime']]],
-  ['devicecopy_1115',['DeviceCopy',['../namespacetvm_1_1runtime_1_1vm.html#a8d8d95ce8d629c7213f2f595917870ecaf695012a8c440065a5e913a682e77b5c',1,'tvm::runtime::vm::DeviceCopy()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#ad38748aeb7650b185d8548e491aa9da6',1,'tvm::runtime::vm::Instruction::DeviceCopy()']]],
-  ['devicecopyattrs_1116',['DeviceCopyAttrs',['../structtvm_1_1relay_1_1DeviceCopyAttrs.html',1,'tvm::relay']]],
-  ['devicemodulepassmanager_1117',['DeviceModulePassManager',['../namespacetvm.html#a10a28e7c117ac3f7402caf3a27287582',1,'tvm']]],
-  ['devicerandom_1118',['DeviceRandom',['../classtvm_1_1support_1_1LinearCongruentialEngine.html#a56c8b9e670517ef7ecb21fb59653652d',1,'tvm::support::LinearCongruentialEngine']]],
-  ['devices_5f_1119',['devices_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a602daa8d70ae598a833d8601d1ef6d95',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['devicewrapper_1120',['DeviceWrapper',['../classtvm_1_1runtime_1_1profiling_1_1DeviceWrapper.html#adc1844f93c34bf5b21a67cb194564d8a',1,'tvm::runtime::profiling::DeviceWrapper::DeviceWrapper()'],['../classtvm_1_1runtime_1_1profiling_1_1DeviceWrapper.html',1,'tvm::runtime::profiling::DeviceWrapper']]],
-  ['devicewrappernode_1121',['DeviceWrapperNode',['../structtvm_1_1runtime_1_1profiling_1_1DeviceWrapperNode.html#a2c0d565e6e24bb1f4047716ecf68be6d',1,'tvm::runtime::profiling::DeviceWrapperNode::DeviceWrapperNode()'],['../structtvm_1_1runtime_1_1profiling_1_1DeviceWrapperNode.html',1,'tvm::runtime::profiling::DeviceWrapperNode']]],
-  ['dfpattern_1122',['DFPattern',['../classtvm_1_1relay_1_1DFPattern.html',1,'tvm::relay']]],
-  ['dfpattern_5ffunctor_5fdefault_1123',['DFPATTERN_FUNCTOR_DEFAULT',['../dataflow__pattern__functor_8h.html#a7f2adce25eeb3cfb130ea5735414dbba',1,'dataflow_pattern_functor.h']]],
-  ['dfpatterncallback_1124',['DFPatternCallback',['../classtvm_1_1relay_1_1DFPatternCallback.html#afb8af748ce3c3f9c31ee5b1c73ffb6a4',1,'tvm::relay::DFPatternCallback::DFPatternCallback()'],['../classtvm_1_1relay_1_1DFPatternCallback.html',1,'tvm::relay::DFPatternCallback']]],
-  ['dfpatterncallbacknode_1125',['DFPatternCallbackNode',['../classtvm_1_1relay_1_1DFPatternCallbackNode.html',1,'tvm::relay']]],
-  ['dfpatternfunctor_1126',['DFPatternFunctor',['../classtvm_1_1relay_1_1DFPatternFunctor.html',1,'tvm::relay']]],
-  ['dfpatternfunctor_3c_20r_28const_20dfpattern_20_26n_2c_20args_2e_2e_2e_29_3e_1127',['DFPatternFunctor&lt; R(const DFPattern &amp;n, Args...)&gt;',['../classtvm_1_1relay_1_1DFPatternFunctor_3_01R_07const_01DFPattern_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm::relay']]],
-  ['dfpatternfunctor_3c_20void_28const_20dfpattern_20_26_29_3e_1128',['DFPatternFunctor&lt; void(const DFPattern &amp;)&gt;',['../classtvm_1_1relay_1_1DFPatternFunctor.html',1,'tvm::relay']]],
-  ['dfpatternnode_1129',['DFPatternNode',['../classtvm_1_1relay_1_1DFPatternNode.html',1,'tvm::relay']]],
-  ['dfpatternprinter_1130',['DFPatternPrinter',['../classtvm_1_1relay_1_1DFPatternPrinter.html#a610954502fc2a2f4f9119dd2214f3e30',1,'tvm::relay::DFPatternPrinter::DFPatternPrinter()'],['../classtvm_1_1relay_1_1DFPatternPrinter.html',1,'tvm::relay::DFPatternPrinter']]],
-  ['dfpatternvisitor_1131',['DFPatternVisitor',['../classtvm_1_1relay_1_1DFPatternVisitor.html',1,'tvm::relay']]],
-  ['dftattrs_1132',['DFTAttrs',['../structtvm_1_1relay_1_1DFTAttrs.html',1,'tvm::relay']]],
-  ['diag_5fctx_1133',['diag_ctx',['../classtvm_1_1transform_1_1PassContextNode.html#aa7bfc5ab1cf83d43a9b9bf4f1e62dd8c',1,'tvm::transform::PassContextNode']]],
-  ['diagnostic_1134',['Diagnostic',['../classtvm_1_1DiagnosticBuilder.html#a0c7318521607159dc55357ce2fec661b',1,'tvm::DiagnosticBuilder::Diagnostic()'],['../classtvm_1_1Diagnostic.html#a3e841d675315f73ce32ffa5c81dd9c26',1,'tvm::Diagnostic::Diagnostic()'],['../classtvm_1_1Diagnostic.html',1,'tvm::Diagnostic']]],
-  ['diagnostic_2eh_1135',['diagnostic.h',['../diagnostic_8h.html',1,'']]],
-  ['diagnosticbuilder_1136',['DiagnosticBuilder',['../classtvm_1_1DiagnosticBuilder.html#a0216fb97569a5566c2fedfeb08821a8d',1,'tvm::DiagnosticBuilder::DiagnosticBuilder(DiagnosticLevel level, ObjectRef loc)'],['../classtvm_1_1DiagnosticBuilder.html#ab8cb7481e5112445af289832dc718e36',1,'tvm::DiagnosticBuilder::DiagnosticBuilder()'],['../classtvm_1_1DiagnosticBuilder.html#a3204dda7b9a0625027f3d7cba87558f7',1,'tvm::DiagnosticBuilder::DiagnosticBuilder(const DiagnosticBuilder &amp;builder)'] [...]
-  ['diagnosticcontext_1137',['DiagnosticContext',['../classtvm_1_1DiagnosticContext.html#a95a504685fb72779a8b63abb3e2923ea',1,'tvm::DiagnosticContext::DiagnosticContext()'],['../classtvm_1_1DiagnosticContext.html',1,'tvm::DiagnosticContext']]],
-  ['diagnosticcontextnode_1138',['DiagnosticContextNode',['../classtvm_1_1DiagnosticContextNode.html',1,'tvm']]],
-  ['diagnosticlevel_1139',['DiagnosticLevel',['../namespacetvm.html#a908c332516a33fdc106cd9ee2ebc2b9e',1,'tvm']]],
-  ['diagnosticnode_1140',['DiagnosticNode',['../classtvm_1_1DiagnosticNode.html',1,'tvm']]],
-  ['diagnosticrenderer_1141',['DiagnosticRenderer',['../classtvm_1_1DiagnosticRenderer.html#aee223ebb9e5a875795e6536503e155ad',1,'tvm::DiagnosticRenderer::DiagnosticRenderer()'],['../classtvm_1_1DiagnosticRenderer.html#a118215b25d3747423a3fa6af989b32df',1,'tvm::DiagnosticRenderer::DiagnosticRenderer(TypedPackedFunc&lt; void(DiagnosticContext ctx)&gt; render)'],['../classtvm_1_1DiagnosticRenderer.html',1,'tvm::DiagnosticRenderer']]],
-  ['diagnosticrenderernode_1142',['DiagnosticRendererNode',['../classtvm_1_1DiagnosticRendererNode.html',1,'tvm']]],
-  ['diagnostics_1143',['diagnostics',['../classtvm_1_1DiagnosticContextNode.html#ada207669f235f6aa8dbf310583a92339',1,'tvm::DiagnosticContextNode']]],
-  ['dict_1144',['dict',['../classtvm_1_1DictAttrsNode.html#ad80fb7d4b9f4e08bd0f15e409af2ac80',1,'tvm::DictAttrsNode']]],
-  ['dictattrs_1145',['DictAttrs',['../classtvm_1_1DictAttrs.html#a3999d7e2b942c8f9993f6d51cb8f3ded',1,'tvm::DictAttrs::DictAttrs()'],['../classtvm_1_1DictAttrs.html',1,'tvm::DictAttrs']]],
-  ['dictattrsnode_1146',['DictAttrsNode',['../classtvm_1_1DictAttrsNode.html',1,'tvm']]],
-  ['dictdoc_1147',['DictDoc',['../classtvm_1_1script_1_1printer_1_1DictDoc.html#a60961545e317ab265c56f2c905db88b9',1,'tvm::script::printer::DictDoc::DictDoc(Array&lt; ExprDoc &gt; keys, Array&lt; ExprDoc &gt; values)'],['../classtvm_1_1script_1_1printer_1_1DictDoc.html#a8cedc24d34db6c6a185912bb41df562d',1,'tvm::script::printer::DictDoc::DictDoc()'],['../classtvm_1_1script_1_1printer_1_1DictDoc.html',1,'tvm::script::printer::DictDoc']]],
-  ['dictdocnode_1148',['DictDocNode',['../classtvm_1_1script_1_1printer_1_1DictDocNode.html',1,'tvm::script::printer']]],
-  ['difference_5ftype_1149',['difference_type',['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#ad836e9ac0b9fc9c801d69fbedbd77d51',1,'tvm::runtime::ReverseIterAdapter::difference_type()'],['../classtvm_1_1runtime_1_1Map_1_1iterator.html#abc9b8a0b8afac7b49c204c3e33f6b3be',1,'tvm::runtime::Map::iterator::difference_type()'],['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#adf62c96244160116493dd6a3f6ca3b6e',1,'tvm::runtime::MapNode::iterator::difference_type()'],['../classtvm_1_1runt [...]
-  ['dilate_1150',['dilate',['../namespacetvm_1_1topi_1_1nn.html#af210f30ef7afb28cd369dacc8f05be68',1,'tvm::topi::nn']]],
-  ['dilate_2eh_1151',['dilate.h',['../dilate_8h.html',1,'']]],
-  ['dilateattrs_1152',['DilateAttrs',['../structtvm_1_1relay_1_1DilateAttrs.html',1,'tvm::relay']]],
-  ['dilation_1153',['dilation',['../structtvm_1_1relay_1_1DeformableConv2DAttrs.html#a715d5ed0f596f799c8400777dc6200e4',1,'tvm::relay::DeformableConv2DAttrs::dilation()'],['../structtvm_1_1relay_1_1Conv3DTransposeAttrs.html#aa4dd3ea9f1eadf621f30533690585649',1,'tvm::relay::Conv3DTransposeAttrs::dilation()'],['../structtvm_1_1relay_1_1Conv3DWinogradAttrs.html#a3a1190f12373e4df6d48247ad0534550',1,'tvm::relay::Conv3DWinogradAttrs::dilation()'],['../structtvm_1_1relay_1_1Conv3DAttrs.html#aed [...]
-  ['dilation2dattrs_1154',['Dilation2DAttrs',['../structtvm_1_1relay_1_1Dilation2DAttrs.html',1,'tvm::relay']]],
-  ['dilation_5fvalue_1155',['dilation_value',['../structtvm_1_1relay_1_1DilateAttrs.html#a903fec56f538f98bff6950e389899d82',1,'tvm::relay::DilateAttrs']]],
-  ['dilations_1156',['dilations',['../structtvm_1_1relay_1_1Dilation2DAttrs.html#a27059af94a599f71d2561b5a6f11eaa2',1,'tvm::relay::Dilation2DAttrs']]],
-  ['dim_5falign_5ffactor_1157',['dim_align_factor',['../classtvm_1_1te_1_1IterVarAttrNode.html#a8d3c51e9b50ef1d3e10453b3210d187a',1,'tvm::te::IterVarAttrNode']]],
-  ['dim_5falign_5foffset_1158',['dim_align_offset',['../classtvm_1_1te_1_1IterVarAttrNode.html#aa75bbe1b6c521352553752261a1b6f50',1,'tvm::te::IterVarAttrNode']]],
-  ['disabled_5fpass_1159',['disabled_pass',['../classtvm_1_1transform_1_1PassContextNode.html#ad42fa984f8ff1dad24cc77d0a39e96a0',1,'tvm::transform::PassContextNode']]],
-  ['disallowasyncstridedmemcopy_1160',['DisallowAsyncStridedMemCopy',['../classtvm_1_1meta__schedule_1_1Postproc.html#a05460995a5129d39133fb864c7695e91',1,'tvm::meta_schedule::Postproc']]],
-  ['disallowdynamicloop_1161',['DisallowDynamicLoop',['../classtvm_1_1meta__schedule_1_1Postproc.html#af3d76d03f0c508b985f7050f0e18732d',1,'tvm::meta_schedule::Postproc']]],
-  ['dispatch_5fdict_5f_1162',['dispatch_dict_',['../classtvm_1_1GenericFuncNode.html#af9c722adc3fc97ade2c64c7e140462ea',1,'tvm::GenericFuncNode']]],
-  ['dispatch_5ftokens_1163',['dispatch_tokens',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#a4f761637ee382f3755cb199563edbe2c',1,'tvm::script::printer::IRDocsifierNode']]],
-  ['dispatched_1164',['dispatched',['../classtvm_1_1meta__schedule_1_1ExtractedTaskNode.html#a89729717843a9ea91a4535bafee8b14f',1,'tvm::meta_schedule::ExtractedTaskNode']]],
-  ['dispatchsequalreduce_1165',['DispatchSEqualReduce',['../classtvm_1_1SEqualHandlerDefault.html#a6a556567cb39505c52976be6dfbde76f',1,'tvm::SEqualHandlerDefault']]],
-  ['dispatchshash_1166',['DispatchSHash',['../classtvm_1_1SHashHandlerDefault.html#a96efffbad7a9d06bfff5df973a5c6c86',1,'tvm::SHashHandlerDefault']]],
-  ['dispatchvisitexpr_1167',['DispatchVisitExpr',['../classtvm_1_1relay_1_1MixedModeMutator.html#abf42e17fa95968153e0cff75c6280918',1,'tvm::relay::MixedModeMutator']]],
-  ['div_1168',['div',['../namespacetvm.html#a16f9cd9219b505e2cc05c5a7558ac61f',1,'tvm']]],
-  ['div_1169',['Div',['../classtvm_1_1tir_1_1Div.html#a5ce77bff7054a960259a64fac8939c41',1,'tvm::tir::Div']]],
-  ['div_1170',['div',['../namespacetvm.html#a7098ed6761c2a236f218b62ba1f8057f',1,'tvm::div(const PrimExpr &amp;a, float b, Span span=Span())'],['../namespacetvm.html#a9794724633630eabe2ef5a7c6810b3bd',1,'tvm::div(float a, const PrimExpr &amp;b, Span span=Span())'],['../namespacetvm.html#a0d9bb599eb28a6ca9d096247ff4d7fba',1,'tvm::div(int a, const PrimExpr &amp;b, Span span=Span())'],['../namespacetvm.html#a421c6836f0e87cd662320a8f6c23d452',1,'tvm::div(const PrimExpr &amp;a, int b, Span sp [...]
-  ['div_1171',['Div',['../classtvm_1_1tir_1_1Div.html',1,'tvm::tir']]],
-  ['divambiguityerror_1172',['DivAmbiguityError',['../namespacetvm.html#a31e7a3e4a160a1d048e3ba741966f1a8',1,'tvm']]],
-  ['divide_1173',['divide',['../namespacetvm_1_1topi.html#aec73d9ff3005b6775c481b6c58385060',1,'tvm::topi::divide(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B, std::string name=&quot;T_&quot; &quot;divide&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#a582bc98a3956894e8e90a3a3da929568',1,'tvm::topi::divide(const tvm::PrimExpr &amp;a, const tvm::PrimExpr &amp;b)'],['../namespacetvm_1_1topi.html#ababd930d132370216019728aba49cb8b',1,'tvm::topi::divide(cons [...]
-  ['divmode_1174',['DivMode',['../namespacetvm_1_1arith.html#ac6a38da661cd3681eb85abe1cd810422',1,'tvm::arith']]],
-  ['divnode_1175',['DivNode',['../classtvm_1_1tir_1_1DivNode.html',1,'tvm::tir']]],
-  ['dl_5ftensor_1176',['dl_tensor',['../classtvm_1_1runtime_1_1NDArray_1_1ContainerBase.html#a1063a9d01075d5b7b0e8fa31d4d72e0b',1,'tvm::runtime::NDArray::ContainerBase']]],
-  ['dldatatype2string_1177',['DLDataType2String',['../namespacetvm_1_1runtime.html#ac360f2d9815036ab1b4d84d9cfb46b4f',1,'tvm::runtime']]],
-  ['dldatatypecode2str_1178',['DLDataTypeCode2Str',['../namespacetvm_1_1runtime.html#af9303c49b737675bdab034c4032dcc48',1,'tvm::runtime']]],
-  ['dldevicetype2str_1179',['DLDeviceType2Str',['../namespacetvm_1_1runtime.html#a1fa64a5babbd1f199e4c14f1d90ed5dc',1,'tvm::runtime']]],
-  ['dltype_1180',['dltype',['../structTVMGraphExecutorGraphAttr.html#af3ed0db451f21fc34f34d1c345f209ee',1,'TVMGraphExecutorGraphAttr']]],
-  ['dltype_5fcount_1181',['dltype_count',['../structTVMGraphExecutorGraphAttr.html#a2627b1e80d464ef00b195b3032d6864b',1,'TVMGraphExecutorGraphAttr']]],
-  ['dma_5fcopy_1182',['dma_copy',['../namespacetvm_1_1tir_1_1builtin.html#afd453e860a10562ac2692473edde6707',1,'tvm::tir::builtin']]],
-  ['dma_5fend_5fgroup_1183',['dma_end_group',['../namespacetvm_1_1tir_1_1builtin.html#a3397d82a9ffb11859615f298f529f538',1,'tvm::tir::builtin']]],
-  ['dma_5fstart_5fgroup_1184',['dma_start_group',['../namespacetvm_1_1tir_1_1builtin.html#ace1c09d0bcfa95c52dd509e0c40b1824',1,'tvm::tir::builtin']]],
-  ['dma_5fwait_1185',['dma_wait',['../namespacetvm_1_1tir_1_1builtin.html#a13aefe6686345fee7d4a1633dd059806',1,'tvm::tir::builtin']]],
-  ['dmlc_1186',['dmlc',['../namespacedmlc.html',1,'']]],
-  ['doc_1187',['Doc',['../classtvm_1_1script_1_1printer_1_1Doc.html#accbc6699956d0166032a97e80ad3880d',1,'tvm::script::printer::Doc::Doc()'],['../classtvm_1_1script_1_1printer_1_1Doc.html',1,'tvm::script::printer::Doc']]],
-  ['doc_2eh_1188',['doc.h',['../doc_8h.html',1,'']]],
-  ['doccreator_1189',['DocCreator',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#a0b3746ae1e3dec33534003c8fbb5553f',1,'tvm::script::printer::IRDocsifierNode']]],
-  ['docnode_1190',['DocNode',['../classtvm_1_1script_1_1printer_1_1DocNode.html',1,'tvm::script::printer']]],
-  ['docommreduce_1191',['DoCommReduce',['../namespacetvm_1_1topi.html#ab7fb7a9f1651970c4ba04a48acdb695f',1,'tvm::topi']]],
-  ['docstringdoc_1192',['DocStringDoc',['../classtvm_1_1script_1_1printer_1_1DocStringDoc.html#a034b2f37658f58baae251ca12b8673e3',1,'tvm::script::printer::DocStringDoc::DocStringDoc()'],['../classtvm_1_1script_1_1printer_1_1DocStringDoc.html',1,'tvm::script::printer::DocStringDoc']]],
-  ['docstringdocnode_1193',['DocStringDocNode',['../classtvm_1_1script_1_1printer_1_1DocStringDocNode.html',1,'tvm::script::printer']]],
-  ['doctopythonscript_1194',['DocToPythonScript',['../namespacetvm_1_1script_1_1printer.html#aa9be42449c87b18e7fdd05d1e1cc8c33',1,'tvm::script::printer']]],
-  ['dom_1195',['dom',['../classtvm_1_1tir_1_1IterVarNode.html#acd6ca5f580e556a2d022e47900f9fe71',1,'tvm::tir::IterVarNode']]],
-  ['domaintouched_1196',['DomainTouched',['../namespacetvm_1_1arith.html#a7641fa29ca6d8941f2696aacccf23998',1,'tvm::arith']]],
-  ['dominatorpattern_1197',['DominatorPattern',['../classtvm_1_1relay_1_1DominatorPattern.html#a93adeab95ffcd91ce587b1624f4dedc1',1,'tvm::relay::DominatorPattern::DominatorPattern()'],['../classtvm_1_1relay_1_1DominatorPattern.html',1,'tvm::relay::DominatorPattern']]],
-  ['dominatorpatternnode_1198',['DominatorPatternNode',['../classtvm_1_1relay_1_1DominatorPatternNode.html',1,'tvm::relay']]],
-  ['doms_1199',['doms',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ForFrameNode.html#aa8de31bdab2c33ab57092700126ef01a',1,'tvm::script::ir_builder::tir::ForFrameNode']]],
-  ['done_1200',['Done',['../classtvm_1_1meta__schedule_1_1RunnerFutureNode.html#aa914653065720dde403d16a1a004d6b6',1,'tvm::meta_schedule::RunnerFutureNode']]],
-  ['double_5fbuffer_1201',['double_buffer',['../classtvm_1_1te_1_1Stage.html#a0cdea4d4b25b730c44d86e6c57505000',1,'tvm::te::Stage::double_buffer()'],['../classtvm_1_1te_1_1StageNode.html#af5cb8c43f82eac4021fd06ab7c475f82',1,'tvm::te::StageNode::double_buffer()']]],
-  ['double_5fbuffer_5fscope_1202',['double_buffer_scope',['../namespacetvm_1_1tir_1_1attr.html#a9231fc0afe37a8d46a90a1c5fdf522bb',1,'tvm::tir::attr']]],
-  ['double_5fbuffer_5fwrite_1203',['double_buffer_write',['../namespacetvm_1_1tir_1_1attr.html#af84871a6d841168f8501f141676dfaeb',1,'tvm::tir::attr']]],
-  ['downcast_1204',['Downcast',['../namespacetvm_1_1runtime.html#a756a222aed384e208f32d35d3412c918',1,'tvm::runtime::Downcast()'],['../classtvm_1_1runtime_1_1ObjectRef.html#a68c32a41efd1aa99afca70837565cced',1,'tvm::runtime::ObjectRef::Downcast()']]],
-  ['downcastnocheck_1205',['DowncastNoCheck',['../classtvm_1_1runtime_1_1ObjectRef.html#acd04bb22a6861e9952c344ee8547411f',1,'tvm::runtime::ObjectRef']]],
-  ['driver_5fapi_2eh_1206',['driver_api.h',['../driver__api_8h.html',1,'']]],
-  ['dropoutattrs_1207',['DropoutAttrs',['../structtvm_1_1relay_1_1DropoutAttrs.html',1,'tvm::relay']]],
-  ['dst_1208',['dst',['../classtvm_1_1arith_1_1IntConstraintsTransformNode.html#af37275736948893ad2774a0f6518e636',1,'tvm::arith::IntConstraintsTransformNode::dst()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a17f056cc4798d31c8bcee6903be8dd1d',1,'tvm::runtime::vm::Instruction::dst()'],['../classtvm_1_1tir_1_1DependencyNode.html#a1d7d574b27ba0a420eb65306cdc77020',1,'tvm::tir::DependencyNode::dst()']]],
-  ['dst2deps_1209',['dst2deps',['../classtvm_1_1tir_1_1BlockScopeNode.html#aa7f2ecb9b79e88b9060ba23af522abe7',1,'tvm::tir::BlockScopeNode']]],
-  ['dst_5fdevice_5findex_1210',['dst_device_index',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a301d4bff61d2955babcc6706bd57458d',1,'tvm::runtime::vm::Instruction']]],
-  ['dst_5flayout_1211',['dst_layout',['../structtvm_1_1relay_1_1LayoutTransformAttrs.html#ab7f5ccbb4c1f408da1f7a9d76c35fcf4',1,'tvm::relay::LayoutTransformAttrs::dst_layout()'],['../structtvm_1_1relay_1_1AutoSchedulerLayoutTransformAttrs.html#a12e1ac049238f8b036e20411e4ee5ad5',1,'tvm::relay::AutoSchedulerLayoutTransformAttrs::dst_layout()'],['../classtvm_1_1tir_1_1BijectiveLayoutNode.html#a3d5c2dcbfb62a658a7779129aacd8950',1,'tvm::tir::BijectiveLayoutNode::dst_layout()']]],
-  ['dst_5fto_5fsrc_1212',['dst_to_src',['../classtvm_1_1arith_1_1IntConstraintsTransformNode.html#ab514b4d84bdb5b469a068853db4f9aac',1,'tvm::arith::IntConstraintsTransformNode']]],
-  ['dst_5fvirtual_5fdevice_1213',['dst_virtual_device',['../structtvm_1_1relay_1_1DeviceCopyAttrs.html#a30123a2d74e58525fe55d8a70f5b5218',1,'tvm::relay::DeviceCopyAttrs']]],
-  ['dtype_1214',['dtype',['../structtvm_1_1relay_1_1ArgsortAttrs.html#a533842c9351d0ec7f8e2673e94987957',1,'tvm::relay::ArgsortAttrs::dtype()'],['../structtvm_1_1relay_1_1ScanopAttrs.html#ae3c94ca8dff6e3bc5a916286e4710ba3',1,'tvm::relay::ScanopAttrs::dtype()'],['../classtvm_1_1PrimExprNode.html#a95af9234514ec5f11355db41524be7f9',1,'tvm::PrimExprNode::dtype()'],['../classtvm_1_1TensorTypeNode.html#a715d148ed0f0fdc8bf975bb31e210975',1,'tvm::TensorTypeNode::dtype()'],['../classtvm_1_1PrimTy [...]
-  ['dtype_5fhint_1215',['dtype_hint',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a0f48c1e04fe9ba735576bef37caf9cce',1,'tvm::runtime::vm::Instruction']]],
-  ['dump_1216',['Dump',['../namespacetvm.html#a410120ad997c7470798b98a1c48ee3e6',1,'tvm::Dump(const runtime::ObjectRef &amp;node)'],['../namespacetvm.html#a4909861f9bc606426c65c65da4da3734',1,'tvm::Dump(const runtime::Object *node)']]],
-  ['dumppruned_1217',['DumpPruned',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#a69762c83a60dbe9bab4d254c47d19f5c',1,'tvm::meta_schedule::DatabaseNode']]],
-  ['durationnode_1218',['DurationNode',['../classtvm_1_1runtime_1_1profiling_1_1DurationNode.html#a6049eb36d6d7878fbe1b00a15049f887',1,'tvm::runtime::profiling::DurationNode::DurationNode()'],['../classtvm_1_1runtime_1_1profiling_1_1DurationNode.html',1,'tvm::runtime::profiling::DurationNode']]],
-  ['dyn_5ftile_1219',['dyn_tile',['../namespacetvm_1_1topi.html#a5efc5887d91eb272e31c10f4e014a034',1,'tvm::topi']]],
-  ['dynamic_5fstrided_5fslice_1220',['dynamic_strided_slice',['../namespacetvm_1_1topi.html#a2f4969306206bc345bd3be840f56999e',1,'tvm::topi::dynamic_strided_slice(const Tensor &amp;x, const Array&lt; PrimExpr &gt; &amp;begin, const Array&lt; PrimExpr &gt; &amp;end, const Array&lt; PrimExpr &gt; &amp;strides, std::string name=&quot;T_dynamic_strided_slice&quot;, std::string tag=kInjective)'],['../namespacetvm_1_1topi.html#ac445e8a7906b15d79e70bb4102aef2e8',1,'tvm::topi::dynamic_strided_sl [...]
-  ['dynamictostatic_1221',['DynamicToStatic',['../namespacetvm_1_1relay_1_1transform.html#ab533a050ab0d54b41e543fb1fd369fb6',1,'tvm::relay::transform']]],
-  ['dynexpanddimsattrs_1222',['DynExpandDimsAttrs',['../structtvm_1_1relay_1_1DynExpandDimsAttrs.html',1,'tvm::relay']]],
-  ['serializer_1223',['serializer',['../namespacedmlc_1_1serializer.html',1,'dmlc']]],
-  ['valuetypeinfomaker_1224',['ValueTypeInfoMaker',['../classtvm_1_1TargetKindNode.html#a9ce830f20c377093d7812ffc2eb5c628',1,'tvm::TargetKindNode']]]
+  ['d_998',['d',['../classtvm_1_1script_1_1printer_1_1FrameNode.html#a221b8540800c2675d5887114251c0121',1,'tvm::script::printer::FrameNode']]],
+  ['data_999',['data',['../classtvm_1_1runtime_1_1metadata_1_1ConstantInfoMetadataNode.html#afe95baaa2d7cca5908f4907a91b037b2',1,'tvm::runtime::metadata::ConstantInfoMetadataNode::data()'],['../structtvm_1_1ConstantInfoNode.html#a5939dd0858673a2f966a0329d77833b7',1,'tvm::ConstantInfoNode::data()'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfoNode.html#ac7e7dc8bf39bea5f51d34758f0edd30f',1,'tvm::runtime::metadata::TensorInfoNode::data()'],['../classtvm_1_1runtime_1_1metadata_1_1Metada [...]
+  ['data_5f_1000',['data_',['../classtvm_1_1runtime_1_1ObjectRef.html#ac261cdb80487fb29ac42b28678f8cbef',1,'tvm::runtime::ObjectRef::data_()'],['../classtvm_1_1runtime_1_1metadata_1_1ConstantInfoMetadataNode.html#a95f74c847b816465fc96b861f164af88',1,'tvm::runtime::metadata::ConstantInfoMetadataNode::data_()'],['../classtvm_1_1runtime_1_1DenseMapNode.html#a58d530f3be4fac7ff99a574c2f6c8ddc',1,'tvm::runtime::DenseMapNode::data_()']]],
+  ['data_5falignment_1001',['data_alignment',['../classtvm_1_1tir_1_1BufferNode.html#aac30fc17abe8bde34272a854ba74b16a',1,'tvm::tir::BufferNode']]],
+  ['data_5fbits_1002',['data_bits',['../structtvm_1_1relay_1_1BinaryDenseAttrs.html#a080c3768bc73266ef675953ea98c8ae8',1,'tvm::relay::BinaryDenseAttrs']]],
+  ['data_5fbytes_1003',['data_bytes',['../structTVMConstantInfo.html#a0dc8d8ec969b45d9d5c8bbbf615e29d2',1,'TVMConstantInfo']]],
+  ['data_5flayout_1004',['data_layout',['../structtvm_1_1relay_1_1Conv2DWinogradAttrs.html#a15350be67dd9492f29b828660a3f7a5f',1,'tvm::relay::Conv2DWinogradAttrs::data_layout()'],['../structtvm_1_1relay_1_1DeformableConv2DAttrs.html#afbf41d75b87a6d33a15b4a9a9523710d',1,'tvm::relay::DeformableConv2DAttrs::data_layout()'],['../structtvm_1_1relay_1_1Conv1DTransposeAttrs.html#ad84a491c34314a7f22d3a628d717eb83',1,'tvm::relay::Conv1DTransposeAttrs::data_layout()'],['../structtvm_1_1relay_1_1Con [...]
+  ['data_5flayout_2eh_1005',['data_layout.h',['../data__layout_8h.html',1,'']]],
+  ['data_5flen_1006',['data_len',['../structTVMConstantInfo.html#ad5b469162c8a56c49b59f20b50102a41',1,'TVMConstantInfo']]],
+  ['data_5ftype_2eh_1007',['data_type.h',['../data__type_8h.html',1,'']]],
+  ['data_5ftype_5frewriter_2eh_1008',['data_type_rewriter.h',['../data__type__rewriter_8h.html',1,'']]],
+  ['database_1009',['Database',['../classtvm_1_1meta__schedule_1_1Database.html',1,'tvm::meta_schedule']]],
+  ['database_2eh_1010',['database.h',['../database_8h.html',1,'']]],
+  ['database_5f_1011',['database_',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#af0668d2e6974fff979474d9941f97a96',1,'tvm::meta_schedule::TaskSchedulerNode']]],
+  ['databasenode_1012',['DatabaseNode',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#adfd6210675fcb9dd7778d77b8ce56782',1,'tvm::meta_schedule::DatabaseNode::DatabaseNode()'],['../classtvm_1_1meta__schedule_1_1DatabaseNode.html',1,'tvm::meta_schedule::DatabaseNode']]],
+  ['dataflow_5fmatcher_2eh_1013',['dataflow_matcher.h',['../dataflow__matcher_8h.html',1,'']]],
+  ['dataflow_5fpattern_2eh_1014',['dataflow_pattern.h',['../dataflow__pattern_8h.html',1,'']]],
+  ['dataflow_5fpattern_5ffunctor_2eh_1015',['dataflow_pattern_functor.h',['../dataflow__pattern__functor_8h.html',1,'']]],
+  ['dataproducer_1016',['DataProducer',['../classtvm_1_1tir_1_1DataProducer.html',1,'tvm::tir']]],
+  ['dataproducernode_1017',['DataProducerNode',['../classtvm_1_1tir_1_1DataProducerNode.html',1,'tvm::tir']]],
+  ['datatype_1018',['DataType',['../classtvm_1_1script_1_1printer_1_1LiteralDoc.html#adf4d8759e01746a0da3b03146b7baf00',1,'tvm::script::printer::LiteralDoc::DataType()'],['../classtvm_1_1runtime_1_1NDArray.html#a87d9cadd0c232324c101f7ed231aa193',1,'tvm::runtime::NDArray::DataType()'],['../classtvm_1_1runtime_1_1DataType.html#a58d36fef8d6b5fb39b01dccd79ff1db4',1,'tvm::runtime::DataType::DataType(int code, int bits, int lanes)'],['../classtvm_1_1runtime_1_1DataType.html#aa27f63a2395ce1ac52 [...]
+  ['datatype_5ffields_1019',['datatype_fields',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a25adb383014a74a9c4ac805beefe86b6',1,'tvm::runtime::vm::Instruction']]],
+  ['datatypelegalizer_1020',['DataTypeLegalizer',['../classtvm_1_1tir_1_1DataTypeLegalizer.html',1,'tvm::tir']]],
+  ['datatypepattern_1021',['DataTypePattern',['../classtvm_1_1relay_1_1DataTypePattern.html#a1af68239730a8b75bfb35cfce320b653',1,'tvm::relay::DataTypePattern::DataTypePattern()'],['../classtvm_1_1relay_1_1DataTypePattern.html',1,'tvm::relay::DataTypePattern']]],
+  ['datatypepatternnode_1022',['DataTypePatternNode',['../classtvm_1_1relay_1_1DataTypePatternNode.html',1,'tvm::relay']]],
+  ['deadcodeelimination_1023',['DeadCodeElimination',['../namespacetvm_1_1relay_1_1transform.html#a441963a144dae58b7633d0a674cf20cc',1,'tvm::relay::transform']]],
+  ['debug_2eh_1024',['debug.h',['../runtime_2debug_8h.html',1,'(Global Namespace)'],['../relay_2attrs_2debug_8h.html',1,'(Global Namespace)']]],
+  ['debug_5ffunc_1025',['debug_func',['../structtvm_1_1relay_1_1DebugAttrs.html#aa57fc666a9674bdd94ad8e5ac4da18f7',1,'tvm::relay::DebugAttrs']]],
+  ['debug_5fmask_1026',['debug_mask',['../classtvm_1_1tir_1_1ScheduleStateNode.html#a33ab5d3859aaf065c35e561d17b3ca48',1,'tvm::tir::ScheduleStateNode']]],
+  ['debugattrs_1027',['DebugAttrs',['../structtvm_1_1relay_1_1DebugAttrs.html',1,'tvm::relay']]],
+  ['debugverify_1028',['DebugVerify',['../classtvm_1_1tir_1_1ScheduleStateNode.html#a7ebf3759af136021c4549596ebfc468f',1,'tvm::tir::ScheduleStateNode']]],
+  ['decisions_1029',['decisions',['../classtvm_1_1tir_1_1TraceNode.html#a28bd8da64eaa35b0150c3b2a08a0e9e4',1,'tvm::tir::TraceNode']]],
+  ['decl_5fbuffer_1030',['decl_buffer',['../namespacetvm_1_1tir.html#a65cc94726eb40752523b952f500d00ec',1,'tvm::tir']]],
+  ['declbuffer_1031',['DeclBuffer',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a6e77b67c895c432fe7f69cc2d19b2cf2',1,'tvm::script::ir_builder::tir::DeclBuffer()'],['../classtvm_1_1tir_1_1DeclBuffer.html#a45dacd8c131761c6b8275f3da994e653',1,'tvm::tir::DeclBuffer::DeclBuffer()'],['../classtvm_1_1tir_1_1DeclBuffer.html',1,'tvm::tir::DeclBuffer']]],
+  ['declbufferframe_1032',['DeclBufferFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1DeclBufferFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['declbufferframenode_1033',['DeclBufferFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1DeclBufferFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['declbuffernode_1034',['DeclBufferNode',['../classtvm_1_1tir_1_1DeclBufferNode.html',1,'tvm::tir']]],
+  ['declfunction_1035',['DeclFunction',['../namespacetvm_1_1script_1_1ir__builder_1_1ir.html#ae9bc084cfd82fd955306725c1a2add20',1,'tvm::script::ir_builder::ir']]],
+  ['decomposepadding_1036',['DecomposePadding',['../classtvm_1_1tir_1_1ScheduleNode.html#af7ef928082afe7f45b417f3e130792e8',1,'tvm::tir::ScheduleNode']]],
+  ['decomposereduction_1037',['DecomposeReduction',['../classtvm_1_1tir_1_1ScheduleNode.html#a134eb33f96d69623a024c9c466183a00',1,'tvm::tir::ScheduleNode']]],
+  ['decoratedevicescope_1038',['DecorateDeviceScope',['../namespacetvm_1_1tir_1_1transform.html#a6648d0a1c613e7e0f5e231059c3c22c6',1,'tvm::tir::transform']]],
+  ['decorators_1039',['decorators',['../classtvm_1_1script_1_1printer_1_1FunctionDocNode.html#a5bfd7179298fe5bcbc9527af2b3b98e0',1,'tvm::script::printer::FunctionDocNode::decorators()'],['../classtvm_1_1script_1_1printer_1_1ClassDocNode.html#a253cf698eba7d39b7345553e646bc8b9',1,'tvm::script::printer::ClassDocNode::decorators()']]],
+  ['decref_1040',['DecRef',['../classtvm_1_1runtime_1_1Object.html#a70fb5361147634605d6595bb89381f03',1,'tvm::runtime::Object::DecRef()'],['../classtvm_1_1runtime_1_1NDArray_1_1Container.html#a70fb5361147634605d6595bb89381f03',1,'tvm::runtime::NDArray::Container::DecRef()']]],
+  ['deducebound_1041',['DeduceBound',['../namespacetvm_1_1arith.html#a3658d391f2333f405abdd54a9f174c9f',1,'tvm::arith::DeduceBound(PrimExpr v, PrimExpr cond, const std::unordered_map&lt; const VarNode *, IntSet &gt; &amp;hint_map, const std::unordered_map&lt; const VarNode *, IntSet &gt; &amp;relax_map)'],['../namespacetvm_1_1arith.html#af0860828b70ea8ff0d2f7c036c37afd5',1,'tvm::arith::DeduceBound(PrimExpr v, PrimExpr cond, const Map&lt; Var, IntSet &gt; &amp;hint_map, const Map&lt; Var, [...]
+  ['dedup_1042',['DeDup',['../namespacetvm_1_1relay.html#a1ecbcbe35c7abd82b9eabf94f6b797d2',1,'tvm::relay']]],
+  ['default_1043',['Default',['../classtvm_1_1DiagnosticContext.html#ab0a08b05d11230b5108086cd5118f488',1,'tvm::DiagnosticContext::Default()'],['../classtvm_1_1meta__schedule_1_1MeasureCallback.html#a88ce90c3501edf83c42196f29920029f',1,'tvm::meta_schedule::MeasureCallback::Default()'],['../classtvm_1_1VirtualDevice.html#a73364da6471b4634fb14abf10ce42f3c',1,'tvm::VirtualDevice::Default()']]],
+  ['default_2eh_1044',['default.h',['../generic_2default_8h.html',1,'(Global Namespace)'],['../x86_2default_8h.html',1,'(Global Namespace)']]],
+  ['default_5fdevice_5ftype_1045',['default_device_type',['../classtvm_1_1TargetKindNode.html#a0d66deaddc1ac8bfe3e39616df811b7e',1,'tvm::TargetKindNode']]],
+  ['default_5fkeys_1046',['default_keys',['../classtvm_1_1TargetKindNode.html#aa62e049ba158730d9ab88e4c0b173de9',1,'tvm::TargetKindNode']]],
+  ['default_5fmax_5fcontinuous_5ferror_1047',['DEFAULT_MAX_CONTINUOUS_ERROR',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a6600d5b819e6c7e9ef3f6c32c355e3db',1,'tvm::auto_scheduler::ProgramMeasurerNode']]],
+  ['default_5fprimitive_5fvirtual_5fdevice_1048',['default_primitive_virtual_device',['../classtvm_1_1CompilationConfigNode.html#abe4569cf32c57b710be99b50e7118876',1,'tvm::CompilationConfigNode']]],
+  ['default_5fschedule_1049',['default_schedule',['../namespacetvm_1_1topi_1_1x86.html#a8df4b07cd29b24d5c1323df91892fad4',1,'tvm::topi::x86::default_schedule()'],['../namespacetvm_1_1topi_1_1generic.html#ae10c7793be021c3da437aeb2f79d8d2e',1,'tvm::topi::generic::default_schedule()']]],
+  ['default_5fschedule_5fauto_5finline_1050',['default_schedule_auto_inline',['../namespacetvm_1_1topi_1_1x86.html#af70d13cc92e434e9bce17cf76f4ef4f8',1,'tvm::topi::x86::default_schedule_auto_inline()'],['../namespacetvm_1_1topi_1_1generic.html#a1b7888cf36fa1da754ec65303a2dbbfb',1,'tvm::topi::generic::default_schedule_auto_inline()']]],
+  ['defaultarm_1051',['DefaultARM',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#aed1df21c99b9b2be40b0614b33800635',1,'tvm::meta_schedule::ScheduleRule']]],
+  ['defaultcputensorization_1052',['DefaultCPUTensorization',['../classtvm_1_1meta__schedule_1_1Postproc.html#a4fe2775d916e99f27815aac6df46fd0c',1,'tvm::meta_schedule::Postproc']]],
+  ['defaultcuda_1053',['DefaultCUDA',['../classtvm_1_1meta__schedule_1_1Mutator.html#a6eb9b1298865cdeb5a8247a4e14454e3',1,'tvm::meta_schedule::Mutator::DefaultCUDA()'],['../classtvm_1_1meta__schedule_1_1Postproc.html#a799e989283bbfa92471829ab23179df5',1,'tvm::meta_schedule::Postproc::DefaultCUDA()'],['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a77ab3dd14cbfcec7ed059559f7afc372',1,'tvm::meta_schedule::ScheduleRule::DefaultCUDA()']]],
+  ['defaultcudatensorcore_1054',['DefaultCUDATensorCore',['../classtvm_1_1meta__schedule_1_1Mutator.html#af612e614b9550f83d7cc30e0a431df2a',1,'tvm::meta_schedule::Mutator::DefaultCUDATensorCore()'],['../classtvm_1_1meta__schedule_1_1Postproc.html#a48dc2532ac0a7970cfcf1d482473a631',1,'tvm::meta_schedule::Postproc::DefaultCUDATensorCore()'],['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a2abd71c2f3600573784d855d3cd63814',1,'tvm::meta_schedule::ScheduleRule::DefaultCUDATensorCore()']]],
+  ['defaulthexagon_1055',['DefaultHexagon',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#acd4de1f7ace3a34603f8832ae1b3180b',1,'tvm::meta_schedule::ScheduleRule::DefaultHexagon()'],['../classtvm_1_1meta__schedule_1_1Mutator.html#a4ce54511e556a30567e5d5876c81c91d',1,'tvm::meta_schedule::Mutator::DefaultHexagon()'],['../classtvm_1_1meta__schedule_1_1Postproc.html#ae4b33fac30e9420d0a0287ab44c37a98',1,'tvm::meta_schedule::Postproc::DefaultHexagon()']]],
+  ['defaultindextype_1056',['DefaultIndexType',['../classtvm_1_1tir_1_1BufferNode.html#ac24a0bfa4f80776086c7eadaf98ba5b3',1,'tvm::tir::BufferNode']]],
+  ['defaultllvm_1057',['DefaultLLVM',['../classtvm_1_1meta__schedule_1_1Mutator.html#a15a0354263735c53c4b7419153da7c87',1,'tvm::meta_schedule::Mutator::DefaultLLVM()'],['../classtvm_1_1meta__schedule_1_1Postproc.html#a540ba92c0e373ff6872c736e3a2ca1b7',1,'tvm::meta_schedule::Postproc::DefaultLLVM()'],['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a031b6dcad67f1d985aa30adb13e2b6e8',1,'tvm::meta_schedule::ScheduleRule::DefaultLLVM()']]],
+  ['defaultmicro_1058',['DefaultMicro',['../classtvm_1_1meta__schedule_1_1Mutator.html#af8fca919396df4557beeacfce9be0ef2',1,'tvm::meta_schedule::Mutator::DefaultMicro()'],['../classtvm_1_1meta__schedule_1_1Postproc.html#a83c92e6d1f474a65115e7c4a1216e631',1,'tvm::meta_schedule::Postproc::DefaultMicro()'],['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#ad181358bf6ca1951f0038f0691308bee',1,'tvm::meta_schedule::ScheduleRule::DefaultMicro()']]],
+  ['defaulttimer_1059',['DefaultTimer',['../namespacetvm_1_1runtime.html#ab69f2cbb94a9c579ee870ca7f186cf10',1,'tvm::runtime']]],
+  ['defaultx86_1060',['DefaultX86',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a5342931a76e2269970f132d0921e2f45',1,'tvm::meta_schedule::ScheduleRule']]],
+  ['defequal_1061',['DefEqual',['../classtvm_1_1SEqualReducer.html#a62ba4c55928d4886853f9c33f4147340',1,'tvm::SEqualReducer']]],
+  ['deferfail_1062',['DeferFail',['../classtvm_1_1SEqualHandlerDefault.html#a916706dd76898d8ff4e381233c609d14',1,'tvm::SEqualHandlerDefault::DeferFail()'],['../classtvm_1_1SEqualReducer_1_1Handler.html#aa59c1a7a863c81f2a903795b1a96f986',1,'tvm::SEqualReducer::Handler::DeferFail()']]],
+  ['deffunction_1063',['DefFunction',['../namespacetvm_1_1script_1_1ir__builder_1_1ir.html#aafda5c2027912f2cbd684afb3becbca7',1,'tvm::script::ir_builder::ir']]],
+  ['defhash_1064',['DefHash',['../classtvm_1_1SHashReducer.html#a74260485bd50d1bfa52ded457a6a7777',1,'tvm::SHashReducer']]],
+  ['define_1065',['Define',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#a7ce8b7c1199f958d6dc16b24db426b9d',1,'tvm::script::printer::IRDocsifierNode::Define(const ObjectRef &amp;obj, const Frame &amp;frame, const String &amp;name_hint)'],['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#a05f2f73b4ed424594bcb3bd14837e922',1,'tvm::script::printer::IRDocsifierNode::Define(const ObjectRef &amp;obj, const Frame &amp;frame, DocCreator doc_factory)']]],
+  ['define_5foverload_5fslice_5fbinary_5fop_1066',['DEFINE_OVERLOAD_SLICE_BINARY_OP',['../tensor_8h.html#ae0fd4dc106013194f57e4db8454083e7',1,'tensor.h']]],
+  ['define_5foverload_5fslice_5funary_5fop_1067',['DEFINE_OVERLOAD_SLICE_UNARY_OP',['../tensor_8h.html#a2a32e5f31a6c0d80884b4aa9822dbbac',1,'tensor.h']]],
+  ['define_5ftvm_5fcrt_5ferror_1068',['DEFINE_TVM_CRT_ERROR',['../error__codes_8h.html#a45944d0eccc0a57ac2eefba99b91f074',1,'error_codes.h']]],
+  ['defined_1069',['defined',['../classtvm_1_1runtime_1_1ObjectRef.html#a17d8d5ad92691f9e18e3e0ae8ef69e4f',1,'tvm::runtime::ObjectRef']]],
+  ['defined_5fnames_1070',['defined_names',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#a565f9fb59cfdd54522b3d206ba076ef4',1,'tvm::script::printer::IRDocsifierNode']]],
+  ['deformable_5fgroups_1071',['deformable_groups',['../structtvm_1_1relay_1_1DeformableConv2DAttrs.html#a4fba285602385ee96a4a64fb5ed29af5',1,'tvm::relay::DeformableConv2DAttrs']]],
+  ['deformableconv2dattrs_1072',['DeformableConv2DAttrs',['../structtvm_1_1relay_1_1DeformableConv2DAttrs.html',1,'tvm::relay']]],
+  ['defuseops_1073',['DefuseOps',['../namespacetvm_1_1relay_1_1transform.html#a8f3eee7092f7e3e58e1c76f4498e32e7',1,'tvm::relay::transform']]],
+  ['deleter_1074',['Deleter',['../classtvm_1_1runtime_1_1SimpleObjAllocator_1_1Handler.html#ad2425c09e13c317ab96e728ee6cd4065',1,'tvm::runtime::SimpleObjAllocator::Handler::Deleter()'],['../classtvm_1_1runtime_1_1SimpleObjAllocator_1_1ArrayHandler.html#af4771f19c83f265a9cdafd4362e49f56',1,'tvm::runtime::SimpleObjAllocator::ArrayHandler::Deleter()'],['../classtvm_1_1runtime_1_1vm_1_1StorageObj.html#aeff7038047b64e80d1e640368f6696b5',1,'tvm::runtime::vm::StorageObj::Deleter()']]],
+  ['deleter_5f_1075',['Deleter_',['../classtvm_1_1relay_1_1LetNode.html#a63ad91919aae89c1da30b738dd10b5b2',1,'tvm::relay::LetNode::Deleter_()'],['../classtvm_1_1relay_1_1CallNode.html#a5d290e2122d160249df2b36a77fdc107',1,'tvm::relay::CallNode::Deleter_()']]],
+  ['deleter_5f_1076',['deleter_',['../classtvm_1_1runtime_1_1Object.html#af4407d2b59132e803ff791482dbe0145',1,'tvm::runtime::Object']]],
+  ['deletestage_1077',['DeleteStage',['../classtvm_1_1auto__scheduler_1_1AttachMap.html#a7af553d4d27ce6dff65c823768c02c1b',1,'tvm::auto_scheduler::AttachMap']]],
+  ['dense_1078',['dense',['../namespacetvm_1_1topi_1_1nn.html#a34e1a8305acf89ef2f745c8d99bf8e89',1,'tvm::topi::nn']]],
+  ['dense_2eh_1079',['dense.h',['../cuda_2dense_8h.html',1,'(Global Namespace)'],['../nn_2dense_8h.html',1,'(Global Namespace)'],['../rocm_2dense_8h.html',1,'(Global Namespace)']]],
+  ['dense_5fcuda_1080',['dense_cuda',['../namespacetvm_1_1topi_1_1cuda.html#a0d7e7f3b8eb9deab467e47366d56ff91',1,'tvm::topi::cuda']]],
+  ['dense_5frocm_1081',['dense_rocm',['../namespacetvm_1_1topi_1_1rocm.html#a2efd86ef8f0f356ed950a8d81e62b1d7',1,'tvm::topi::rocm']]],
+  ['denseattrs_1082',['DenseAttrs',['../structtvm_1_1relay_1_1DenseAttrs.html',1,'tvm::relay']]],
+  ['densemapnode_1083',['DenseMapNode',['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#a10a48b1eb7dbae119faa38e62ec5b5b9',1,'tvm::runtime::MapNode::iterator::DenseMapNode()'],['../classtvm_1_1runtime_1_1SmallMapNode.html#a10a48b1eb7dbae119faa38e62ec5b5b9',1,'tvm::runtime::SmallMapNode::DenseMapNode()'],['../classtvm_1_1runtime_1_1DenseMapNode.html',1,'tvm::runtime::DenseMapNode']]],
+  ['densepackattrs_1084',['DensePackAttrs',['../structtvm_1_1relay_1_1DensePackAttrs.html',1,'tvm::relay']]],
+  ['dependency_1085',['Dependency',['../classtvm_1_1tir_1_1Dependency.html#a43d8f2d3a2b251094774252f526db176',1,'tvm::tir::Dependency::Dependency()'],['../classtvm_1_1tir_1_1Dependency.html',1,'tvm::tir::Dependency']]],
+  ['dependencynode_1086',['DependencyNode',['../classtvm_1_1tir_1_1DependencyNode.html',1,'tvm::tir']]],
+  ['depkind_1087',['DepKind',['../namespacetvm_1_1tir.html#a82f5fe564edbe0dadbc4a56a82dfe12e',1,'tvm::tir']]],
+  ['depth_1088',['depth',['../structtvm_1_1relay_1_1OneHotAttrs.html#a698ab8a2112fff60a95425155e015a78',1,'tvm::relay::OneHotAttrs']]],
+  ['depthwise_5fconv2d_5fnchw_1089',['depthwise_conv2d_nchw',['../namespacetvm_1_1topi.html#a786c950302117f8bdbbcab523f7b83bb',1,'tvm::topi']]],
+  ['depthwise_5fconv2d_5fnhwc_1090',['depthwise_conv2d_nhwc',['../namespacetvm_1_1topi.html#af6ff6aea6b38b58798240c370fa16968',1,'tvm::topi']]],
+  ['dequantizeattrs_1091',['DequantizeAttrs',['../structtvm_1_1relay_1_1qnn_1_1DequantizeAttrs.html',1,'tvm::relay::qnn']]],
+  ['derivative_1092',['Derivative',['../namespacetvm_1_1te.html#a5c207a8c51face2c69657fb93ecec9c8',1,'tvm::te']]],
+  ['desc_1093',['desc',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#a48705d24c39a0e0d567d8bf3b0c158a3',1,'tvm::auto_scheduler::SearchTaskNode::desc()'],['../classtvm_1_1tir_1_1TensorIntrinNode.html#af62c65f05cebe7b55a4017d599955349',1,'tvm::tir::TensorIntrinNode::desc()']]],
+  ['describe_1094',['describe',['../structtvm_1_1detail_1_1AttrNopEntry.html#a9bd1f913549c1b4376c0137f4101b791',1,'tvm::detail::AttrNopEntry::describe()'],['../structtvm_1_1detail_1_1AttrInitEntry.html#a64eca870988f14379a2c47085cb5a0f2',1,'tvm::detail::AttrInitEntry::describe()'],['../classtvm_1_1OpRegEntry.html#a31b037ff8212469a337b891c777418c7',1,'tvm::OpRegEntry::describe()'],['../structtvm_1_1detail_1_1AttrTriggerNonDefaultEntry.html#aa9eac8ca63d91dab631e14547ec4ce00',1,'tvm::detail: [...]
+  ['description_1095',['description',['../classtvm_1_1OpNode.html#af08bec95b94b4fe302e8c0fe70cab5e9',1,'tvm::OpNode::description()'],['../classtvm_1_1AttrFieldInfoNode.html#a7b565d9b3eca049a906e42fba57516a0',1,'tvm::AttrFieldInfoNode::description()']]],
+  ['deserializemodulefrombytes_1096',['DeserializeModuleFromBytes',['../namespacetvm_1_1codegen.html#a84ce3ef68c731ece74a2e6065f7b5c5b',1,'tvm::codegen']]],
+  ['dest_1097',['dest',['../structtvm_1_1tir_1_1MemCpyDetails.html#ad2bba7932b61964afa25752a302b7ec7',1,'tvm::tir::MemCpyDetails']]],
+  ['detectbufferaccesslca_1098',['DetectBufferAccessLCA',['../namespacetvm_1_1tir.html#abbd3ced524b506f532aa1d8ae36dadf3',1,'tvm::tir']]],
+  ['detectclipbound_1099',['DetectClipBound',['../namespacetvm_1_1arith.html#a739616342876c2633b87ed16c649bc91',1,'tvm::arith']]],
+  ['detectfeature_1100',['DetectFeature',['../namespacetvm_1_1relay.html#a191d5425083368521d49cc49cef65aba',1,'tvm::relay::DetectFeature(const Expr &amp;expr, const IRModule &amp;mod)'],['../namespacetvm_1_1relay.html#a81978c82e1130854e575ccabc152ad70',1,'tvm::relay::DetectFeature(const IRModule &amp;mod)'],['../namespacetvm_1_1relay.html#a62b651084b386991221bc32c020cbef5',1,'tvm::relay::DetectFeature(const RelayExpr &amp;expr)']]],
+  ['detectitermap_1101',['DetectIterMap',['../namespacetvm_1_1arith.html#ad0052f8b26c04f2b161a2432331f661c',1,'tvm::arith']]],
+  ['detectlinearequation_1102',['DetectLinearEquation',['../namespacetvm_1_1arith.html#a87a12ee0854469b04329a961ef261559',1,'tvm::arith']]],
+  ['dev_1103',['dev',['../structtvm_1_1runtime_1_1profiling_1_1CallFrame.html#abe09bc06a0a25001435ef512865d6259',1,'tvm::runtime::profiling::CallFrame']]],
+  ['device_1104',['Device',['../namespacetvm.html#a7c2095aed90b2129ba631b90103313a2',1,'tvm']]],
+  ['device_1105',['device',['../structtvm_1_1runtime_1_1profiling_1_1DeviceWrapperNode.html#a1c3c3c0fc8f177ddedc0ec02ca77b123',1,'tvm::runtime::profiling::DeviceWrapperNode::device()'],['../structtvm_1_1runtime_1_1vm_1_1Buffer.html#a2dc9562c031262e16ff6e8d007f601f2',1,'tvm::runtime::vm::Buffer::device()'],['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html#acc0be09b0c6b0f21aef92088c0e38602',1,'tvm::auto_scheduler::ProgramRunnerNode::device()'],['../structTVMAotExecutor.html#a556d1 [...]
+  ['device_5fapi_2eh_1106',['device_api.h',['../device__api_8h.html',1,'']]],
+  ['device_5fcopy_1107',['device_copy',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#afccc5580528097a88c4fdb5b30c702f8',1,'tvm::runtime::vm::Instruction']]],
+  ['device_5fcopy_2eh_1108',['device_copy.h',['../device__copy_8h.html',1,'']]],
+  ['device_5fid_1109',['device_id',['../namespacetvm_1_1tir_1_1attr.html#a61b1ef1047fb722a4e5ec2167c9963d7',1,'tvm::tir::attr']]],
+  ['device_5findex_1110',['device_index',['../structTVMGraphExecutorGraphAttr.html#ae55c2e6d56c07fc475c44d82ba1de012',1,'TVMGraphExecutorGraphAttr::device_index()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#af91776ae1a16f3545bc4749599d62643',1,'tvm::runtime::vm::Instruction::device_index()']]],
+  ['device_5fmetrics_1111',['device_metrics',['../classtvm_1_1runtime_1_1profiling_1_1ReportNode.html#ababc1b17ad3a7f9bfe9a8006cc2c4cd0',1,'tvm::runtime::profiling::ReportNode']]],
+  ['device_5fscope_1112',['device_scope',['../namespacetvm_1_1tir_1_1attr.html#a36db026f638ad3d951c302796ddcae24',1,'tvm::tir::attr']]],
+  ['device_5ftype_1113',['device_type',['../namespacetvm_1_1tir_1_1attr.html#a7e4e7cd47471a9089022214d63d24206',1,'tvm::tir::attr::device_type()'],['../classtvm_1_1VirtualDeviceNode.html#a5e3f67045652bc27b937acf1ddc677a7',1,'tvm::VirtualDeviceNode::device_type()'],['../classtvm_1_1meta__schedule_1_1RunnerInputNode.html#a5879e387f788cfd90b5a62ef1e55011e',1,'tvm::meta_schedule::RunnerInputNode::device_type()']]],
+  ['deviceapi_1114',['DeviceAPI',['../classtvm_1_1runtime_1_1DeviceAPI.html',1,'tvm::runtime']]],
+  ['deviceattrkind_1115',['DeviceAttrKind',['../namespacetvm_1_1runtime.html#a46fef1ca0ccc05473e9bb0a8c6b66619',1,'tvm::runtime']]],
+  ['devicecopy_1116',['DeviceCopy',['../namespacetvm_1_1runtime_1_1vm.html#a8d8d95ce8d629c7213f2f595917870ecaf695012a8c440065a5e913a682e77b5c',1,'tvm::runtime::vm::DeviceCopy()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#ad38748aeb7650b185d8548e491aa9da6',1,'tvm::runtime::vm::Instruction::DeviceCopy()']]],
+  ['devicecopyattrs_1117',['DeviceCopyAttrs',['../structtvm_1_1relay_1_1DeviceCopyAttrs.html',1,'tvm::relay']]],
+  ['devicemodulepassmanager_1118',['DeviceModulePassManager',['../namespacetvm.html#a10a28e7c117ac3f7402caf3a27287582',1,'tvm']]],
+  ['devicerandom_1119',['DeviceRandom',['../classtvm_1_1support_1_1LinearCongruentialEngine.html#a56c8b9e670517ef7ecb21fb59653652d',1,'tvm::support::LinearCongruentialEngine']]],
+  ['devices_5f_1120',['devices_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a602daa8d70ae598a833d8601d1ef6d95',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['devicewrapper_1121',['DeviceWrapper',['../classtvm_1_1runtime_1_1profiling_1_1DeviceWrapper.html#adc1844f93c34bf5b21a67cb194564d8a',1,'tvm::runtime::profiling::DeviceWrapper::DeviceWrapper()'],['../classtvm_1_1runtime_1_1profiling_1_1DeviceWrapper.html',1,'tvm::runtime::profiling::DeviceWrapper']]],
+  ['devicewrappernode_1122',['DeviceWrapperNode',['../structtvm_1_1runtime_1_1profiling_1_1DeviceWrapperNode.html#a2c0d565e6e24bb1f4047716ecf68be6d',1,'tvm::runtime::profiling::DeviceWrapperNode::DeviceWrapperNode()'],['../structtvm_1_1runtime_1_1profiling_1_1DeviceWrapperNode.html',1,'tvm::runtime::profiling::DeviceWrapperNode']]],
+  ['dfpattern_1123',['DFPattern',['../classtvm_1_1relay_1_1DFPattern.html',1,'tvm::relay']]],
+  ['dfpattern_5ffunctor_5fdefault_1124',['DFPATTERN_FUNCTOR_DEFAULT',['../dataflow__pattern__functor_8h.html#a7f2adce25eeb3cfb130ea5735414dbba',1,'dataflow_pattern_functor.h']]],
+  ['dfpatterncallback_1125',['DFPatternCallback',['../classtvm_1_1relay_1_1DFPatternCallback.html#afb8af748ce3c3f9c31ee5b1c73ffb6a4',1,'tvm::relay::DFPatternCallback::DFPatternCallback()'],['../classtvm_1_1relay_1_1DFPatternCallback.html',1,'tvm::relay::DFPatternCallback']]],
+  ['dfpatterncallbacknode_1126',['DFPatternCallbackNode',['../classtvm_1_1relay_1_1DFPatternCallbackNode.html',1,'tvm::relay']]],
+  ['dfpatternfunctor_1127',['DFPatternFunctor',['../classtvm_1_1relay_1_1DFPatternFunctor.html',1,'tvm::relay']]],
+  ['dfpatternfunctor_3c_20r_28const_20dfpattern_20_26n_2c_20args_2e_2e_2e_29_3e_1128',['DFPatternFunctor&lt; R(const DFPattern &amp;n, Args...)&gt;',['../classtvm_1_1relay_1_1DFPatternFunctor_3_01R_07const_01DFPattern_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm::relay']]],
+  ['dfpatternfunctor_3c_20void_28const_20dfpattern_20_26_29_3e_1129',['DFPatternFunctor&lt; void(const DFPattern &amp;)&gt;',['../classtvm_1_1relay_1_1DFPatternFunctor.html',1,'tvm::relay']]],
+  ['dfpatternnode_1130',['DFPatternNode',['../classtvm_1_1relay_1_1DFPatternNode.html',1,'tvm::relay']]],
+  ['dfpatternprinter_1131',['DFPatternPrinter',['../classtvm_1_1relay_1_1DFPatternPrinter.html#a610954502fc2a2f4f9119dd2214f3e30',1,'tvm::relay::DFPatternPrinter::DFPatternPrinter()'],['../classtvm_1_1relay_1_1DFPatternPrinter.html',1,'tvm::relay::DFPatternPrinter']]],
+  ['dfpatternvisitor_1132',['DFPatternVisitor',['../classtvm_1_1relay_1_1DFPatternVisitor.html',1,'tvm::relay']]],
+  ['dftattrs_1133',['DFTAttrs',['../structtvm_1_1relay_1_1DFTAttrs.html',1,'tvm::relay']]],
+  ['diag_5fctx_1134',['diag_ctx',['../classtvm_1_1transform_1_1PassContextNode.html#aa7bfc5ab1cf83d43a9b9bf4f1e62dd8c',1,'tvm::transform::PassContextNode']]],
+  ['diagnostic_1135',['Diagnostic',['../classtvm_1_1DiagnosticBuilder.html#a0c7318521607159dc55357ce2fec661b',1,'tvm::DiagnosticBuilder::Diagnostic()'],['../classtvm_1_1Diagnostic.html#a3e841d675315f73ce32ffa5c81dd9c26',1,'tvm::Diagnostic::Diagnostic()'],['../classtvm_1_1Diagnostic.html',1,'tvm::Diagnostic']]],
+  ['diagnostic_2eh_1136',['diagnostic.h',['../diagnostic_8h.html',1,'']]],
+  ['diagnosticbuilder_1137',['DiagnosticBuilder',['../classtvm_1_1DiagnosticBuilder.html#a0216fb97569a5566c2fedfeb08821a8d',1,'tvm::DiagnosticBuilder::DiagnosticBuilder(DiagnosticLevel level, ObjectRef loc)'],['../classtvm_1_1DiagnosticBuilder.html#ab8cb7481e5112445af289832dc718e36',1,'tvm::DiagnosticBuilder::DiagnosticBuilder()'],['../classtvm_1_1DiagnosticBuilder.html#a3204dda7b9a0625027f3d7cba87558f7',1,'tvm::DiagnosticBuilder::DiagnosticBuilder(const DiagnosticBuilder &amp;builder)'] [...]
+  ['diagnosticcontext_1138',['DiagnosticContext',['../classtvm_1_1DiagnosticContext.html#a95a504685fb72779a8b63abb3e2923ea',1,'tvm::DiagnosticContext::DiagnosticContext()'],['../classtvm_1_1DiagnosticContext.html',1,'tvm::DiagnosticContext']]],
+  ['diagnosticcontextnode_1139',['DiagnosticContextNode',['../classtvm_1_1DiagnosticContextNode.html',1,'tvm']]],
+  ['diagnosticlevel_1140',['DiagnosticLevel',['../namespacetvm.html#a908c332516a33fdc106cd9ee2ebc2b9e',1,'tvm']]],
+  ['diagnosticnode_1141',['DiagnosticNode',['../classtvm_1_1DiagnosticNode.html',1,'tvm']]],
+  ['diagnosticrenderer_1142',['DiagnosticRenderer',['../classtvm_1_1DiagnosticRenderer.html#aee223ebb9e5a875795e6536503e155ad',1,'tvm::DiagnosticRenderer::DiagnosticRenderer()'],['../classtvm_1_1DiagnosticRenderer.html#a118215b25d3747423a3fa6af989b32df',1,'tvm::DiagnosticRenderer::DiagnosticRenderer(TypedPackedFunc&lt; void(DiagnosticContext ctx)&gt; render)'],['../classtvm_1_1DiagnosticRenderer.html',1,'tvm::DiagnosticRenderer']]],
+  ['diagnosticrenderernode_1143',['DiagnosticRendererNode',['../classtvm_1_1DiagnosticRendererNode.html',1,'tvm']]],
+  ['diagnostics_1144',['diagnostics',['../classtvm_1_1DiagnosticContextNode.html#ada207669f235f6aa8dbf310583a92339',1,'tvm::DiagnosticContextNode']]],
+  ['dict_1145',['dict',['../classtvm_1_1DictAttrsNode.html#ad80fb7d4b9f4e08bd0f15e409af2ac80',1,'tvm::DictAttrsNode']]],
+  ['dictattrs_1146',['DictAttrs',['../classtvm_1_1DictAttrs.html#a3999d7e2b942c8f9993f6d51cb8f3ded',1,'tvm::DictAttrs::DictAttrs()'],['../classtvm_1_1DictAttrs.html',1,'tvm::DictAttrs']]],
+  ['dictattrsnode_1147',['DictAttrsNode',['../classtvm_1_1DictAttrsNode.html',1,'tvm']]],
+  ['dictdoc_1148',['DictDoc',['../classtvm_1_1script_1_1printer_1_1DictDoc.html#a60961545e317ab265c56f2c905db88b9',1,'tvm::script::printer::DictDoc::DictDoc(Array&lt; ExprDoc &gt; keys, Array&lt; ExprDoc &gt; values)'],['../classtvm_1_1script_1_1printer_1_1DictDoc.html#a8cedc24d34db6c6a185912bb41df562d',1,'tvm::script::printer::DictDoc::DictDoc()'],['../classtvm_1_1script_1_1printer_1_1DictDoc.html',1,'tvm::script::printer::DictDoc']]],
+  ['dictdocnode_1149',['DictDocNode',['../classtvm_1_1script_1_1printer_1_1DictDocNode.html',1,'tvm::script::printer']]],
+  ['difference_5ftype_1150',['difference_type',['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#ad836e9ac0b9fc9c801d69fbedbd77d51',1,'tvm::runtime::ReverseIterAdapter::difference_type()'],['../classtvm_1_1runtime_1_1Map_1_1iterator.html#abc9b8a0b8afac7b49c204c3e33f6b3be',1,'tvm::runtime::Map::iterator::difference_type()'],['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#adf62c96244160116493dd6a3f6ca3b6e',1,'tvm::runtime::MapNode::iterator::difference_type()'],['../classtvm_1_1runt [...]
+  ['dilate_1151',['dilate',['../namespacetvm_1_1topi_1_1nn.html#af210f30ef7afb28cd369dacc8f05be68',1,'tvm::topi::nn']]],
+  ['dilate_2eh_1152',['dilate.h',['../dilate_8h.html',1,'']]],
+  ['dilateattrs_1153',['DilateAttrs',['../structtvm_1_1relay_1_1DilateAttrs.html',1,'tvm::relay']]],
+  ['dilation_1154',['dilation',['../structtvm_1_1relay_1_1DeformableConv2DAttrs.html#a715d5ed0f596f799c8400777dc6200e4',1,'tvm::relay::DeformableConv2DAttrs::dilation()'],['../structtvm_1_1relay_1_1Conv3DTransposeAttrs.html#aa4dd3ea9f1eadf621f30533690585649',1,'tvm::relay::Conv3DTransposeAttrs::dilation()'],['../structtvm_1_1relay_1_1Conv3DWinogradAttrs.html#a3a1190f12373e4df6d48247ad0534550',1,'tvm::relay::Conv3DWinogradAttrs::dilation()'],['../structtvm_1_1relay_1_1Conv3DAttrs.html#aed [...]
+  ['dilation2dattrs_1155',['Dilation2DAttrs',['../structtvm_1_1relay_1_1Dilation2DAttrs.html',1,'tvm::relay']]],
+  ['dilation_5fvalue_1156',['dilation_value',['../structtvm_1_1relay_1_1DilateAttrs.html#a903fec56f538f98bff6950e389899d82',1,'tvm::relay::DilateAttrs']]],
+  ['dilations_1157',['dilations',['../structtvm_1_1relay_1_1Dilation2DAttrs.html#a27059af94a599f71d2561b5a6f11eaa2',1,'tvm::relay::Dilation2DAttrs']]],
+  ['dim_5falign_5ffactor_1158',['dim_align_factor',['../classtvm_1_1te_1_1IterVarAttrNode.html#a8d3c51e9b50ef1d3e10453b3210d187a',1,'tvm::te::IterVarAttrNode']]],
+  ['dim_5falign_5foffset_1159',['dim_align_offset',['../classtvm_1_1te_1_1IterVarAttrNode.html#aa75bbe1b6c521352553752261a1b6f50',1,'tvm::te::IterVarAttrNode']]],
+  ['disabled_5fpass_1160',['disabled_pass',['../classtvm_1_1transform_1_1PassContextNode.html#ad42fa984f8ff1dad24cc77d0a39e96a0',1,'tvm::transform::PassContextNode']]],
+  ['disallowasyncstridedmemcopy_1161',['DisallowAsyncStridedMemCopy',['../classtvm_1_1meta__schedule_1_1Postproc.html#a05460995a5129d39133fb864c7695e91',1,'tvm::meta_schedule::Postproc']]],
+  ['disallowdynamicloop_1162',['DisallowDynamicLoop',['../classtvm_1_1meta__schedule_1_1Postproc.html#af3d76d03f0c508b985f7050f0e18732d',1,'tvm::meta_schedule::Postproc']]],
+  ['dispatch_5fdict_5f_1163',['dispatch_dict_',['../classtvm_1_1GenericFuncNode.html#af9c722adc3fc97ade2c64c7e140462ea',1,'tvm::GenericFuncNode']]],
+  ['dispatch_5ftokens_1164',['dispatch_tokens',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#a4f761637ee382f3755cb199563edbe2c',1,'tvm::script::printer::IRDocsifierNode']]],
+  ['dispatched_1165',['dispatched',['../classtvm_1_1meta__schedule_1_1ExtractedTaskNode.html#a89729717843a9ea91a4535bafee8b14f',1,'tvm::meta_schedule::ExtractedTaskNode']]],
+  ['dispatchsequalreduce_1166',['DispatchSEqualReduce',['../classtvm_1_1SEqualHandlerDefault.html#a6a556567cb39505c52976be6dfbde76f',1,'tvm::SEqualHandlerDefault']]],
+  ['dispatchshash_1167',['DispatchSHash',['../classtvm_1_1SHashHandlerDefault.html#a96efffbad7a9d06bfff5df973a5c6c86',1,'tvm::SHashHandlerDefault']]],
+  ['dispatchvisitexpr_1168',['DispatchVisitExpr',['../classtvm_1_1relay_1_1MixedModeMutator.html#abf42e17fa95968153e0cff75c6280918',1,'tvm::relay::MixedModeMutator']]],
+  ['div_1169',['div',['../namespacetvm.html#a16f9cd9219b505e2cc05c5a7558ac61f',1,'tvm']]],
+  ['div_1170',['Div',['../classtvm_1_1tir_1_1Div.html#a5ce77bff7054a960259a64fac8939c41',1,'tvm::tir::Div']]],
+  ['div_1171',['div',['../namespacetvm.html#a7098ed6761c2a236f218b62ba1f8057f',1,'tvm::div(const PrimExpr &amp;a, float b, Span span=Span())'],['../namespacetvm.html#a9794724633630eabe2ef5a7c6810b3bd',1,'tvm::div(float a, const PrimExpr &amp;b, Span span=Span())'],['../namespacetvm.html#a0d9bb599eb28a6ca9d096247ff4d7fba',1,'tvm::div(int a, const PrimExpr &amp;b, Span span=Span())'],['../namespacetvm.html#a421c6836f0e87cd662320a8f6c23d452',1,'tvm::div(const PrimExpr &amp;a, int b, Span sp [...]
+  ['div_1172',['Div',['../classtvm_1_1tir_1_1Div.html',1,'tvm::tir']]],
+  ['divambiguityerror_1173',['DivAmbiguityError',['../namespacetvm.html#a31e7a3e4a160a1d048e3ba741966f1a8',1,'tvm']]],
+  ['divide_1174',['divide',['../namespacetvm_1_1topi.html#aec73d9ff3005b6775c481b6c58385060',1,'tvm::topi::divide(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B, std::string name=&quot;T_&quot; &quot;divide&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#a582bc98a3956894e8e90a3a3da929568',1,'tvm::topi::divide(const tvm::PrimExpr &amp;a, const tvm::PrimExpr &amp;b)'],['../namespacetvm_1_1topi.html#ababd930d132370216019728aba49cb8b',1,'tvm::topi::divide(cons [...]
+  ['divmode_1175',['DivMode',['../namespacetvm_1_1arith.html#ac6a38da661cd3681eb85abe1cd810422',1,'tvm::arith']]],
+  ['divnode_1176',['DivNode',['../classtvm_1_1tir_1_1DivNode.html',1,'tvm::tir']]],
+  ['dl_5ftensor_1177',['dl_tensor',['../classtvm_1_1runtime_1_1NDArray_1_1ContainerBase.html#a1063a9d01075d5b7b0e8fa31d4d72e0b',1,'tvm::runtime::NDArray::ContainerBase']]],
+  ['dldatatype2string_1178',['DLDataType2String',['../namespacetvm_1_1runtime.html#ac360f2d9815036ab1b4d84d9cfb46b4f',1,'tvm::runtime']]],
+  ['dldatatypecode2str_1179',['DLDataTypeCode2Str',['../namespacetvm_1_1runtime.html#af9303c49b737675bdab034c4032dcc48',1,'tvm::runtime']]],
+  ['dldevicetype2str_1180',['DLDeviceType2Str',['../namespacetvm_1_1runtime.html#a1fa64a5babbd1f199e4c14f1d90ed5dc',1,'tvm::runtime']]],
+  ['dltype_1181',['dltype',['../structTVMGraphExecutorGraphAttr.html#af3ed0db451f21fc34f34d1c345f209ee',1,'TVMGraphExecutorGraphAttr']]],
+  ['dltype_5fcount_1182',['dltype_count',['../structTVMGraphExecutorGraphAttr.html#a2627b1e80d464ef00b195b3032d6864b',1,'TVMGraphExecutorGraphAttr']]],
+  ['dma_5fcopy_1183',['dma_copy',['../namespacetvm_1_1tir_1_1builtin.html#afd453e860a10562ac2692473edde6707',1,'tvm::tir::builtin']]],
+  ['dma_5fend_5fgroup_1184',['dma_end_group',['../namespacetvm_1_1tir_1_1builtin.html#a3397d82a9ffb11859615f298f529f538',1,'tvm::tir::builtin']]],
+  ['dma_5fstart_5fgroup_1185',['dma_start_group',['../namespacetvm_1_1tir_1_1builtin.html#ace1c09d0bcfa95c52dd509e0c40b1824',1,'tvm::tir::builtin']]],
+  ['dma_5fwait_1186',['dma_wait',['../namespacetvm_1_1tir_1_1builtin.html#a13aefe6686345fee7d4a1633dd059806',1,'tvm::tir::builtin']]],
+  ['dmlc_1187',['dmlc',['../namespacedmlc.html',1,'']]],
+  ['doc_1188',['Doc',['../classtvm_1_1script_1_1printer_1_1Doc.html#accbc6699956d0166032a97e80ad3880d',1,'tvm::script::printer::Doc::Doc()'],['../classtvm_1_1script_1_1printer_1_1Doc.html',1,'tvm::script::printer::Doc']]],
+  ['doc_2eh_1189',['doc.h',['../doc_8h.html',1,'']]],
+  ['doccreator_1190',['DocCreator',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#a0b3746ae1e3dec33534003c8fbb5553f',1,'tvm::script::printer::IRDocsifierNode']]],
+  ['docnode_1191',['DocNode',['../classtvm_1_1script_1_1printer_1_1DocNode.html',1,'tvm::script::printer']]],
+  ['docommreduce_1192',['DoCommReduce',['../namespacetvm_1_1topi.html#ab7fb7a9f1651970c4ba04a48acdb695f',1,'tvm::topi']]],
+  ['docstringdoc_1193',['DocStringDoc',['../classtvm_1_1script_1_1printer_1_1DocStringDoc.html#a034b2f37658f58baae251ca12b8673e3',1,'tvm::script::printer::DocStringDoc::DocStringDoc()'],['../classtvm_1_1script_1_1printer_1_1DocStringDoc.html',1,'tvm::script::printer::DocStringDoc']]],
+  ['docstringdocnode_1194',['DocStringDocNode',['../classtvm_1_1script_1_1printer_1_1DocStringDocNode.html',1,'tvm::script::printer']]],
+  ['doctopythonscript_1195',['DocToPythonScript',['../namespacetvm_1_1script_1_1printer.html#aa9be42449c87b18e7fdd05d1e1cc8c33',1,'tvm::script::printer']]],
+  ['dom_1196',['dom',['../classtvm_1_1tir_1_1IterVarNode.html#acd6ca5f580e556a2d022e47900f9fe71',1,'tvm::tir::IterVarNode']]],
+  ['domaintouched_1197',['DomainTouched',['../namespacetvm_1_1arith.html#a7641fa29ca6d8941f2696aacccf23998',1,'tvm::arith']]],
+  ['dominatorpattern_1198',['DominatorPattern',['../classtvm_1_1relay_1_1DominatorPattern.html#a93adeab95ffcd91ce587b1624f4dedc1',1,'tvm::relay::DominatorPattern::DominatorPattern()'],['../classtvm_1_1relay_1_1DominatorPattern.html',1,'tvm::relay::DominatorPattern']]],
+  ['dominatorpatternnode_1199',['DominatorPatternNode',['../classtvm_1_1relay_1_1DominatorPatternNode.html',1,'tvm::relay']]],
+  ['doms_1200',['doms',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ForFrameNode.html#aa8de31bdab2c33ab57092700126ef01a',1,'tvm::script::ir_builder::tir::ForFrameNode']]],
+  ['done_1201',['Done',['../classtvm_1_1meta__schedule_1_1RunnerFutureNode.html#aa914653065720dde403d16a1a004d6b6',1,'tvm::meta_schedule::RunnerFutureNode']]],
+  ['double_5fbuffer_1202',['double_buffer',['../classtvm_1_1te_1_1Stage.html#a0cdea4d4b25b730c44d86e6c57505000',1,'tvm::te::Stage::double_buffer()'],['../classtvm_1_1te_1_1StageNode.html#af5cb8c43f82eac4021fd06ab7c475f82',1,'tvm::te::StageNode::double_buffer()']]],
+  ['double_5fbuffer_5fscope_1203',['double_buffer_scope',['../namespacetvm_1_1tir_1_1attr.html#a9231fc0afe37a8d46a90a1c5fdf522bb',1,'tvm::tir::attr']]],
+  ['double_5fbuffer_5fwrite_1204',['double_buffer_write',['../namespacetvm_1_1tir_1_1attr.html#af84871a6d841168f8501f141676dfaeb',1,'tvm::tir::attr']]],
+  ['downcast_1205',['Downcast',['../namespacetvm_1_1runtime.html#a756a222aed384e208f32d35d3412c918',1,'tvm::runtime::Downcast()'],['../classtvm_1_1runtime_1_1ObjectRef.html#a68c32a41efd1aa99afca70837565cced',1,'tvm::runtime::ObjectRef::Downcast()']]],
+  ['downcastnocheck_1206',['DowncastNoCheck',['../classtvm_1_1runtime_1_1ObjectRef.html#acd04bb22a6861e9952c344ee8547411f',1,'tvm::runtime::ObjectRef']]],
+  ['driver_5fapi_2eh_1207',['driver_api.h',['../driver__api_8h.html',1,'']]],
+  ['dropoutattrs_1208',['DropoutAttrs',['../structtvm_1_1relay_1_1DropoutAttrs.html',1,'tvm::relay']]],
+  ['dst_1209',['dst',['../classtvm_1_1arith_1_1IntConstraintsTransformNode.html#af37275736948893ad2774a0f6518e636',1,'tvm::arith::IntConstraintsTransformNode::dst()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a17f056cc4798d31c8bcee6903be8dd1d',1,'tvm::runtime::vm::Instruction::dst()'],['../classtvm_1_1tir_1_1DependencyNode.html#a1d7d574b27ba0a420eb65306cdc77020',1,'tvm::tir::DependencyNode::dst()']]],
+  ['dst2deps_1210',['dst2deps',['../classtvm_1_1tir_1_1BlockScopeNode.html#aa7f2ecb9b79e88b9060ba23af522abe7',1,'tvm::tir::BlockScopeNode']]],
+  ['dst_5fdevice_5findex_1211',['dst_device_index',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a301d4bff61d2955babcc6706bd57458d',1,'tvm::runtime::vm::Instruction']]],
+  ['dst_5flayout_1212',['dst_layout',['../structtvm_1_1relay_1_1LayoutTransformAttrs.html#ab7f5ccbb4c1f408da1f7a9d76c35fcf4',1,'tvm::relay::LayoutTransformAttrs::dst_layout()'],['../structtvm_1_1relay_1_1AutoSchedulerLayoutTransformAttrs.html#a12e1ac049238f8b036e20411e4ee5ad5',1,'tvm::relay::AutoSchedulerLayoutTransformAttrs::dst_layout()'],['../classtvm_1_1tir_1_1BijectiveLayoutNode.html#a3d5c2dcbfb62a658a7779129aacd8950',1,'tvm::tir::BijectiveLayoutNode::dst_layout()']]],
+  ['dst_5fto_5fsrc_1213',['dst_to_src',['../classtvm_1_1arith_1_1IntConstraintsTransformNode.html#ab514b4d84bdb5b469a068853db4f9aac',1,'tvm::arith::IntConstraintsTransformNode']]],
+  ['dst_5fvirtual_5fdevice_1214',['dst_virtual_device',['../structtvm_1_1relay_1_1DeviceCopyAttrs.html#a30123a2d74e58525fe55d8a70f5b5218',1,'tvm::relay::DeviceCopyAttrs']]],
+  ['dtype_1215',['dtype',['../structtvm_1_1relay_1_1ArgsortAttrs.html#a533842c9351d0ec7f8e2673e94987957',1,'tvm::relay::ArgsortAttrs::dtype()'],['../structtvm_1_1relay_1_1ScanopAttrs.html#ae3c94ca8dff6e3bc5a916286e4710ba3',1,'tvm::relay::ScanopAttrs::dtype()'],['../classtvm_1_1PrimExprNode.html#a95af9234514ec5f11355db41524be7f9',1,'tvm::PrimExprNode::dtype()'],['../classtvm_1_1TensorTypeNode.html#a715d148ed0f0fdc8bf975bb31e210975',1,'tvm::TensorTypeNode::dtype()'],['../classtvm_1_1PrimTy [...]
+  ['dtype_5fhint_1216',['dtype_hint',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a0f48c1e04fe9ba735576bef37caf9cce',1,'tvm::runtime::vm::Instruction']]],
+  ['dump_1217',['Dump',['../namespacetvm.html#a410120ad997c7470798b98a1c48ee3e6',1,'tvm::Dump(const runtime::ObjectRef &amp;node)'],['../namespacetvm.html#a4909861f9bc606426c65c65da4da3734',1,'tvm::Dump(const runtime::Object *node)']]],
+  ['dumppruned_1218',['DumpPruned',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#a69762c83a60dbe9bab4d254c47d19f5c',1,'tvm::meta_schedule::DatabaseNode']]],
+  ['durationnode_1219',['DurationNode',['../classtvm_1_1runtime_1_1profiling_1_1DurationNode.html#a6049eb36d6d7878fbe1b00a15049f887',1,'tvm::runtime::profiling::DurationNode::DurationNode()'],['../classtvm_1_1runtime_1_1profiling_1_1DurationNode.html',1,'tvm::runtime::profiling::DurationNode']]],
+  ['dyn_5ftile_1220',['dyn_tile',['../namespacetvm_1_1topi.html#a5efc5887d91eb272e31c10f4e014a034',1,'tvm::topi']]],
+  ['dynamic_5fstrided_5fslice_1221',['dynamic_strided_slice',['../namespacetvm_1_1topi.html#a2f4969306206bc345bd3be840f56999e',1,'tvm::topi::dynamic_strided_slice(const Tensor &amp;x, const Array&lt; PrimExpr &gt; &amp;begin, const Array&lt; PrimExpr &gt; &amp;end, const Array&lt; PrimExpr &gt; &amp;strides, std::string name=&quot;T_dynamic_strided_slice&quot;, std::string tag=kInjective)'],['../namespacetvm_1_1topi.html#ac445e8a7906b15d79e70bb4102aef2e8',1,'tvm::topi::dynamic_strided_sl [...]
+  ['dynamictostatic_1222',['DynamicToStatic',['../namespacetvm_1_1relay_1_1transform.html#ab533a050ab0d54b41e543fb1fd369fb6',1,'tvm::relay::transform']]],
+  ['dynexpanddimsattrs_1223',['DynExpandDimsAttrs',['../structtvm_1_1relay_1_1DynExpandDimsAttrs.html',1,'tvm::relay']]],
+  ['serializer_1224',['serializer',['../namespacedmlc_1_1serializer.html',1,'dmlc']]],
+  ['valuetypeinfomaker_1225',['ValueTypeInfoMaker',['../classtvm_1_1TargetKindNode.html#a9ce830f20c377093d7812ffc2eb5c628',1,'tvm::TargetKindNode']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_6.js b/docs/reference/api/doxygen/search/all_6.js
index f81f8b95ea..98a5daff9e 100644
--- a/docs/reference/api/doxygen/search/all_6.js
+++ b/docs/reference/api/doxygen/search/all_6.js
@@ -1,151 +1,151 @@
 var searchData=
 [
-  ['early_5fstopping_1225',['early_stopping',['../classtvm_1_1auto__scheduler_1_1TuningOptionsNode.html#a4b1cbc19fcc80c2564b24c56f6cf9504',1,'tvm::auto_scheduler::TuningOptionsNode']]],
-  ['einsum_1226',['einsum',['../namespacetvm_1_1topi.html#af32230999c38eb624e8ea21ee6877eef',1,'tvm::topi']]],
-  ['einsum_2eh_1227',['einsum.h',['../einsum_8h.html',1,'']]],
-  ['einsumattrs_1228',['EinsumAttrs',['../structtvm_1_1relay_1_1EinsumAttrs.html',1,'tvm::relay']]],
-  ['einsumequation_1229',['EinsumEquation',['../structtvm_1_1topi_1_1EinsumEquation.html',1,'tvm::topi']]],
-  ['elem_5foffset_1230',['elem_offset',['../classtvm_1_1tir_1_1BufferNode.html#a92b84a76c975399a028b61e4b99ac87b',1,'tvm::tir::BufferNode']]],
-  ['element_5fof_1231',['element_of',['../classtvm_1_1runtime_1_1DataType.html#a2fe08e37ac1a1c09b10251313582990d',1,'tvm::runtime::DataType']]],
-  ['element_5ftype_1232',['element_type',['../classtvm_1_1PointerTypeNode.html#a3ce20495711da0c9f83f02df466ae8a0',1,'tvm::PointerTypeNode']]],
-  ['elements_1233',['elements',['../classtvm_1_1script_1_1printer_1_1TupleDocNode.html#a06eb9b7f49f298978f33012b6d44ddb8',1,'tvm::script::printer::TupleDocNode::elements()'],['../classtvm_1_1script_1_1printer_1_1ListDocNode.html#a4b5e2a6863460473dca71c989ee3fb8c',1,'tvm::script::printer::ListDocNode::elements()']]],
-  ['elementwisematch_1234',['ElementWiseMatch',['../classtvm_1_1auto__scheduler_1_1AccessAnalyzer.html#a252a0005deefc376b4a90703e375f2c6',1,'tvm::auto_scheduler::AccessAnalyzer']]],
-  ['elemoffset_1235',['ElemOffset',['../classtvm_1_1tir_1_1BufferNode.html#ae6964ae55ed11dfe43721a82656c023d',1,'tvm::tir::BufferNode']]],
-  ['elemwise_2eh_1236',['elemwise.h',['../elemwise_8h.html',1,'']]],
-  ['elemwise_5fsum_1237',['elemwise_sum',['../namespacetvm_1_1topi.html#a94c9e58d3a7e9f9a477962897c9df1d7',1,'tvm::topi']]],
-  ['eliminatecommonsubexpr_1238',['EliminateCommonSubexpr',['../namespacetvm_1_1relay_1_1transform.html#adf21ade01969835b155241d277a94d1c',1,'tvm::relay::transform']]],
-  ['else_1239',['Else',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a40266d085b36fddf20d38abd0cdb9616',1,'tvm::script::ir_builder::tir']]],
-  ['else_5fbranch_1240',['else_branch',['../classtvm_1_1script_1_1printer_1_1IfDocNode.html#a8433d901c80f64cc87f5c36b7f21bb00',1,'tvm::script::printer::IfDocNode']]],
-  ['else_5fcase_1241',['else_case',['../classtvm_1_1tir_1_1IfThenElseNode.html#a6d897641f264441b64f9e7247a8bb504',1,'tvm::tir::IfThenElseNode']]],
-  ['else_5fstmts_1242',['else_stmts',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1IfFrameNode.html#a2c51e70d48b3667763282543e985223c',1,'tvm::script::ir_builder::tir::IfFrameNode']]],
-  ['elseframe_1243',['ElseFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ElseFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['elseframenode_1244',['ElseFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ElseFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['emit_1245',['Emit',['../classtvm_1_1DiagnosticContext.html#a13cd654944714a1daa28cb3775211fd8',1,'tvm::DiagnosticContext']]],
-  ['emitfatal_1246',['EmitFatal',['../classtvm_1_1DiagnosticContext.html#a3668f9c5770d93f92bc1ad3b7b449ee4',1,'tvm::DiagnosticContext']]],
-  ['emplaceinit_1247',['EmplaceInit',['../classtvm_1_1runtime_1_1InplaceArrayBase.html#a483d75a65f68676ed0a16fca8024ccd6',1,'tvm::runtime::InplaceArrayBase']]],
-  ['empty_1248',['empty',['../classtvm_1_1runtime_1_1Array.html#a7afdaa55d2e55e942e732f072a97a862',1,'tvm::runtime::Array::empty()'],['../classtvm_1_1runtime_1_1Map.html#afc8d39f3c9e33bca6083253f7288d900',1,'tvm::runtime::Map::empty()'],['../classtvm_1_1runtime_1_1ShapeTuple.html#a7e4f6df331fcf589c3f5544c88931561',1,'tvm::runtime::ShapeTuple::empty()']]],
-  ['empty_1249',['Empty',['../classtvm_1_1runtime_1_1vm_1_1Allocator.html#aa12502b2dc4b59b4c913b0600f1a02e2',1,'tvm::runtime::vm::Allocator::Empty()'],['../classtvm_1_1runtime_1_1NDArray.html#a59f41733876e0a161de701de9fd60749',1,'tvm::runtime::NDArray::Empty()'],['../classtvm_1_1runtime_1_1MapNode.html#ad486baa9df3b8061218bbad6cea53df9',1,'tvm::runtime::MapNode::Empty()'],['../classtvm_1_1TupleType.html#af99baa239cc3c717979b2dfeefed75c2',1,'tvm::TupleType::Empty()']]],
-  ['empty_1250',['empty',['../classtvm_1_1runtime_1_1String.html#a897a877128c8b8a7e57dec0847cf33c7',1,'tvm::runtime::String']]],
-  ['enable_5fcheck_1251',['enable_check',['../classtvm_1_1tir_1_1ScheduleStateNode.html#a862a5a440888321e3bcd998c88dc62a2',1,'tvm::tir::ScheduleStateNode']]],
-  ['enable_5fcpu_5fcache_5fflush_1252',['enable_cpu_cache_flush',['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html#a8243b1a607d4b24719d0e2d174097922',1,'tvm::auto_scheduler::ProgramRunnerNode']]],
-  ['end_1253',['end',['../classtvm_1_1support_1_1Span.html#aa4bab400a2ce69da814a34d0c87eacdf',1,'tvm::support::Span::end()'],['../classtvm_1_1runtime_1_1ShapeTuple.html#abb2ffec8179f9acba5003a877cf3715c',1,'tvm::runtime::ShapeTuple::end()'],['../structtvm_1_1relay_1_1StridedSliceAttrs.html#a2492ceafc309edf983ba8c78fae5afe2',1,'tvm::relay::StridedSliceAttrs::end()'],['../classtvm_1_1runtime_1_1ArrayNode.html#a2d43c95564271491432d279c12f7f94c',1,'tvm::runtime::ArrayNode::end()'],['../class [...]
-  ['end_5f_1254',['end_',['../classtvm_1_1support_1_1Span.html#a0f5635e38ba7bad57df9deed968baea5',1,'tvm::support::Span']]],
-  ['end_5fcolumn_1255',['end_column',['../classtvm_1_1SpanNode.html#adfa91957e629c89d6a3efef536051496',1,'tvm::SpanNode']]],
-  ['end_5findex_1256',['end_index',['../namespacetvm_1_1topi_1_1nn.html#a8d244c196a5a481640cfc610fad3c7db',1,'tvm::topi::nn']]],
-  ['end_5fline_1257',['end_line',['../classtvm_1_1SpanNode.html#a932e5865f0838c1a5ed9d4290a84c677',1,'tvm::SpanNode']]],
-  ['end_5fprofile_5fintrinsic_1258',['end_profile_intrinsic',['../namespacetvm_1_1tir_1_1builtin.html#a6c57e9701977267ff96d514bd3c2a5e6',1,'tvm::tir::builtin']]],
-  ['enterconstraint_1259',['EnterConstraint',['../classtvm_1_1arith_1_1TransitiveComparisonAnalyzer.html#ab0c67cf201be8b57460cc155e5ff352a',1,'tvm::arith::TransitiveComparisonAnalyzer::EnterConstraint()'],['../classtvm_1_1arith_1_1RewriteSimplifier.html#a01dda385f4e0bb0e1155c76c2d28cb96',1,'tvm::arith::RewriteSimplifier::EnterConstraint()'],['../classtvm_1_1arith_1_1IntSetAnalyzer.html#a096433dd7bde50f73eed4854af8b6111',1,'tvm::arith::IntSetAnalyzer::EnterConstraint()']]],
-  ['enterpasscontext_1260',['EnterPassContext',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#abb51f715d6beb3037b433f4980562c13',1,'tvm::instrument::PassInstrumentNode']]],
-  ['enterpostproc_1261',['EnterPostproc',['../classtvm_1_1tir_1_1ScheduleNode.html#a2428fbd498ba2710a22d9ca4bc455957',1,'tvm::tir::ScheduleNode']]],
-  ['enterwithscope_1262',['EnterWithScope',['../classtvm_1_1meta__schedule_1_1Profiler.html#a5d7818da6c4daeb800057e341714d3d0',1,'tvm::meta_schedule::Profiler::EnterWithScope()'],['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderFrameNode.html#ab3aaced994da313ebabad6b955332f14',1,'tvm::script::ir_builder::IRBuilderFrameNode::EnterWithScope()'],['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderFrame.html#a06a9c6f3c686c68da8ca2c707b13a751',1,'tvm::script::ir_builder::IRBuilderFrame::Ente [...]
-  ['env_1263',['env',['../classtvm_1_1relay_1_1InterpreterClosureObj.html#a159f46324e99dc7ddd4ee8c78fa5cd3c',1,'tvm::relay::InterpreterClosureObj']]],
-  ['env_5ffunc_2eh_1264',['env_func.h',['../env__func_8h.html',1,'']]],
-  ['env_5fthreads_1265',['env_threads',['../classtvm_1_1te_1_1Stage.html#aba3eb6be98bdb18da51a31bbf4af4617',1,'tvm::te::Stage::env_threads()'],['../classtvm_1_1te_1_1StageNode.html#ac6bfe27a0802f257d467667522d0cbee',1,'tvm::te::StageNode::env_threads()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1PrimFuncFrameNode.html#a33d29fc6dadbe1a011ec1a020a2e24cd',1,'tvm::script::ir_builder::tir::PrimFuncFrameNode::env_threads()']]],
-  ['envchecksignals_1266',['EnvCheckSignals',['../namespacetvm_1_1runtime.html#af5edb7ca30cd4affb50eb1fe6e3a1d13',1,'tvm::runtime']]],
-  ['envfunc_1267',['EnvFunc',['../classtvm_1_1EnvFunc.html#aae6100a3a2f84f79fa8314c24fd516bc',1,'tvm::EnvFunc::EnvFunc()'],['../classtvm_1_1EnvFunc.html#a1c210b670d3f990b6b8383a4abb7e6d6',1,'tvm::EnvFunc::EnvFunc(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1EnvFunc.html',1,'tvm::EnvFunc']]],
-  ['envfuncnode_1268',['EnvFuncNode',['../classtvm_1_1EnvFuncNode.html#a808b81ced7b01c4955b4994a89bc71a4',1,'tvm::EnvFuncNode::EnvFuncNode()'],['../classtvm_1_1EnvFuncNode.html',1,'tvm::EnvFuncNode']]],
-  ['envthread_1269',['EnvThread',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a9d082b84f05cc290c7293c79e17fd044',1,'tvm::script::ir_builder::tir']]],
-  ['eps_1270',['eps',['../structtvm_1_1relay_1_1L2NormalizeAttrs.html#a0294037efdb6353d27885b93370c8a54',1,'tvm::relay::L2NormalizeAttrs']]],
-  ['epsilon_1271',['epsilon',['../structtvm_1_1relay_1_1BatchNormAttrs.html#acbdb18e57584b13352e7470f2948db15',1,'tvm::relay::BatchNormAttrs::epsilon()'],['../structtvm_1_1relay_1_1InstanceNormAttrs.html#ace912a18c84c320ad30389ed2faf5904',1,'tvm::relay::InstanceNormAttrs::epsilon()'],['../structtvm_1_1relay_1_1LayerNormAttrs.html#a5b52e9e6d0616d026896575d8242ef78',1,'tvm::relay::LayerNormAttrs::epsilon()'],['../structtvm_1_1relay_1_1GroupNormAttrs.html#ad5489755171031cd0547b487b3aa6604', [...]
-  ['eq_1272',['EQ',['../classtvm_1_1tir_1_1EQ.html#a827efcddab8adc00af064da0bd87128f',1,'tvm::tir::EQ::EQ()'],['../classtvm_1_1tir_1_1EQ.html',1,'tvm::tir::EQ']]],
-  ['eqnode_1273',['EQNode',['../classtvm_1_1tir_1_1EQNode.html',1,'tvm::tir']]],
-  ['equal_1274',['equal',['../classtvm_1_1arith_1_1IntGroupBoundsNode.html#a55bc5cfb64d997ab5b1bb1b3f741b767',1,'tvm::arith::IntGroupBoundsNode']]],
-  ['equal_1275',['Equal',['../classtvm_1_1SEqualHandlerDefault.html#a47fc96c953560ab6996f63f242cb2adb',1,'tvm::SEqualHandlerDefault']]],
-  ['equal_1276',['equal',['../namespacetvm.html#a1c4f14382b85bcfa57d9a3460db2354a',1,'tvm::equal()'],['../namespacetvm_1_1topi.html#aad662b8455d6393f5bbf54a03c5b5df4',1,'tvm::topi::equal(const tvm::PrimExpr &amp;a, const tvm::PrimExpr &amp;b)'],['../namespacetvm_1_1topi.html#a23301af4bee39c516369b20089f93da7',1,'tvm::topi::equal(const tvm::te::Tensor &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;equal&quot;, std::string tag=kBroadcast)'],['../namespacetvm_1_ [...]
-  ['equals_1277',['Equals',['../classtvm_1_1tir_1_1Layout.html#a35389f5ca857483ea65756bb2ceaa944',1,'tvm::tir::Layout']]],
-  ['equation_1278',['equation',['../structtvm_1_1relay_1_1EinsumAttrs.html#a5e1dab557af22dbf5f635b3f20c42b56',1,'tvm::relay::EinsumAttrs']]],
-  ['erase_1279',['erase',['../classtvm_1_1runtime_1_1DenseMapNode.html#a2e0612bed81949dd88fd440a467aa8c0',1,'tvm::runtime::DenseMapNode::erase()'],['../classtvm_1_1runtime_1_1Array.html#a0fd88d16147aad4ed810846ce53d21c9',1,'tvm::runtime::Array::erase()'],['../classtvm_1_1runtime_1_1Map.html#a67431af5ae08050343eaf70629e5e310',1,'tvm::runtime::Map::erase()'],['../classtvm_1_1runtime_1_1SmallMapNode.html#aa8d46402a1b371bb9c711602942f1eab',1,'tvm::runtime::SmallMapNode::erase()'],['../classt [...]
-  ['erf_1280',['erf',['../namespacetvm.html#a139870d327497d548e2ef8bddba2f114',1,'tvm::erf()'],['../namespacetvm_1_1topi.html#aed0d20f9ea6893d02d1bb282a1d991e2',1,'tvm::topi::erf()']]],
-  ['error_1281',['Error',['../classtvm_1_1Diagnostic.html#aa28e090a7daefd7df9573b7434807708',1,'tvm::Diagnostic::Error(Span span)'],['../classtvm_1_1Diagnostic.html#adb155a3a5ffc8b93399549472d91dd61',1,'tvm::Diagnostic::Error(ObjectRef loc)'],['../classtvm_1_1Diagnostic.html#a1334f4951e7be7eff61b12d237e15255',1,'tvm::Diagnostic::Error(const Object *loc)']]],
-  ['error_2eh_1282',['error.h',['../error_8h.html',1,'']]],
-  ['error_5fcodes_2eh_1283',['error_codes.h',['../error__codes_8h.html',1,'']]],
-  ['error_5fct_1284',['error_ct',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a51ae2f85c784ede060f7b76a138387c5',1,'tvm::auto_scheduler::ProgramMeasurerNode']]],
-  ['error_5fmsg_1285',['error_msg',['../classtvm_1_1meta__schedule_1_1RunnerResultNode.html#a3f2677c8ce68452420885c31231d7853',1,'tvm::meta_schedule::RunnerResultNode::error_msg()'],['../classtvm_1_1meta__schedule_1_1BuilderResultNode.html#a6922d5076fdfb0356cf26becf96b635e',1,'tvm::meta_schedule::BuilderResultNode::error_msg()'],['../classtvm_1_1auto__scheduler_1_1MeasureResultNode.html#adf18926ba960b870042248ec6144a6b9',1,'tvm::auto_scheduler::MeasureResultNode::error_msg()'],['../class [...]
-  ['error_5fno_1286',['error_no',['../classtvm_1_1auto__scheduler_1_1MeasureResultNode.html#a11b3b1baf43ae85cc001a8d59e0e01fd',1,'tvm::auto_scheduler::MeasureResultNode::error_no()'],['../classtvm_1_1auto__scheduler_1_1BuildResultNode.html#a8b0da5bf37adcf6e8a761339a9daa7c1',1,'tvm::auto_scheduler::BuildResultNode::error_no()']]],
-  ['errorbuilder_1287',['ErrorBuilder',['../structtvm_1_1relay_1_1ErrorBuilder.html',1,'tvm::relay']]],
-  ['errorreporter_1288',['ErrorReporter',['../classtvm_1_1relay_1_1ErrorReporter.html#a11a49b497286d6fcf9a39e25a20d17d1',1,'tvm::relay::ErrorReporter::ErrorReporter()'],['../classtvm_1_1relay_1_1ErrorReporter.html',1,'tvm::relay::ErrorReporter']]],
-  ['errors_1289',['errors',['../classtvm_1_1arith_1_1IterMapResultNode.html#aac82f4892c7c4722fe6418c4f44ae3f4',1,'tvm::arith::IterMapResultNode']]],
-  ['escape_1290',['Escape',['../namespacetvm_1_1runtime_1_1micro__rpc.html#ae62577b404cccb2018ca8576b1f75bb6',1,'tvm::runtime::micro_rpc']]],
-  ['estimateregionlowerbound_1291',['EstimateRegionLowerBound',['../namespacetvm_1_1arith.html#a31262f87a37f9f847ace3c5c8e81dcf5',1,'tvm::arith']]],
-  ['estimateregionstrictbound_1292',['EstimateRegionStrictBound',['../namespacetvm_1_1arith.html#a82bd85ab31c2ecf2108971c801bb528e',1,'tvm::arith']]],
-  ['estimateregionupperbound_1293',['EstimateRegionUpperBound',['../namespacetvm_1_1arith.html#adddc8a8fb2a3cf1b824de6dfe9b706a2',1,'tvm::arith']]],
-  ['estimatetirflops_1294',['EstimateTIRFlops',['../namespacetvm_1_1tir.html#a1674ab4f532cf0bd0a96a12165105d82',1,'tvm::tir::EstimateTIRFlops(const Stmt &amp;stmt)'],['../namespacetvm_1_1tir.html#ac0bc659ab2088eef1e5ec2dbe139e3f9',1,'tvm::tir::EstimateTIRFlops(const IRModule &amp;mod)']]],
-  ['etaexpand_1295',['EtaExpand',['../namespacetvm_1_1relay_1_1transform.html#a6e515696ecc9ff4222af99fef361de4e',1,'tvm::relay::transform']]],
-  ['eval_1296',['Eval',['../namespacetvm_1_1relay.html#ae87c7a3eb9be1113b92a7102806ab627',1,'tvm::relay']]],
-  ['evalfunction_1297',['EvalFunction',['../namespacetvm_1_1relay.html#abbeb67be9b31bbcbdd0882dc8a7ecee8',1,'tvm::relay']]],
-  ['evalset_1298',['EvalSet',['../namespacetvm_1_1arith.html#a74234ce6f7733b3d8055d781cc782df7',1,'tvm::arith::EvalSet(Range r, const Map&lt; IterVar, IntSet &gt; &amp;dom_map)'],['../namespacetvm_1_1arith.html#a79359af75db0286bfe975b9828e971e4',1,'tvm::arith::EvalSet(const Array&lt; Range &gt; &amp;region, const Map&lt; Var, IntSet &gt; &amp;dom_map)'],['../namespacetvm_1_1arith.html#a4bf945fe43afafbedffad6d5633efad7',1,'tvm::arith::EvalSet(Range r, const std::unordered_map&lt; const Va [...]
-  ['evalsetforeachsubexpr_1299',['EvalSetForEachSubExpr',['../namespacetvm_1_1arith.html#a8a112f07411c5e1237b0c045ff276ac6',1,'tvm::arith']]],
-  ['evaluate_1300',['Evaluate',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a101850df27120c29355e6215b9b2b525',1,'tvm::script::ir_builder::tir::Evaluate()'],['../classtvm_1_1tir_1_1Evaluate.html#abf75c5d934947831065f9cd668fa84ad',1,'tvm::tir::Evaluate::Evaluate(PrimExpr value, Span span=Span())'],['../classtvm_1_1tir_1_1Evaluate.html#a3b7fb8cd8d3260eb76b3c6f0e68219b7',1,'tvm::tir::Evaluate::Evaluate(int value, Span span=Span())'],['../classtvm_1_1tir_1_1Evaluate.html',1,'tvm::t [...]
-  ['evaluatenode_1301',['EvaluateNode',['../classtvm_1_1tir_1_1EvaluateNode.html',1,'tvm::tir']]],
-  ['everything_1302',['Everything',['../classtvm_1_1arith_1_1IntSet.html#ae53fdd0ee6775e59aa8194c89afa1d86',1,'tvm::arith::IntSet']]],
-  ['evolutionarysearch_1303',['EvolutionarySearch',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html#a9a8b711b0752f3e89a2d841251cdc605',1,'tvm::meta_schedule::SearchStrategy']]],
-  ['exclude_1304',['exclude',['../structtvm_1_1relay_1_1VarianceAttrs.html#a10029f70d1f38da8c9cc415a76fe9807',1,'tvm::relay::VarianceAttrs::exclude()'],['../structtvm_1_1relay_1_1ArgReduceAttrs.html#ae656b3262845e56fad4abb02be5c99a9',1,'tvm::relay::ArgReduceAttrs::exclude()'],['../structtvm_1_1relay_1_1ReduceAttrs.html#ada05c6d2e36245d832449aa2479d3ab2',1,'tvm::relay::ReduceAttrs::exclude()']]],
-  ['exclusive_1305',['exclusive',['../structtvm_1_1relay_1_1ScanopAttrs.html#a6b2c89d7d63014af16d16d1eb0453c42',1,'tvm::relay::ScanopAttrs']]],
-  ['exec_5f_1306',['exec_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a619aa7ba254da546fb5beadb9749f674',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['executable_1307',['Executable',['../classtvm_1_1runtime_1_1vm_1_1Executable.html',1,'tvm::runtime::vm']]],
-  ['executable_2eh_1308',['executable.h',['../executable_8h.html',1,'']]],
-  ['executor_1309',['Executor',['../classtvm_1_1relay_1_1ExecutorRegEntry.html#a763b2f90bc53f92d680a635fe28e858e',1,'tvm::relay::ExecutorRegEntry::Executor()'],['../classtvm_1_1relay_1_1Executor.html',1,'tvm::relay::Executor']]],
-  ['executor_2eh_1310',['executor.h',['../executor_8h.html',1,'']]],
-  ['executor_5finfo_2eh_1311',['executor_info.h',['../executor__info_8h.html',1,'']]],
-  ['executornode_1312',['ExecutorNode',['../classtvm_1_1relay_1_1ExecutorNode.html',1,'tvm::relay']]],
-  ['executorregentry_1313',['ExecutorRegEntry',['../classtvm_1_1relay_1_1ExecutorRegEntry.html',1,'tvm::relay']]],
-  ['exist_5f_1314',['exist_',['../classtvm_1_1detail_1_1AttrExistVisitor.html#ac6ae7aa3d30f25a953810bcc0d0a938f',1,'tvm::detail::AttrExistVisitor']]],
-  ['exitpasscontext_1315',['ExitPassContext',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#a21f748367b2571654748b06f2015f655',1,'tvm::instrument::PassInstrumentNode']]],
-  ['exitwithscope_1316',['ExitWithScope',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1RealizeFrameNode.html#a4dbd37f6a859cbabbab7f0d3c1091b57',1,'tvm::script::ir_builder::tir::RealizeFrameNode::ExitWithScope()'],['../classtvm_1_1script_1_1printer_1_1Frame.html#a5d5cda1e9ba5b0eaea678377f74643a3',1,'tvm::script::printer::Frame::ExitWithScope()'],['../classtvm_1_1script_1_1printer_1_1FrameNode.html#a4e7e02f9ccd2e44cce2f2802910bfb23',1,'tvm::script::printer::FrameNode::ExitWithScope()'], [...]
-  ['exp_1317',['exp',['../namespacetvm.html#a82be70bd7794abca32473604cbb09569',1,'tvm::exp()'],['../namespacetvm_1_1topi.html#a466452c7337b11c7237b8756cf7da621',1,'tvm::topi::exp()']]],
-  ['exp10_1318',['exp10',['../namespacetvm.html#a65b68a0c2cea6c1bbd338585fcdf9fdd',1,'tvm']]],
-  ['exp2_1319',['exp2',['../namespacetvm.html#a50c4b8aeaf39b357013fc7f62b4a878c',1,'tvm']]],
-  ['expand_5fdims_1320',['expand_dims',['../namespacetvm_1_1topi.html#a7ddbd03d0d29a05618a1ef42f717ec9f',1,'tvm::topi']]],
-  ['expandanormalform_1321',['ExpandANormalForm',['../namespacetvm_1_1relay.html#a18f47bbeaf5ccedf5e4cf525710a0c41',1,'tvm::relay']]],
-  ['expanddataflow_1322',['ExpandDataflow',['../namespacetvm_1_1relay.html#ae36d7b9ccbc63dfc794e45de7b6af9b6',1,'tvm::relay::ExpandDataflow(Expr expr, FCheckVisited fcheck_visited, FVisitLeaf fvisit_leaf, FExpandExpr fexpand_expr)'],['../namespacetvm_1_1relay.html#abd34311e0bf42aebcf785cfa390a3d5a',1,'tvm::relay::ExpandDataflow(Expr expr, FCheckVisited fcheck_visited, FVisitLeaf fvisit_leaf)']]],
-  ['expanddimsattrs_1323',['ExpandDimsAttrs',['../structtvm_1_1relay_1_1ExpandDimsAttrs.html',1,'tvm::relay']]],
-  ['expandprimal_1324',['ExpandPrimal',['../classtvm_1_1tir_1_1Layout.html#a88c306ea4682d28b85032277fa29df63',1,'tvm::tir::Layout']]],
-  ['export_1325',['Export',['../classtvm_1_1TargetNode.html#af313f5aedbe162374d424358d34d3c7e',1,'tvm::TargetNode']]],
-  ['expr_1326',['expr',['../classtvm_1_1relay_1_1ExprPatternNode.html#a184b973441a9c36e99ee6131118f6bd6',1,'tvm::relay::ExprPatternNode::expr()'],['../classtvm_1_1script_1_1printer_1_1ExprStmtDocNode.html#a94d1062883db34e83f7fa19beff6f61f',1,'tvm::script::printer::ExprStmtDocNode::expr()']]],
-  ['expr_1327',['Expr',['../namespacetvm_1_1relay.html#a5b84e3790f89bb3fad5c7911eeb99531',1,'tvm::relay']]],
-  ['expr_2eh_1328',['expr.h',['../ir_2expr_8h.html',1,'(Global Namespace)'],['../relay_2expr_8h.html',1,'(Global Namespace)'],['../tir_2expr_8h.html',1,'(Global Namespace)']]],
-  ['expr_5ffunctor_2eh_1329',['expr_functor.h',['../relay_2expr__functor_8h.html',1,'(Global Namespace)'],['../tir_2expr__functor_8h.html',1,'(Global Namespace)']]],
-  ['expr_5ffunctor_5fdefault_1330',['EXPR_FUNCTOR_DEFAULT',['../tir_2expr__functor_8h.html#a510edf612f00aa97a59ef1973d8caf2d',1,'EXPR_FUNCTOR_DEFAULT():&#160;expr_functor.h'],['../relay_2expr__functor_8h.html#a510edf612f00aa97a59ef1973d8caf2d',1,'EXPR_FUNCTOR_DEFAULT():&#160;expr_functor.h']]],
-  ['expr_5frewriter_5frewrite_5fdefault_1331',['EXPR_REWRITER_REWRITE_DEFAULT',['../relay_2expr__functor_8h.html#ab484355bac5a7a136e0cecc1a4244fb3',1,'expr_functor.h']]],
-  ['exprdeepequal_1332',['ExprDeepEqual',['../structtvm_1_1tir_1_1ExprDeepEqual.html',1,'tvm::tir']]],
-  ['exprdoc_1333',['ExprDoc',['../classtvm_1_1script_1_1printer_1_1ExprDoc.html#ac5eb04f9e694f614cfacda6ac80c6e33',1,'tvm::script::printer::ExprDoc::ExprDoc()'],['../classtvm_1_1script_1_1printer_1_1ExprDoc.html',1,'tvm::script::printer::ExprDoc']]],
-  ['exprdocnode_1334',['ExprDocNode',['../classtvm_1_1script_1_1printer_1_1ExprDocNode.html',1,'tvm::script::printer']]],
-  ['exprfunctor_1335',['ExprFunctor',['../classtvm_1_1relay_1_1ExprFunctor.html',1,'tvm::relay::ExprFunctor&lt; FType &gt;'],['../classtvm_1_1tir_1_1ExprFunctor.html',1,'tvm::tir::ExprFunctor&lt; FType &gt;']]],
-  ['exprfunctor_3c_20expr_28const_20expr_20_26_29_3e_1336',['ExprFunctor&lt; Expr(const Expr &amp;)&gt;',['../classtvm_1_1relay_1_1ExprFunctor.html',1,'tvm::relay']]],
-  ['exprfunctor_3c_20primexpr_28const_20primexpr_20_26_29_3e_1337',['ExprFunctor&lt; PrimExpr(const PrimExpr &amp;)&gt;',['../classtvm_1_1tir_1_1ExprFunctor.html',1,'tvm::tir']]],
-  ['exprfunctor_3c_20r_28const_20expr_20_26n_2c_20args_2e_2e_2e_29_3e_1338',['ExprFunctor&lt; R(const Expr &amp;n, Args...)&gt;',['../classtvm_1_1relay_1_1ExprFunctor_3_01R_07const_01Expr_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm::relay']]],
-  ['exprfunctor_3c_20r_28const_20primexpr_20_26n_2c_20args_2e_2e_2e_29_3e_1339',['ExprFunctor&lt; R(const PrimExpr &amp;n, Args...)&gt;',['../classtvm_1_1tir_1_1ExprFunctor_3_01R_07const_01PrimExpr_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm::tir']]],
-  ['exprfunctor_3c_20void_28const_20expr_20_26n_29_3e_1340',['ExprFunctor&lt; void(const Expr &amp;n)&gt;',['../classtvm_1_1relay_1_1ExprFunctor.html',1,'tvm::relay']]],
-  ['exprfunctor_3c_20void_28const_20primexpr_20_26_29_3e_1341',['ExprFunctor&lt; void(const PrimExpr &amp;)&gt;',['../classtvm_1_1tir_1_1ExprFunctor.html',1,'tvm::tir']]],
-  ['exprintsetmap_1342',['ExprIntSetMap',['../namespacetvm_1_1arith.html#a0f148f1a1b469a3819e0fe6633b674e4',1,'tvm::arith']]],
-  ['exprmutator_1343',['ExprMutator',['../classtvm_1_1relay_1_1ExprMutator.html',1,'tvm::relay::ExprMutator'],['../classtvm_1_1tir_1_1ExprMutator.html',1,'tvm::tir::ExprMutator']]],
-  ['exprnode_1344',['ExprNode',['../namespacetvm_1_1relay.html#a387f18e050d016c52ea6c4781e7cff6c',1,'tvm::relay']]],
-  ['exprpattern_1345',['ExprPattern',['../classtvm_1_1relay_1_1ExprPattern.html#a7a67b6d0ef6de34e597875f280086bef',1,'tvm::relay::ExprPattern::ExprPattern()'],['../classtvm_1_1relay_1_1ExprPattern.html',1,'tvm::relay::ExprPattern']]],
-  ['exprpatternnode_1346',['ExprPatternNode',['../classtvm_1_1relay_1_1ExprPatternNode.html',1,'tvm::relay']]],
-  ['exprrewriter_1347',['ExprRewriter',['../classtvm_1_1relay_1_1ExprRewriter.html',1,'tvm::relay']]],
-  ['exprrv_1348',['ExprRV',['../namespacetvm_1_1tir.html#a5cd06e6cee9a7879b254e868025f08eb',1,'tvm::tir']]],
-  ['exprrvnode_1349',['ExprRVNode',['../namespacetvm_1_1tir.html#ad34ea4f276a7d76508fe458ff5430f3e',1,'tvm::tir']]],
-  ['exprstmtdoc_1350',['ExprStmtDoc',['../classtvm_1_1script_1_1printer_1_1ExprStmtDoc.html#a70066789e457d5574274f17808acfe52',1,'tvm::script::printer::ExprStmtDoc::ExprStmtDoc()'],['../classtvm_1_1script_1_1printer_1_1ExprStmtDoc.html',1,'tvm::script::printer::ExprStmtDoc']]],
-  ['exprstmtdocnode_1351',['ExprStmtDocNode',['../classtvm_1_1script_1_1printer_1_1ExprStmtDocNode.html',1,'tvm::script::printer']]],
-  ['exprvisitor_1352',['ExprVisitor',['../classtvm_1_1relay_1_1ExprVisitor.html',1,'tvm::relay::ExprVisitor'],['../classtvm_1_1tir_1_1ExprVisitor.html',1,'tvm::tir::ExprVisitor']]],
-  ['extension_1353',['Extension',['../classtvm_1_1arith_1_1RewriteSimplifier.html#a6705af5099418b968ded40d79102ad4e',1,'tvm::arith::RewriteSimplifier']]],
-  ['extent_1354',['extent',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1LaunchThreadFrameNode.html#afacff22429bac19053107c1024c3b91c',1,'tvm::script::ir_builder::tir::LaunchThreadFrameNode::extent()'],['../classtvm_1_1RangeNode.html#acd2ddcfab1f591a6c1f2568dd0180845',1,'tvm::RangeNode::extent()'],['../classtvm_1_1auto__scheduler_1_1SplitStepNode.html#a4b675f63d0f6e060598853840140a749',1,'tvm::auto_scheduler::SplitStepNode::extent()'],['../classtvm_1_1tir_1_1ForNode.html#ab54798257255 [...]
-  ['extents_1355',['extents',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateFrameNode.html#afb335072e6b0b10888ecdf81ec1d2462',1,'tvm::script::ir_builder::tir::AllocateFrameNode::extents()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateConstFrameNode.html#a5a66738edbc7b2f438ee4627e8ceca91',1,'tvm::script::ir_builder::tir::AllocateConstFrameNode::extents()'],['../classtvm_1_1tir_1_1AllocateNode.html#a0f6d59cffc5fda07450e0fdab6b66bcb',1,'tvm::tir::AllocateNode::extents [...]
-  ['extern_2eh_1356',['extern.h',['../detail_2extern_8h.html',1,'(Global Namespace)'],['../generic_2extern_8h.html',1,'(Global Namespace)']]],
-  ['extern_5fscope_1357',['extern_scope',['../namespacetvm_1_1tir_1_1attr.html#a4bd38b620e1e9907216f3e583839dea3',1,'tvm::tir::attr']]],
-  ['externop_1358',['ExternOp',['../classtvm_1_1te_1_1ExternOp.html#ab851798912152054fdb230f7176fda8a',1,'tvm::te::ExternOp::ExternOp()'],['../classtvm_1_1te_1_1ExternOp.html',1,'tvm::te::ExternOp']]],
-  ['externopnode_1359',['ExternOpNode',['../classtvm_1_1te_1_1ExternOpNode.html#aa2781af3e0414490286d62ed05722e7c',1,'tvm::te::ExternOpNode::ExternOpNode()'],['../classtvm_1_1te_1_1ExternOpNode.html',1,'tvm::te::ExternOpNode']]],
-  ['extra_5fcollectors_1360',['extra_collectors',['../structtvm_1_1runtime_1_1profiling_1_1CallFrame.html#a6df052f29c9c70ed46cf5c7a5cff11e1',1,'tvm::runtime::profiling::CallFrame']]],
-  ['extra_5fmetrics_1361',['extra_metrics',['../structtvm_1_1runtime_1_1profiling_1_1CallFrame.html#a436873f87bc5316bbab15e2811ff29d4',1,'tvm::runtime::profiling::CallFrame']]],
-  ['extractbufferinfo_1362',['ExtractBufferInfo',['../namespacetvm_1_1tir_1_1usmp.html#a4cdc4bc9b24f043b0f45952efd25f10f',1,'tvm::tir::usmp']]],
-  ['extracted_5ftask_2eh_1363',['extracted_task.h',['../extracted__task_8h.html',1,'']]],
-  ['extractedtask_1364',['ExtractedTask',['../classtvm_1_1meta__schedule_1_1ExtractedTask.html#aec6734684edd3e09386ddd4a39d4ccb3',1,'tvm::meta_schedule::ExtractedTask::ExtractedTask()'],['../classtvm_1_1meta__schedule_1_1ExtractedTask.html',1,'tvm::meta_schedule::ExtractedTask']]],
-  ['extractedtasknode_1365',['ExtractedTaskNode',['../classtvm_1_1meta__schedule_1_1ExtractedTaskNode.html',1,'tvm::meta_schedule']]],
-  ['extractelement_1366',['ExtractElement',['../classtvm_1_1tir_1_1Shuffle.html#aebe8e15b3820297dfa79a5e5e89fa40c',1,'tvm::tir::Shuffle']]],
-  ['extractfrom_1367',['ExtractFrom',['../classtvm_1_1meta__schedule_1_1FeatureExtractorNode.html#ad4e9fdab79326a5bd98745007bb29635',1,'tvm::meta_schedule::FeatureExtractorNode::ExtractFrom()'],['../classtvm_1_1meta__schedule_1_1PyFeatureExtractorNode.html#a18b184565623ceb9798bfdd56ec08453',1,'tvm::meta_schedule::PyFeatureExtractorNode::ExtractFrom()']]],
-  ['extractor_1368',['Extractor',['../structtvm_1_1runtime_1_1PackedFuncObj_1_1Extractor.html',1,'tvm::runtime::PackedFuncObj']]],
-  ['extractprimfuncconstants_1369',['ExtractPrimFuncConstants',['../namespacetvm_1_1tir_1_1transform.html#ac148d43765be86c3778bd32a112698fd',1,'tvm::tir::transform']]],
-  ['extractsplitlength_1370',['ExtractSplitLength',['../classtvm_1_1auto__scheduler_1_1FollowFusedSplitStepNode.html#a84ff625309e23fd7bd1eb90d7e74ae18',1,'tvm::auto_scheduler::FollowFusedSplitStepNode']]],
-  ['extractsplitlengths_1371',['ExtractSplitLengths',['../classtvm_1_1auto__scheduler_1_1FollowSplitStepNode.html#afafbcd622b135713f3131e5388c5032f',1,'tvm::auto_scheduler::FollowSplitStepNode']]],
-  ['extrapolation_5fvalue_1372',['extrapolation_value',['../structtvm_1_1relay_1_1Resize1DAttrs.html#ae87dc3b2fd5a7312bcfd856e886fcdc5',1,'tvm::relay::Resize1DAttrs::extrapolation_value()'],['../structtvm_1_1relay_1_1Resize2DAttrs.html#aefab76f5bbc309bf4a492a1bf99d87ef',1,'tvm::relay::Resize2DAttrs::extrapolation_value()'],['../structtvm_1_1relay_1_1Resize3DAttrs.html#a7bd50e1554aae78f2240faa11573659f',1,'tvm::relay::Resize3DAttrs::extrapolation_value()'],['../structtvm_1_1relay_1_1CropA [...]
+  ['early_5fstopping_1226',['early_stopping',['../classtvm_1_1auto__scheduler_1_1TuningOptionsNode.html#a4b1cbc19fcc80c2564b24c56f6cf9504',1,'tvm::auto_scheduler::TuningOptionsNode']]],
+  ['einsum_1227',['einsum',['../namespacetvm_1_1topi.html#af32230999c38eb624e8ea21ee6877eef',1,'tvm::topi']]],
+  ['einsum_2eh_1228',['einsum.h',['../einsum_8h.html',1,'']]],
+  ['einsumattrs_1229',['EinsumAttrs',['../structtvm_1_1relay_1_1EinsumAttrs.html',1,'tvm::relay']]],
+  ['einsumequation_1230',['EinsumEquation',['../structtvm_1_1topi_1_1EinsumEquation.html',1,'tvm::topi']]],
+  ['elem_5foffset_1231',['elem_offset',['../classtvm_1_1tir_1_1BufferNode.html#a92b84a76c975399a028b61e4b99ac87b',1,'tvm::tir::BufferNode']]],
+  ['element_5fof_1232',['element_of',['../classtvm_1_1runtime_1_1DataType.html#a2fe08e37ac1a1c09b10251313582990d',1,'tvm::runtime::DataType']]],
+  ['element_5ftype_1233',['element_type',['../classtvm_1_1PointerTypeNode.html#a3ce20495711da0c9f83f02df466ae8a0',1,'tvm::PointerTypeNode']]],
+  ['elements_1234',['elements',['../classtvm_1_1script_1_1printer_1_1TupleDocNode.html#a06eb9b7f49f298978f33012b6d44ddb8',1,'tvm::script::printer::TupleDocNode::elements()'],['../classtvm_1_1script_1_1printer_1_1ListDocNode.html#a4b5e2a6863460473dca71c989ee3fb8c',1,'tvm::script::printer::ListDocNode::elements()']]],
+  ['elementwisematch_1235',['ElementWiseMatch',['../classtvm_1_1auto__scheduler_1_1AccessAnalyzer.html#a252a0005deefc376b4a90703e375f2c6',1,'tvm::auto_scheduler::AccessAnalyzer']]],
+  ['elemoffset_1236',['ElemOffset',['../classtvm_1_1tir_1_1BufferNode.html#ae6964ae55ed11dfe43721a82656c023d',1,'tvm::tir::BufferNode']]],
+  ['elemwise_2eh_1237',['elemwise.h',['../elemwise_8h.html',1,'']]],
+  ['elemwise_5fsum_1238',['elemwise_sum',['../namespacetvm_1_1topi.html#a94c9e58d3a7e9f9a477962897c9df1d7',1,'tvm::topi']]],
+  ['eliminatecommonsubexpr_1239',['EliminateCommonSubexpr',['../namespacetvm_1_1relay_1_1transform.html#adf21ade01969835b155241d277a94d1c',1,'tvm::relay::transform']]],
+  ['else_1240',['Else',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a40266d085b36fddf20d38abd0cdb9616',1,'tvm::script::ir_builder::tir']]],
+  ['else_5fbranch_1241',['else_branch',['../classtvm_1_1script_1_1printer_1_1IfDocNode.html#a8433d901c80f64cc87f5c36b7f21bb00',1,'tvm::script::printer::IfDocNode']]],
+  ['else_5fcase_1242',['else_case',['../classtvm_1_1tir_1_1IfThenElseNode.html#a6d897641f264441b64f9e7247a8bb504',1,'tvm::tir::IfThenElseNode']]],
+  ['else_5fstmts_1243',['else_stmts',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1IfFrameNode.html#a2c51e70d48b3667763282543e985223c',1,'tvm::script::ir_builder::tir::IfFrameNode']]],
+  ['elseframe_1244',['ElseFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ElseFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['elseframenode_1245',['ElseFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ElseFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['emit_1246',['Emit',['../classtvm_1_1DiagnosticContext.html#a13cd654944714a1daa28cb3775211fd8',1,'tvm::DiagnosticContext']]],
+  ['emitfatal_1247',['EmitFatal',['../classtvm_1_1DiagnosticContext.html#a3668f9c5770d93f92bc1ad3b7b449ee4',1,'tvm::DiagnosticContext']]],
+  ['emplaceinit_1248',['EmplaceInit',['../classtvm_1_1runtime_1_1InplaceArrayBase.html#a483d75a65f68676ed0a16fca8024ccd6',1,'tvm::runtime::InplaceArrayBase']]],
+  ['empty_1249',['empty',['../classtvm_1_1runtime_1_1Array.html#a7afdaa55d2e55e942e732f072a97a862',1,'tvm::runtime::Array::empty()'],['../classtvm_1_1runtime_1_1Map.html#afc8d39f3c9e33bca6083253f7288d900',1,'tvm::runtime::Map::empty()'],['../classtvm_1_1runtime_1_1ShapeTuple.html#a7e4f6df331fcf589c3f5544c88931561',1,'tvm::runtime::ShapeTuple::empty()']]],
+  ['empty_1250',['Empty',['../classtvm_1_1runtime_1_1vm_1_1Allocator.html#aa12502b2dc4b59b4c913b0600f1a02e2',1,'tvm::runtime::vm::Allocator::Empty()'],['../classtvm_1_1runtime_1_1NDArray.html#a59f41733876e0a161de701de9fd60749',1,'tvm::runtime::NDArray::Empty()'],['../classtvm_1_1runtime_1_1MapNode.html#ad486baa9df3b8061218bbad6cea53df9',1,'tvm::runtime::MapNode::Empty()'],['../classtvm_1_1TupleType.html#af99baa239cc3c717979b2dfeefed75c2',1,'tvm::TupleType::Empty()']]],
+  ['empty_1251',['empty',['../classtvm_1_1runtime_1_1String.html#a897a877128c8b8a7e57dec0847cf33c7',1,'tvm::runtime::String']]],
+  ['enable_5fcheck_1252',['enable_check',['../classtvm_1_1tir_1_1ScheduleStateNode.html#a862a5a440888321e3bcd998c88dc62a2',1,'tvm::tir::ScheduleStateNode']]],
+  ['enable_5fcpu_5fcache_5fflush_1253',['enable_cpu_cache_flush',['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html#a8243b1a607d4b24719d0e2d174097922',1,'tvm::auto_scheduler::ProgramRunnerNode']]],
+  ['end_1254',['end',['../classtvm_1_1support_1_1Span.html#aa4bab400a2ce69da814a34d0c87eacdf',1,'tvm::support::Span::end()'],['../classtvm_1_1runtime_1_1ShapeTuple.html#abb2ffec8179f9acba5003a877cf3715c',1,'tvm::runtime::ShapeTuple::end()'],['../structtvm_1_1relay_1_1StridedSliceAttrs.html#a2492ceafc309edf983ba8c78fae5afe2',1,'tvm::relay::StridedSliceAttrs::end()'],['../classtvm_1_1runtime_1_1ArrayNode.html#a2d43c95564271491432d279c12f7f94c',1,'tvm::runtime::ArrayNode::end()'],['../class [...]
+  ['end_5f_1255',['end_',['../classtvm_1_1support_1_1Span.html#a0f5635e38ba7bad57df9deed968baea5',1,'tvm::support::Span']]],
+  ['end_5fcolumn_1256',['end_column',['../classtvm_1_1SpanNode.html#adfa91957e629c89d6a3efef536051496',1,'tvm::SpanNode']]],
+  ['end_5findex_1257',['end_index',['../namespacetvm_1_1topi_1_1nn.html#a8d244c196a5a481640cfc610fad3c7db',1,'tvm::topi::nn']]],
+  ['end_5fline_1258',['end_line',['../classtvm_1_1SpanNode.html#a932e5865f0838c1a5ed9d4290a84c677',1,'tvm::SpanNode']]],
+  ['end_5fprofile_5fintrinsic_1259',['end_profile_intrinsic',['../namespacetvm_1_1tir_1_1builtin.html#a6c57e9701977267ff96d514bd3c2a5e6',1,'tvm::tir::builtin']]],
+  ['enterconstraint_1260',['EnterConstraint',['../classtvm_1_1arith_1_1TransitiveComparisonAnalyzer.html#ab0c67cf201be8b57460cc155e5ff352a',1,'tvm::arith::TransitiveComparisonAnalyzer::EnterConstraint()'],['../classtvm_1_1arith_1_1RewriteSimplifier.html#a01dda385f4e0bb0e1155c76c2d28cb96',1,'tvm::arith::RewriteSimplifier::EnterConstraint()'],['../classtvm_1_1arith_1_1IntSetAnalyzer.html#a096433dd7bde50f73eed4854af8b6111',1,'tvm::arith::IntSetAnalyzer::EnterConstraint()']]],
+  ['enterpasscontext_1261',['EnterPassContext',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#abb51f715d6beb3037b433f4980562c13',1,'tvm::instrument::PassInstrumentNode']]],
+  ['enterpostproc_1262',['EnterPostproc',['../classtvm_1_1tir_1_1ScheduleNode.html#a2428fbd498ba2710a22d9ca4bc455957',1,'tvm::tir::ScheduleNode']]],
+  ['enterwithscope_1263',['EnterWithScope',['../classtvm_1_1meta__schedule_1_1Profiler.html#a5d7818da6c4daeb800057e341714d3d0',1,'tvm::meta_schedule::Profiler::EnterWithScope()'],['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderFrameNode.html#ab3aaced994da313ebabad6b955332f14',1,'tvm::script::ir_builder::IRBuilderFrameNode::EnterWithScope()'],['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderFrame.html#a06a9c6f3c686c68da8ca2c707b13a751',1,'tvm::script::ir_builder::IRBuilderFrame::Ente [...]
+  ['env_1264',['env',['../classtvm_1_1relay_1_1InterpreterClosureObj.html#a159f46324e99dc7ddd4ee8c78fa5cd3c',1,'tvm::relay::InterpreterClosureObj']]],
+  ['env_5ffunc_2eh_1265',['env_func.h',['../env__func_8h.html',1,'']]],
+  ['env_5fthreads_1266',['env_threads',['../classtvm_1_1te_1_1Stage.html#aba3eb6be98bdb18da51a31bbf4af4617',1,'tvm::te::Stage::env_threads()'],['../classtvm_1_1te_1_1StageNode.html#ac6bfe27a0802f257d467667522d0cbee',1,'tvm::te::StageNode::env_threads()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1PrimFuncFrameNode.html#a33d29fc6dadbe1a011ec1a020a2e24cd',1,'tvm::script::ir_builder::tir::PrimFuncFrameNode::env_threads()']]],
+  ['envchecksignals_1267',['EnvCheckSignals',['../namespacetvm_1_1runtime.html#af5edb7ca30cd4affb50eb1fe6e3a1d13',1,'tvm::runtime']]],
+  ['envfunc_1268',['EnvFunc',['../classtvm_1_1EnvFunc.html#aae6100a3a2f84f79fa8314c24fd516bc',1,'tvm::EnvFunc::EnvFunc()'],['../classtvm_1_1EnvFunc.html#a1c210b670d3f990b6b8383a4abb7e6d6',1,'tvm::EnvFunc::EnvFunc(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1EnvFunc.html',1,'tvm::EnvFunc']]],
+  ['envfuncnode_1269',['EnvFuncNode',['../classtvm_1_1EnvFuncNode.html#a808b81ced7b01c4955b4994a89bc71a4',1,'tvm::EnvFuncNode::EnvFuncNode()'],['../classtvm_1_1EnvFuncNode.html',1,'tvm::EnvFuncNode']]],
+  ['envthread_1270',['EnvThread',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a9d082b84f05cc290c7293c79e17fd044',1,'tvm::script::ir_builder::tir']]],
+  ['eps_1271',['eps',['../structtvm_1_1relay_1_1L2NormalizeAttrs.html#a0294037efdb6353d27885b93370c8a54',1,'tvm::relay::L2NormalizeAttrs']]],
+  ['epsilon_1272',['epsilon',['../structtvm_1_1relay_1_1BatchNormAttrs.html#acbdb18e57584b13352e7470f2948db15',1,'tvm::relay::BatchNormAttrs::epsilon()'],['../structtvm_1_1relay_1_1InstanceNormAttrs.html#ace912a18c84c320ad30389ed2faf5904',1,'tvm::relay::InstanceNormAttrs::epsilon()'],['../structtvm_1_1relay_1_1LayerNormAttrs.html#a5b52e9e6d0616d026896575d8242ef78',1,'tvm::relay::LayerNormAttrs::epsilon()'],['../structtvm_1_1relay_1_1GroupNormAttrs.html#ad5489755171031cd0547b487b3aa6604', [...]
+  ['eq_1273',['EQ',['../classtvm_1_1tir_1_1EQ.html#a827efcddab8adc00af064da0bd87128f',1,'tvm::tir::EQ::EQ()'],['../classtvm_1_1tir_1_1EQ.html',1,'tvm::tir::EQ']]],
+  ['eqnode_1274',['EQNode',['../classtvm_1_1tir_1_1EQNode.html',1,'tvm::tir']]],
+  ['equal_1275',['equal',['../classtvm_1_1arith_1_1IntGroupBoundsNode.html#a55bc5cfb64d997ab5b1bb1b3f741b767',1,'tvm::arith::IntGroupBoundsNode']]],
+  ['equal_1276',['Equal',['../classtvm_1_1SEqualHandlerDefault.html#a47fc96c953560ab6996f63f242cb2adb',1,'tvm::SEqualHandlerDefault']]],
+  ['equal_1277',['equal',['../namespacetvm.html#a1c4f14382b85bcfa57d9a3460db2354a',1,'tvm::equal()'],['../namespacetvm_1_1topi.html#aad662b8455d6393f5bbf54a03c5b5df4',1,'tvm::topi::equal(const tvm::PrimExpr &amp;a, const tvm::PrimExpr &amp;b)'],['../namespacetvm_1_1topi.html#a23301af4bee39c516369b20089f93da7',1,'tvm::topi::equal(const tvm::te::Tensor &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;equal&quot;, std::string tag=kBroadcast)'],['../namespacetvm_1_ [...]
+  ['equals_1278',['Equals',['../classtvm_1_1tir_1_1Layout.html#a35389f5ca857483ea65756bb2ceaa944',1,'tvm::tir::Layout']]],
+  ['equation_1279',['equation',['../structtvm_1_1relay_1_1EinsumAttrs.html#a5e1dab557af22dbf5f635b3f20c42b56',1,'tvm::relay::EinsumAttrs']]],
+  ['erase_1280',['erase',['../classtvm_1_1runtime_1_1DenseMapNode.html#a2e0612bed81949dd88fd440a467aa8c0',1,'tvm::runtime::DenseMapNode::erase()'],['../classtvm_1_1runtime_1_1Array.html#a0fd88d16147aad4ed810846ce53d21c9',1,'tvm::runtime::Array::erase()'],['../classtvm_1_1runtime_1_1Map.html#a67431af5ae08050343eaf70629e5e310',1,'tvm::runtime::Map::erase()'],['../classtvm_1_1runtime_1_1SmallMapNode.html#aa8d46402a1b371bb9c711602942f1eab',1,'tvm::runtime::SmallMapNode::erase()'],['../classt [...]
+  ['erf_1281',['erf',['../namespacetvm.html#a139870d327497d548e2ef8bddba2f114',1,'tvm::erf()'],['../namespacetvm_1_1topi.html#aed0d20f9ea6893d02d1bb282a1d991e2',1,'tvm::topi::erf()']]],
+  ['error_1282',['Error',['../classtvm_1_1Diagnostic.html#aa28e090a7daefd7df9573b7434807708',1,'tvm::Diagnostic::Error(Span span)'],['../classtvm_1_1Diagnostic.html#adb155a3a5ffc8b93399549472d91dd61',1,'tvm::Diagnostic::Error(ObjectRef loc)'],['../classtvm_1_1Diagnostic.html#a1334f4951e7be7eff61b12d237e15255',1,'tvm::Diagnostic::Error(const Object *loc)']]],
+  ['error_2eh_1283',['error.h',['../error_8h.html',1,'']]],
+  ['error_5fcodes_2eh_1284',['error_codes.h',['../error__codes_8h.html',1,'']]],
+  ['error_5fct_1285',['error_ct',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a51ae2f85c784ede060f7b76a138387c5',1,'tvm::auto_scheduler::ProgramMeasurerNode']]],
+  ['error_5fmsg_1286',['error_msg',['../classtvm_1_1meta__schedule_1_1RunnerResultNode.html#a3f2677c8ce68452420885c31231d7853',1,'tvm::meta_schedule::RunnerResultNode::error_msg()'],['../classtvm_1_1meta__schedule_1_1BuilderResultNode.html#a6922d5076fdfb0356cf26becf96b635e',1,'tvm::meta_schedule::BuilderResultNode::error_msg()'],['../classtvm_1_1auto__scheduler_1_1MeasureResultNode.html#adf18926ba960b870042248ec6144a6b9',1,'tvm::auto_scheduler::MeasureResultNode::error_msg()'],['../class [...]
+  ['error_5fno_1287',['error_no',['../classtvm_1_1auto__scheduler_1_1MeasureResultNode.html#a11b3b1baf43ae85cc001a8d59e0e01fd',1,'tvm::auto_scheduler::MeasureResultNode::error_no()'],['../classtvm_1_1auto__scheduler_1_1BuildResultNode.html#a8b0da5bf37adcf6e8a761339a9daa7c1',1,'tvm::auto_scheduler::BuildResultNode::error_no()']]],
+  ['errorbuilder_1288',['ErrorBuilder',['../structtvm_1_1relay_1_1ErrorBuilder.html',1,'tvm::relay']]],
+  ['errorreporter_1289',['ErrorReporter',['../classtvm_1_1relay_1_1ErrorReporter.html#a11a49b497286d6fcf9a39e25a20d17d1',1,'tvm::relay::ErrorReporter::ErrorReporter()'],['../classtvm_1_1relay_1_1ErrorReporter.html',1,'tvm::relay::ErrorReporter']]],
+  ['errors_1290',['errors',['../classtvm_1_1arith_1_1IterMapResultNode.html#aac82f4892c7c4722fe6418c4f44ae3f4',1,'tvm::arith::IterMapResultNode']]],
+  ['escape_1291',['Escape',['../namespacetvm_1_1runtime_1_1micro__rpc.html#ae62577b404cccb2018ca8576b1f75bb6',1,'tvm::runtime::micro_rpc']]],
+  ['estimateregionlowerbound_1292',['EstimateRegionLowerBound',['../namespacetvm_1_1arith.html#a31262f87a37f9f847ace3c5c8e81dcf5',1,'tvm::arith']]],
+  ['estimateregionstrictbound_1293',['EstimateRegionStrictBound',['../namespacetvm_1_1arith.html#a82bd85ab31c2ecf2108971c801bb528e',1,'tvm::arith']]],
+  ['estimateregionupperbound_1294',['EstimateRegionUpperBound',['../namespacetvm_1_1arith.html#adddc8a8fb2a3cf1b824de6dfe9b706a2',1,'tvm::arith']]],
+  ['estimatetirflops_1295',['EstimateTIRFlops',['../namespacetvm_1_1tir.html#a1674ab4f532cf0bd0a96a12165105d82',1,'tvm::tir::EstimateTIRFlops(const Stmt &amp;stmt)'],['../namespacetvm_1_1tir.html#ac0bc659ab2088eef1e5ec2dbe139e3f9',1,'tvm::tir::EstimateTIRFlops(const IRModule &amp;mod)']]],
+  ['etaexpand_1296',['EtaExpand',['../namespacetvm_1_1relay_1_1transform.html#a6e515696ecc9ff4222af99fef361de4e',1,'tvm::relay::transform']]],
+  ['eval_1297',['Eval',['../namespacetvm_1_1relay.html#ae87c7a3eb9be1113b92a7102806ab627',1,'tvm::relay']]],
+  ['evalfunction_1298',['EvalFunction',['../namespacetvm_1_1relay.html#abbeb67be9b31bbcbdd0882dc8a7ecee8',1,'tvm::relay']]],
+  ['evalset_1299',['EvalSet',['../namespacetvm_1_1arith.html#a74234ce6f7733b3d8055d781cc782df7',1,'tvm::arith::EvalSet(Range r, const Map&lt; IterVar, IntSet &gt; &amp;dom_map)'],['../namespacetvm_1_1arith.html#a79359af75db0286bfe975b9828e971e4',1,'tvm::arith::EvalSet(const Array&lt; Range &gt; &amp;region, const Map&lt; Var, IntSet &gt; &amp;dom_map)'],['../namespacetvm_1_1arith.html#a4bf945fe43afafbedffad6d5633efad7',1,'tvm::arith::EvalSet(Range r, const std::unordered_map&lt; const Va [...]
+  ['evalsetforeachsubexpr_1300',['EvalSetForEachSubExpr',['../namespacetvm_1_1arith.html#a8a112f07411c5e1237b0c045ff276ac6',1,'tvm::arith']]],
+  ['evaluate_1301',['Evaluate',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a101850df27120c29355e6215b9b2b525',1,'tvm::script::ir_builder::tir::Evaluate()'],['../classtvm_1_1tir_1_1Evaluate.html#abf75c5d934947831065f9cd668fa84ad',1,'tvm::tir::Evaluate::Evaluate(PrimExpr value, Span span=Span())'],['../classtvm_1_1tir_1_1Evaluate.html#a3b7fb8cd8d3260eb76b3c6f0e68219b7',1,'tvm::tir::Evaluate::Evaluate(int value, Span span=Span())'],['../classtvm_1_1tir_1_1Evaluate.html',1,'tvm::t [...]
+  ['evaluatenode_1302',['EvaluateNode',['../classtvm_1_1tir_1_1EvaluateNode.html',1,'tvm::tir']]],
+  ['everything_1303',['Everything',['../classtvm_1_1arith_1_1IntSet.html#ae53fdd0ee6775e59aa8194c89afa1d86',1,'tvm::arith::IntSet']]],
+  ['evolutionarysearch_1304',['EvolutionarySearch',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html#a9a8b711b0752f3e89a2d841251cdc605',1,'tvm::meta_schedule::SearchStrategy']]],
+  ['exclude_1305',['exclude',['../structtvm_1_1relay_1_1VarianceAttrs.html#a10029f70d1f38da8c9cc415a76fe9807',1,'tvm::relay::VarianceAttrs::exclude()'],['../structtvm_1_1relay_1_1ArgReduceAttrs.html#ae656b3262845e56fad4abb02be5c99a9',1,'tvm::relay::ArgReduceAttrs::exclude()'],['../structtvm_1_1relay_1_1ReduceAttrs.html#ada05c6d2e36245d832449aa2479d3ab2',1,'tvm::relay::ReduceAttrs::exclude()']]],
+  ['exclusive_1306',['exclusive',['../structtvm_1_1relay_1_1ScanopAttrs.html#a6b2c89d7d63014af16d16d1eb0453c42',1,'tvm::relay::ScanopAttrs']]],
+  ['exec_5f_1307',['exec_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a619aa7ba254da546fb5beadb9749f674',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['executable_1308',['Executable',['../classtvm_1_1runtime_1_1vm_1_1Executable.html',1,'tvm::runtime::vm']]],
+  ['executable_2eh_1309',['executable.h',['../executable_8h.html',1,'']]],
+  ['executor_1310',['Executor',['../classtvm_1_1relay_1_1ExecutorRegEntry.html#a763b2f90bc53f92d680a635fe28e858e',1,'tvm::relay::ExecutorRegEntry::Executor()'],['../classtvm_1_1relay_1_1Executor.html',1,'tvm::relay::Executor']]],
+  ['executor_2eh_1311',['executor.h',['../executor_8h.html',1,'']]],
+  ['executor_5finfo_2eh_1312',['executor_info.h',['../executor__info_8h.html',1,'']]],
+  ['executornode_1313',['ExecutorNode',['../classtvm_1_1relay_1_1ExecutorNode.html',1,'tvm::relay']]],
+  ['executorregentry_1314',['ExecutorRegEntry',['../classtvm_1_1relay_1_1ExecutorRegEntry.html',1,'tvm::relay']]],
+  ['exist_5f_1315',['exist_',['../classtvm_1_1detail_1_1AttrExistVisitor.html#ac6ae7aa3d30f25a953810bcc0d0a938f',1,'tvm::detail::AttrExistVisitor']]],
+  ['exitpasscontext_1316',['ExitPassContext',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#a21f748367b2571654748b06f2015f655',1,'tvm::instrument::PassInstrumentNode']]],
+  ['exitwithscope_1317',['ExitWithScope',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1RealizeFrameNode.html#a4dbd37f6a859cbabbab7f0d3c1091b57',1,'tvm::script::ir_builder::tir::RealizeFrameNode::ExitWithScope()'],['../classtvm_1_1script_1_1printer_1_1Frame.html#a5d5cda1e9ba5b0eaea678377f74643a3',1,'tvm::script::printer::Frame::ExitWithScope()'],['../classtvm_1_1script_1_1printer_1_1FrameNode.html#a4e7e02f9ccd2e44cce2f2802910bfb23',1,'tvm::script::printer::FrameNode::ExitWithScope()'], [...]
+  ['exp_1318',['exp',['../namespacetvm.html#a82be70bd7794abca32473604cbb09569',1,'tvm::exp()'],['../namespacetvm_1_1topi.html#a466452c7337b11c7237b8756cf7da621',1,'tvm::topi::exp()']]],
+  ['exp10_1319',['exp10',['../namespacetvm.html#a65b68a0c2cea6c1bbd338585fcdf9fdd',1,'tvm']]],
+  ['exp2_1320',['exp2',['../namespacetvm.html#a50c4b8aeaf39b357013fc7f62b4a878c',1,'tvm']]],
+  ['expand_5fdims_1321',['expand_dims',['../namespacetvm_1_1topi.html#a7ddbd03d0d29a05618a1ef42f717ec9f',1,'tvm::topi']]],
+  ['expandanormalform_1322',['ExpandANormalForm',['../namespacetvm_1_1relay.html#a18f47bbeaf5ccedf5e4cf525710a0c41',1,'tvm::relay']]],
+  ['expanddataflow_1323',['ExpandDataflow',['../namespacetvm_1_1relay.html#ae36d7b9ccbc63dfc794e45de7b6af9b6',1,'tvm::relay::ExpandDataflow(Expr expr, FCheckVisited fcheck_visited, FVisitLeaf fvisit_leaf, FExpandExpr fexpand_expr)'],['../namespacetvm_1_1relay.html#abd34311e0bf42aebcf785cfa390a3d5a',1,'tvm::relay::ExpandDataflow(Expr expr, FCheckVisited fcheck_visited, FVisitLeaf fvisit_leaf)']]],
+  ['expanddimsattrs_1324',['ExpandDimsAttrs',['../structtvm_1_1relay_1_1ExpandDimsAttrs.html',1,'tvm::relay']]],
+  ['expandprimal_1325',['ExpandPrimal',['../classtvm_1_1tir_1_1Layout.html#a88c306ea4682d28b85032277fa29df63',1,'tvm::tir::Layout']]],
+  ['export_1326',['Export',['../classtvm_1_1TargetNode.html#af313f5aedbe162374d424358d34d3c7e',1,'tvm::TargetNode']]],
+  ['expr_1327',['expr',['../classtvm_1_1relay_1_1ExprPatternNode.html#a184b973441a9c36e99ee6131118f6bd6',1,'tvm::relay::ExprPatternNode::expr()'],['../classtvm_1_1script_1_1printer_1_1ExprStmtDocNode.html#a94d1062883db34e83f7fa19beff6f61f',1,'tvm::script::printer::ExprStmtDocNode::expr()']]],
+  ['expr_1328',['Expr',['../namespacetvm_1_1relay.html#a5b84e3790f89bb3fad5c7911eeb99531',1,'tvm::relay']]],
+  ['expr_2eh_1329',['expr.h',['../ir_2expr_8h.html',1,'(Global Namespace)'],['../relay_2expr_8h.html',1,'(Global Namespace)'],['../tir_2expr_8h.html',1,'(Global Namespace)']]],
+  ['expr_5ffunctor_2eh_1330',['expr_functor.h',['../relay_2expr__functor_8h.html',1,'(Global Namespace)'],['../tir_2expr__functor_8h.html',1,'(Global Namespace)']]],
+  ['expr_5ffunctor_5fdefault_1331',['EXPR_FUNCTOR_DEFAULT',['../tir_2expr__functor_8h.html#a510edf612f00aa97a59ef1973d8caf2d',1,'EXPR_FUNCTOR_DEFAULT():&#160;expr_functor.h'],['../relay_2expr__functor_8h.html#a510edf612f00aa97a59ef1973d8caf2d',1,'EXPR_FUNCTOR_DEFAULT():&#160;expr_functor.h']]],
+  ['expr_5frewriter_5frewrite_5fdefault_1332',['EXPR_REWRITER_REWRITE_DEFAULT',['../relay_2expr__functor_8h.html#ab484355bac5a7a136e0cecc1a4244fb3',1,'expr_functor.h']]],
+  ['exprdeepequal_1333',['ExprDeepEqual',['../structtvm_1_1tir_1_1ExprDeepEqual.html',1,'tvm::tir']]],
+  ['exprdoc_1334',['ExprDoc',['../classtvm_1_1script_1_1printer_1_1ExprDoc.html#ac5eb04f9e694f614cfacda6ac80c6e33',1,'tvm::script::printer::ExprDoc::ExprDoc()'],['../classtvm_1_1script_1_1printer_1_1ExprDoc.html',1,'tvm::script::printer::ExprDoc']]],
+  ['exprdocnode_1335',['ExprDocNode',['../classtvm_1_1script_1_1printer_1_1ExprDocNode.html',1,'tvm::script::printer']]],
+  ['exprfunctor_1336',['ExprFunctor',['../classtvm_1_1relay_1_1ExprFunctor.html',1,'tvm::relay::ExprFunctor&lt; FType &gt;'],['../classtvm_1_1tir_1_1ExprFunctor.html',1,'tvm::tir::ExprFunctor&lt; FType &gt;']]],
+  ['exprfunctor_3c_20expr_28const_20expr_20_26_29_3e_1337',['ExprFunctor&lt; Expr(const Expr &amp;)&gt;',['../classtvm_1_1relay_1_1ExprFunctor.html',1,'tvm::relay']]],
+  ['exprfunctor_3c_20primexpr_28const_20primexpr_20_26_29_3e_1338',['ExprFunctor&lt; PrimExpr(const PrimExpr &amp;)&gt;',['../classtvm_1_1tir_1_1ExprFunctor.html',1,'tvm::tir']]],
+  ['exprfunctor_3c_20r_28const_20expr_20_26n_2c_20args_2e_2e_2e_29_3e_1339',['ExprFunctor&lt; R(const Expr &amp;n, Args...)&gt;',['../classtvm_1_1relay_1_1ExprFunctor_3_01R_07const_01Expr_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm::relay']]],
+  ['exprfunctor_3c_20r_28const_20primexpr_20_26n_2c_20args_2e_2e_2e_29_3e_1340',['ExprFunctor&lt; R(const PrimExpr &amp;n, Args...)&gt;',['../classtvm_1_1tir_1_1ExprFunctor_3_01R_07const_01PrimExpr_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm::tir']]],
+  ['exprfunctor_3c_20void_28const_20expr_20_26n_29_3e_1341',['ExprFunctor&lt; void(const Expr &amp;n)&gt;',['../classtvm_1_1relay_1_1ExprFunctor.html',1,'tvm::relay']]],
+  ['exprfunctor_3c_20void_28const_20primexpr_20_26_29_3e_1342',['ExprFunctor&lt; void(const PrimExpr &amp;)&gt;',['../classtvm_1_1tir_1_1ExprFunctor.html',1,'tvm::tir']]],
+  ['exprintsetmap_1343',['ExprIntSetMap',['../namespacetvm_1_1arith.html#a0f148f1a1b469a3819e0fe6633b674e4',1,'tvm::arith']]],
+  ['exprmutator_1344',['ExprMutator',['../classtvm_1_1relay_1_1ExprMutator.html',1,'tvm::relay::ExprMutator'],['../classtvm_1_1tir_1_1ExprMutator.html',1,'tvm::tir::ExprMutator']]],
+  ['exprnode_1345',['ExprNode',['../namespacetvm_1_1relay.html#a387f18e050d016c52ea6c4781e7cff6c',1,'tvm::relay']]],
+  ['exprpattern_1346',['ExprPattern',['../classtvm_1_1relay_1_1ExprPattern.html#a7a67b6d0ef6de34e597875f280086bef',1,'tvm::relay::ExprPattern::ExprPattern()'],['../classtvm_1_1relay_1_1ExprPattern.html',1,'tvm::relay::ExprPattern']]],
+  ['exprpatternnode_1347',['ExprPatternNode',['../classtvm_1_1relay_1_1ExprPatternNode.html',1,'tvm::relay']]],
+  ['exprrewriter_1348',['ExprRewriter',['../classtvm_1_1relay_1_1ExprRewriter.html',1,'tvm::relay']]],
+  ['exprrv_1349',['ExprRV',['../namespacetvm_1_1tir.html#a5cd06e6cee9a7879b254e868025f08eb',1,'tvm::tir']]],
+  ['exprrvnode_1350',['ExprRVNode',['../namespacetvm_1_1tir.html#ad34ea4f276a7d76508fe458ff5430f3e',1,'tvm::tir']]],
+  ['exprstmtdoc_1351',['ExprStmtDoc',['../classtvm_1_1script_1_1printer_1_1ExprStmtDoc.html#a70066789e457d5574274f17808acfe52',1,'tvm::script::printer::ExprStmtDoc::ExprStmtDoc()'],['../classtvm_1_1script_1_1printer_1_1ExprStmtDoc.html',1,'tvm::script::printer::ExprStmtDoc']]],
+  ['exprstmtdocnode_1352',['ExprStmtDocNode',['../classtvm_1_1script_1_1printer_1_1ExprStmtDocNode.html',1,'tvm::script::printer']]],
+  ['exprvisitor_1353',['ExprVisitor',['../classtvm_1_1relay_1_1ExprVisitor.html',1,'tvm::relay::ExprVisitor'],['../classtvm_1_1tir_1_1ExprVisitor.html',1,'tvm::tir::ExprVisitor']]],
+  ['extension_1354',['Extension',['../classtvm_1_1arith_1_1RewriteSimplifier.html#a6705af5099418b968ded40d79102ad4e',1,'tvm::arith::RewriteSimplifier']]],
+  ['extent_1355',['extent',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1LaunchThreadFrameNode.html#afacff22429bac19053107c1024c3b91c',1,'tvm::script::ir_builder::tir::LaunchThreadFrameNode::extent()'],['../classtvm_1_1RangeNode.html#acd2ddcfab1f591a6c1f2568dd0180845',1,'tvm::RangeNode::extent()'],['../classtvm_1_1auto__scheduler_1_1SplitStepNode.html#a4b675f63d0f6e060598853840140a749',1,'tvm::auto_scheduler::SplitStepNode::extent()'],['../classtvm_1_1tir_1_1ForNode.html#ab54798257255 [...]
+  ['extents_1356',['extents',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateFrameNode.html#afb335072e6b0b10888ecdf81ec1d2462',1,'tvm::script::ir_builder::tir::AllocateFrameNode::extents()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateConstFrameNode.html#a5a66738edbc7b2f438ee4627e8ceca91',1,'tvm::script::ir_builder::tir::AllocateConstFrameNode::extents()'],['../classtvm_1_1tir_1_1AllocateNode.html#a0f6d59cffc5fda07450e0fdab6b66bcb',1,'tvm::tir::AllocateNode::extents [...]
+  ['extern_2eh_1357',['extern.h',['../detail_2extern_8h.html',1,'(Global Namespace)'],['../generic_2extern_8h.html',1,'(Global Namespace)']]],
+  ['extern_5fscope_1358',['extern_scope',['../namespacetvm_1_1tir_1_1attr.html#a4bd38b620e1e9907216f3e583839dea3',1,'tvm::tir::attr']]],
+  ['externop_1359',['ExternOp',['../classtvm_1_1te_1_1ExternOp.html#ab851798912152054fdb230f7176fda8a',1,'tvm::te::ExternOp::ExternOp()'],['../classtvm_1_1te_1_1ExternOp.html',1,'tvm::te::ExternOp']]],
+  ['externopnode_1360',['ExternOpNode',['../classtvm_1_1te_1_1ExternOpNode.html#aa2781af3e0414490286d62ed05722e7c',1,'tvm::te::ExternOpNode::ExternOpNode()'],['../classtvm_1_1te_1_1ExternOpNode.html',1,'tvm::te::ExternOpNode']]],
+  ['extra_5fcollectors_1361',['extra_collectors',['../structtvm_1_1runtime_1_1profiling_1_1CallFrame.html#a6df052f29c9c70ed46cf5c7a5cff11e1',1,'tvm::runtime::profiling::CallFrame']]],
+  ['extra_5fmetrics_1362',['extra_metrics',['../structtvm_1_1runtime_1_1profiling_1_1CallFrame.html#a436873f87bc5316bbab15e2811ff29d4',1,'tvm::runtime::profiling::CallFrame']]],
+  ['extractbufferinfo_1363',['ExtractBufferInfo',['../namespacetvm_1_1tir_1_1usmp.html#a4cdc4bc9b24f043b0f45952efd25f10f',1,'tvm::tir::usmp']]],
+  ['extracted_5ftask_2eh_1364',['extracted_task.h',['../extracted__task_8h.html',1,'']]],
+  ['extractedtask_1365',['ExtractedTask',['../classtvm_1_1meta__schedule_1_1ExtractedTask.html#aec6734684edd3e09386ddd4a39d4ccb3',1,'tvm::meta_schedule::ExtractedTask::ExtractedTask()'],['../classtvm_1_1meta__schedule_1_1ExtractedTask.html',1,'tvm::meta_schedule::ExtractedTask']]],
+  ['extractedtasknode_1366',['ExtractedTaskNode',['../classtvm_1_1meta__schedule_1_1ExtractedTaskNode.html',1,'tvm::meta_schedule']]],
+  ['extractelement_1367',['ExtractElement',['../classtvm_1_1tir_1_1Shuffle.html#aebe8e15b3820297dfa79a5e5e89fa40c',1,'tvm::tir::Shuffle']]],
+  ['extractfrom_1368',['ExtractFrom',['../classtvm_1_1meta__schedule_1_1FeatureExtractorNode.html#ad4e9fdab79326a5bd98745007bb29635',1,'tvm::meta_schedule::FeatureExtractorNode::ExtractFrom()'],['../classtvm_1_1meta__schedule_1_1PyFeatureExtractorNode.html#a18b184565623ceb9798bfdd56ec08453',1,'tvm::meta_schedule::PyFeatureExtractorNode::ExtractFrom()']]],
+  ['extractor_1369',['Extractor',['../structtvm_1_1runtime_1_1PackedFuncObj_1_1Extractor.html',1,'tvm::runtime::PackedFuncObj']]],
+  ['extractprimfuncconstants_1370',['ExtractPrimFuncConstants',['../namespacetvm_1_1tir_1_1transform.html#ac148d43765be86c3778bd32a112698fd',1,'tvm::tir::transform']]],
+  ['extractsplitlength_1371',['ExtractSplitLength',['../classtvm_1_1auto__scheduler_1_1FollowFusedSplitStepNode.html#a84ff625309e23fd7bd1eb90d7e74ae18',1,'tvm::auto_scheduler::FollowFusedSplitStepNode']]],
+  ['extractsplitlengths_1372',['ExtractSplitLengths',['../classtvm_1_1auto__scheduler_1_1FollowSplitStepNode.html#afafbcd622b135713f3131e5388c5032f',1,'tvm::auto_scheduler::FollowSplitStepNode']]],
+  ['extrapolation_5fvalue_1373',['extrapolation_value',['../structtvm_1_1relay_1_1Resize1DAttrs.html#ae87dc3b2fd5a7312bcfd856e886fcdc5',1,'tvm::relay::Resize1DAttrs::extrapolation_value()'],['../structtvm_1_1relay_1_1Resize2DAttrs.html#aefab76f5bbc309bf4a492a1bf99d87ef',1,'tvm::relay::Resize2DAttrs::extrapolation_value()'],['../structtvm_1_1relay_1_1Resize3DAttrs.html#a7bd50e1554aae78f2240faa11573659f',1,'tvm::relay::Resize3DAttrs::extrapolation_value()'],['../structtvm_1_1relay_1_1CropA [...]
 ];
diff --git a/docs/reference/api/doxygen/search/all_7.js b/docs/reference/api/doxygen/search/all_7.js
index 3e75081536..2dc3243b33 100644
--- a/docs/reference/api/doxygen/search/all_7.js
+++ b/docs/reference/api/doxygen/search/all_7.js
@@ -1,336 +1,336 @@
 var searchData=
 [
-  ['f_1373',['F',['../structtvm_1_1runtime_1_1TVMArgsSetterApply.html#a77729fcdf7b931f39ed9f2771bfded75',1,'tvm::runtime::TVMArgsSetterApply']]],
-  ['f_5fapply_1374',['f_apply',['../classtvm_1_1meta__schedule_1_1PyMeasureCallbackNode.html#ab2ff04fe15c2b6cbc67659a42d6013f1',1,'tvm::meta_schedule::PyMeasureCallbackNode::f_apply()'],['../classtvm_1_1meta__schedule_1_1PyMutatorNode.html#a70b3d67fe3074d54d13c7b1dc43e186e',1,'tvm::meta_schedule::PyMutatorNode::f_apply()'],['../classtvm_1_1meta__schedule_1_1PyPostprocNode.html#a3771e585727ef6dfecc502ffe57fd2a2',1,'tvm::meta_schedule::PyPostprocNode::f_apply()'],['../classtvm_1_1meta__sch [...]
-  ['f_5fapply_5fto_5fschedule_1375',['f_apply_to_schedule',['../classtvm_1_1tir_1_1InstructionKindNode.html#a6d0d0766ee77f65929e6389758890691',1,'tvm::tir::InstructionKindNode']]],
-  ['f_5fas_5fpython_1376',['f_as_python',['../classtvm_1_1tir_1_1InstructionKindNode.html#ae8e760186d900cbae90a5fad0a71b1ee',1,'tvm::tir::InstructionKindNode']]],
-  ['f_5fas_5fstring_1377',['f_as_string',['../classtvm_1_1meta__schedule_1_1PyScheduleRuleNode.html#a91b1a8c016029558e6bb8e9157097dc8',1,'tvm::meta_schedule::PyScheduleRuleNode::f_as_string()'],['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#afddaf5f9afccb9ac9e39baddb87b5805',1,'tvm::meta_schedule::PyCostModelNode::f_as_string()'],['../classtvm_1_1meta__schedule_1_1PyFeatureExtractorNode.html#a290c3cf6bccbcdfdf6cc76f3dda27caa',1,'tvm::meta_schedule::PyFeatureExtractorNode::f_as_s [...]
-  ['f_5fattrs_5fas_5fjson_1378',['f_attrs_as_json',['../classtvm_1_1tir_1_1InstructionKindNode.html#a85dd355cf370d8d599dc2e5c44484952',1,'tvm::tir::InstructionKindNode']]],
-  ['f_5fattrs_5ffrom_5fjson_1379',['f_attrs_from_json',['../classtvm_1_1tir_1_1InstructionKindNode.html#ad827304fa18acdfb0e65c2cfa9717936',1,'tvm::tir::InstructionKindNode']]],
-  ['f_5fbuild_1380',['f_build',['../classtvm_1_1meta__schedule_1_1PyBuilderNode.html#a4ea31c8060f7921ae79135a19bb0f20e',1,'tvm::meta_schedule::PyBuilderNode']]],
-  ['f_5fcall_5fpacked_5f_1381',['f_call_packed_',['../classtvm_1_1runtime_1_1PackedFuncObj.html#a3e7898a2ba34f7f171093e217edee131',1,'tvm::runtime::PackedFuncObj']]],
-  ['f_5fclone_1382',['f_clone',['../classtvm_1_1meta__schedule_1_1PyMutatorNode.html#ac4684cd645c50ab256c21100f2e175d0',1,'tvm::meta_schedule::PyMutatorNode::f_clone()'],['../classtvm_1_1meta__schedule_1_1PyPostprocNode.html#acda78b6c6d9d6d31611fb6601077116f',1,'tvm::meta_schedule::PyPostprocNode::f_clone()'],['../classtvm_1_1meta__schedule_1_1PyScheduleRuleNode.html#a93d18741e306f493814e4c68df823b12',1,'tvm::meta_schedule::PyScheduleRuleNode::f_clone()'],['../classtvm_1_1meta__schedule_ [...]
-  ['f_5fcommit_5ftuning_5frecord_1383',['f_commit_tuning_record',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a7d7d50ae9b6c43e064b38db91bc8123d',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['f_5fcommit_5fworkload_1384',['f_commit_workload',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#aa0bd64983cde2fc6ef1547448352981c',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['f_5fdone_1385',['f_done',['../classtvm_1_1meta__schedule_1_1RunnerFutureNode.html#a7c14b472e49d812aaa6676c9ff014895',1,'tvm::meta_schedule::RunnerFutureNode']]],
-  ['f_5fextract_5ffrom_1386',['f_extract_from',['../classtvm_1_1meta__schedule_1_1PyFeatureExtractorNode.html#ab994b701cd02fef4ebb9fecca29f23be',1,'tvm::meta_schedule::PyFeatureExtractorNode']]],
-  ['f_5fgenerate_5fdesign_5fspace_1387',['f_generate_design_space',['../classtvm_1_1meta__schedule_1_1PySpaceGeneratorNode.html#a941c64f01b6bb0b6fb87c2619af9d1e2',1,'tvm::meta_schedule::PySpaceGeneratorNode']]],
-  ['f_5fgenerate_5fmeasure_5fcandidates_1388',['f_generate_measure_candidates',['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#a5fdfb43b58d50fc34d8c515c9c9b7398',1,'tvm::meta_schedule::PySearchStrategyNode']]],
-  ['f_5fget_5fall_5ftuning_5frecords_1389',['f_get_all_tuning_records',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#aafdd0874be052072521b2aa8a6c56d5f',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['f_5fget_5ftop_5fk_1390',['f_get_top_k',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a52fb1116090619e95fb6b28352308eed',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['f_5fhas_5fworkload_1391',['f_has_workload',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#add146bf1e2006f72ed1534b2004bcb06',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['f_5finitialize_5fwith_5ftune_5fcontext_1392',['f_initialize_with_tune_context',['../classtvm_1_1meta__schedule_1_1PyScheduleRuleNode.html#a18486ea5d8d3e9c35adc22f1a265fe5a',1,'tvm::meta_schedule::PyScheduleRuleNode::f_initialize_with_tune_context()'],['../classtvm_1_1meta__schedule_1_1PyMutatorNode.html#a2b9b6129b0660c684b07c2f505021f2f',1,'tvm::meta_schedule::PyMutatorNode::f_initialize_with_tune_context()'],['../classtvm_1_1meta__schedule_1_1PyPostprocNode.html#a745d8654ab1a9cde5d2 [...]
-  ['f_5fjoin_5frunning_5ftask_1393',['f_join_running_task',['../classtvm_1_1meta__schedule_1_1PyTaskSchedulerNode.html#a2bb8c133c1767c2d5f242a55e49284f4',1,'tvm::meta_schedule::PyTaskSchedulerNode']]],
-  ['f_5fload_1394',['f_load',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#a40cfb1f290b3ec9ae34ad9aca149d196',1,'tvm::meta_schedule::PyCostModelNode']]],
-  ['f_5fmake_5ffor_5floop_1395',['f_make_for_loop',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ForFrameNode.html#a646ab14a567ccc1dbc05803fb45453ee',1,'tvm::script::ir_builder::tir::ForFrameNode']]],
-  ['f_5fnext_5ftask_5fid_1396',['f_next_task_id',['../classtvm_1_1meta__schedule_1_1PyTaskSchedulerNode.html#a0bd5c1660d968440adaa3b10cd51e95e',1,'tvm::meta_schedule::PyTaskSchedulerNode']]],
-  ['f_5fnotify_5frunner_5fresults_1397',['f_notify_runner_results',['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#aa89eabbd32979cdec2bee83d980350c7',1,'tvm::meta_schedule::PySearchStrategyNode']]],
-  ['f_5fpost_5ftuning_1398',['f_post_tuning',['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#a215e220c292bbafa7a0eeaf46a9a3f38',1,'tvm::meta_schedule::PySearchStrategyNode']]],
-  ['f_5fpre_5ftuning_1399',['f_pre_tuning',['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#addb53ffefebe51c9f2227349833f51de',1,'tvm::meta_schedule::PySearchStrategyNode']]],
-  ['f_5fpredict_1400',['f_predict',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#a33973fb5bf7ece01f623e780cfb4eb7a',1,'tvm::meta_schedule::PyCostModelNode']]],
-  ['f_5fquery_5fir_5fmodule_1401',['f_query_ir_module',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#abd9fc8fc83bc6c252465ffdbcb310bfc',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['f_5fquery_5fschedule_1402',['f_query_schedule',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a4a03c70569c9a18059861dfb5c90e845',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['f_5fquery_5ftuning_5frecord_1403',['f_query_tuning_record',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a65fcb9b59b8ce6e685fb62c4459c57ba',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['f_5fresult_1404',['f_result',['../classtvm_1_1meta__schedule_1_1RunnerFutureNode.html#a8690a1eb97a2d5d12611680928ed0032',1,'tvm::meta_schedule::RunnerFutureNode']]],
-  ['f_5frun_1405',['f_run',['../classtvm_1_1meta__schedule_1_1PyRunnerNode.html#ad94d974edde3cb36605d8ed03347c5fc',1,'tvm::meta_schedule::PyRunnerNode']]],
-  ['f_5fsave_1406',['f_save',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#ac7da436f6c5c78c49bb845eefa100001',1,'tvm::meta_schedule::PyCostModelNode']]],
-  ['f_5fsize_1407',['f_size',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#ac7ae1a05fe5c7858f5860133a82bc7b7',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['f_5ftune_1408',['f_tune',['../classtvm_1_1meta__schedule_1_1PyTaskSchedulerNode.html#a694cbc462be8527c8aa1195739535cc9',1,'tvm::meta_schedule::PyTaskSchedulerNode']]],
-  ['f_5fupdate_1409',['f_update',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#afa89f5fa17018f7d8421225b1bbe35df',1,'tvm::meta_schedule::PyCostModelNode']]],
-  ['factor_1410',['factor',['../classtvm_1_1auto__scheduler_1_1StorageAlignStepNode.html#a6eb2b971d981f54c385065d78d6a1681',1,'tvm::auto_scheduler::StorageAlignStepNode::factor()'],['../classtvm_1_1te_1_1SplitNode.html#a60369ff01e34008f1b3215d7f215b972',1,'tvm::te::SplitNode::factor()']]],
-  ['factor_5fiter_5fid_1411',['factor_iter_id',['../classtvm_1_1auto__scheduler_1_1RfactorStepNode.html#a114f3ecd05a1cffd92353e156185e42c',1,'tvm::auto_scheduler::RfactorStepNode']]],
-  ['factor_5for_5fnparts_1412',['factor_or_nparts',['../classtvm_1_1auto__scheduler_1_1FollowFusedSplitStepNode.html#afa60f761e7ea48985e35234428a1911f',1,'tvm::auto_scheduler::FollowFusedSplitStepNode']]],
-  ['factorof_1413',['FactorOf',['../classtvm_1_1tir_1_1Layout.html#ac38411f0766c53dc30b69f71c0667bf5',1,'tvm::tir::Layout']]],
-  ['false_5fbranch_1414',['false_branch',['../classtvm_1_1relay_1_1IfPatternNode.html#adac717215307bb0f7a7b16333e27237f',1,'tvm::relay::IfPatternNode::false_branch()'],['../classtvm_1_1relay_1_1IfNode.html#ae6a504717890d97b574b93dba29e2641',1,'tvm::relay::IfNode::false_branch()']]],
-  ['false_5foffset_1415',['false_offset',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a20f3b29da9e03f2fa6977743c30a02de',1,'tvm::runtime::vm::Instruction']]],
-  ['false_5fvalue_1416',['false_value',['../classtvm_1_1tir_1_1SelectNode.html#ac1b3f0f013b6a41a483c6d81397a6dac',1,'tvm::tir::SelectNode']]],
-  ['fapply_1417',['FApply',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a2c558d23de2ff6bf298bc7167a210859',1,'tvm::meta_schedule::ScheduleRule::FApply()'],['../classtvm_1_1meta__schedule_1_1PyMeasureCallbackNode.html#a25d736bf6d875c272792583bf4aed16b',1,'tvm::meta_schedule::PyMeasureCallbackNode::FApply()'],['../classtvm_1_1meta__schedule_1_1Mutator.html#ade6fc51af24708ee525c45a304ba342e',1,'tvm::meta_schedule::Mutator::FApply()'],['../classtvm_1_1meta__schedule_1_1PyMutatorNode. [...]
-  ['fasstring_1418',['FAsString',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#a26fb3b9fb4f79538af3e5262b16086e2',1,'tvm::meta_schedule::PyCostModelNode::FAsString()'],['../classtvm_1_1meta__schedule_1_1PyScheduleRuleNode.html#a188b887b6c94d76fa29f0df7bf066000',1,'tvm::meta_schedule::PyScheduleRuleNode::FAsString()'],['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a4c02153b06f9c5577114d719747a7b1a',1,'tvm::meta_schedule::ScheduleRule::FAsString()'],['../classtvm_1_1meta__s [...]
-  ['fast_5ferf_1419',['fast_erf',['../namespacetvm_1_1topi.html#a6f8a25c0d0744858977bc1b9551989eb',1,'tvm::topi']]],
-  ['fast_5ferf_5ffloat16_1420',['fast_erf_float16',['../namespacetvm_1_1topi.html#a4435c7d12111817c485ebe9d2a6d608b',1,'tvm::topi']]],
-  ['fast_5ferf_5ffloat32_1421',['fast_erf_float32',['../namespacetvm_1_1topi.html#a188f69f0e65e2efb4914e458db50b3d8',1,'tvm::topi']]],
-  ['fast_5ferf_5ffloat_5fexpr_1422',['fast_erf_float_expr',['../namespacetvm.html#afe37ad3331487ba271c75b973a59bb47',1,'tvm']]],
-  ['fast_5fexp_1423',['fast_exp',['../namespacetvm_1_1topi.html#aeed8d820017900935cc70877f7e327c2',1,'tvm::topi']]],
-  ['fast_5fexp_5ffloat32_1424',['fast_exp_float32',['../namespacetvm_1_1topi.html#afe4e534c675187a847bfe7a4dc61584e',1,'tvm::topi']]],
-  ['fast_5ftanh_1425',['fast_tanh',['../namespacetvm_1_1topi.html#a65fc2713d408fe0a75ff1e3fea62f7f2',1,'tvm::topi']]],
-  ['fast_5ftanh_5ffloat_1426',['fast_tanh_float',['../namespacetvm_1_1topi.html#a52d5442416a0ff2a808bf5bb99c8e8c3',1,'tvm::topi']]],
-  ['fastmath_1427',['FastMath',['../namespacetvm_1_1relay_1_1transform.html#a22870dd16693b2e3edb5fba7cb5dc3e8',1,'tvm::relay::transform']]],
-  ['fatal_1428',['Fatal',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a1107060efafaf8af3fba3ec865334946',1,'tvm::runtime::vm::Instruction::Fatal()'],['../namespacetvm_1_1runtime_1_1vm.html#a8d8d95ce8d629c7213f2f595917870eca882384ec38ce8d9582b57e70861730e4',1,'tvm::runtime::vm::Fatal()']]],
-  ['fbatchcompute_1429',['FBatchCompute',['../namespacetvm_1_1te.html#ab489f9ce52068157017e6a3cbf65c749',1,'tvm::te']]],
-  ['fbuild_1430',['FBuild',['../classtvm_1_1meta__schedule_1_1BuilderNode.html#a81a4fea9d341640a1e8049e7385bddc4',1,'tvm::meta_schedule::BuilderNode']]],
-  ['fcall_1431',['fCall',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9ea5f3d3030d2ed56e5a47329e29f12ef02',1,'tvm::relay']]],
-  ['fcallpacked_1432',['FCallPacked',['../classtvm_1_1runtime_1_1PackedFuncObj.html#ac6f4a12b41a1fbb3e23e1bb77bcc3383',1,'tvm::runtime::PackedFuncObj']]],
-  ['fclone_1433',['FClone',['../classtvm_1_1meta__schedule_1_1PyPostprocNode.html#ad06588e1be4f74029240f04f2e1b23ca',1,'tvm::meta_schedule::PyPostprocNode::FClone()'],['../classtvm_1_1meta__schedule_1_1PySpaceGeneratorNode.html#a76332462ebce398a45c41140d246017f',1,'tvm::meta_schedule::PySpaceGeneratorNode::FClone()'],['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a83da6c4f17893237322dc93cedf7dbd6',1,'tvm::meta_schedule::SpaceGenerator::FClone()'],['../classtvm_1_1meta__schedule_1 [...]
-  ['fcombine_1434',['FCombine',['../namespacetvm_1_1topi.html#a72ff2d600f5610f32a39b8b5522a4137',1,'tvm::topi']]],
-  ['fcommittuningrecord_1435',['FCommitTuningRecord',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a653d04c0c6349350489c0ea5f68563f1',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['fcommitworkload_1436',['FCommitWorkload',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a44b8d5e2721f12bdaf1a457b85f23124',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['fcommreduce_1437',['FCommReduce',['../namespacetvm_1_1topi.html#a05ddefea973989205ef8cb14fcfe6ffe',1,'tvm::topi']]],
-  ['fcompute_1438',['FCompute',['../namespacetvm_1_1te.html#a70f96c736806f87f5f795aba19ecc9d2',1,'tvm::te']]],
-  ['fcompute_1439',['fcompute',['../classtvm_1_1relay_1_1OpImplementationNode.html#abfdaa2a28cce000f920ef0c0cfed7e31',1,'tvm::relay::OpImplementationNode']]],
-  ['fconstant_1440',['fConstant',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9ea29eacc09610a7fd43026682238aae25f',1,'tvm::relay']]],
-  ['fconstructor_1441',['fConstructor',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9eaff71be930d862c312a5ae263e71167a2',1,'tvm::relay']]],
-  ['fcreate_1442',['FCreate',['../classtvm_1_1ReflectionVTable.html#ace851a8ec710f6c8ac75d202d62665f2',1,'tvm::ReflectionVTable']]],
-  ['fdeleter_1443',['FDeleter',['../classtvm_1_1runtime_1_1Object.html#a9e84841ca982bff376a978ade0132631',1,'tvm::runtime::Object']]],
-  ['fdone_1444',['FDone',['../classtvm_1_1meta__schedule_1_1RunnerFuture.html#ab43e5b1fbda5108422ff1757628ec94d',1,'tvm::meta_schedule::RunnerFuture::FDone()'],['../classtvm_1_1meta__schedule_1_1RunnerFutureNode.html#af5ff9cf0ddf9dcd5d097e597f1ffe1eb',1,'tvm::meta_schedule::RunnerFutureNode::FDone()']]],
-  ['feature_1445',['Feature',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9e',1,'tvm::relay']]],
-  ['feature_2eh_1446',['feature.h',['../auto__scheduler_2feature_8h.html',1,'(Global Namespace)'],['../relay_2feature_8h.html',1,'(Global Namespace)']]],
-  ['feature_5fcount_1447',['feature_count',['../namespacetvm_1_1relay.html#ac3a576d7d192ce78bafb169c9aa882d1',1,'tvm::relay']]],
-  ['feature_5fextractor_2eh_1448',['feature_extractor.h',['../feature__extractor_8h.html',1,'']]],
-  ['feature_5fstride_1449',['feature_stride',['../structtvm_1_1relay_1_1ProposalAttrs.html#a7d64e565f89cc66c40253d7fe3c74fad',1,'tvm::relay::ProposalAttrs']]],
-  ['featureextractor_1450',['FeatureExtractor',['../classtvm_1_1meta__schedule_1_1FeatureExtractor.html',1,'tvm::meta_schedule']]],
-  ['featureextractornode_1451',['FeatureExtractorNode',['../classtvm_1_1meta__schedule_1_1FeatureExtractorNode.html',1,'tvm::meta_schedule']]],
-  ['features_1452',['features',['../classtvm_1_1TargetNode.html#a998369eed05aa80140564c2f29742d46',1,'tvm::TargetNode']]],
-  ['featureset_1453',['FeatureSet',['../classtvm_1_1relay_1_1FeatureSet.html#a268c7544d97aeb7ef3ac19778c23b577',1,'tvm::relay::FeatureSet::FeatureSet(const FeatureSet &amp;)=default'],['../classtvm_1_1relay_1_1FeatureSet.html#a7fe9317883438c23defc65d12532387a',1,'tvm::relay::FeatureSet::FeatureSet(Feature ft)'],['../classtvm_1_1relay_1_1FeatureSet.html#a2b85e65f7b1de12a3ae87b8201427d51',1,'tvm::relay::FeatureSet::FeatureSet(const tvm::Array&lt; tvm::Integer &gt; &amp;ft)'],['../classtvm_ [...]
-  ['fexec_1454',['fexec',['../structTVMPackedFunc.html#a849631252851ec94b7970e6d2e16861e',1,'TVMPackedFunc']]],
-  ['fextractfrom_1455',['FExtractFrom',['../classtvm_1_1meta__schedule_1_1PyFeatureExtractorNode.html#a2c3241bd5c792cb6dab4347891bfd6be',1,'tvm::meta_schedule::PyFeatureExtractorNode']]],
-  ['fficlearaftermove_1456',['FFIClearAfterMove',['../classtvm_1_1runtime_1_1ObjectRef.html#a22e5bb9d64dbc773bb9263b70882239e',1,'tvm::runtime::ObjectRef']]],
-  ['ffidatafromhandle_1457',['FFIDataFromHandle',['../classtvm_1_1runtime_1_1NDArray.html#ab76ba9c638e1d6db8d6f0ba8c4d38670',1,'tvm::runtime::NDArray']]],
-  ['ffidecref_1458',['FFIDecRef',['../classtvm_1_1runtime_1_1NDArray.html#ade0e2757904f4f5ba5c667ae01793a47',1,'tvm::runtime::NDArray']]],
-  ['ffigethandle_1459',['FFIGetHandle',['../classtvm_1_1runtime_1_1NDArray.html#a141e032d848c60f8261046304bdc8c4c',1,'tvm::runtime::NDArray']]],
-  ['fforwardrewrite_1460',['FForwardRewrite',['../namespacetvm_1_1relay.html#afa27c5a3fe1590a9ffa3877f9b09de45',1,'tvm::relay']]],
-  ['ffunction_1461',['fFunction',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9ea3b367d0501427ea782137266a2fb77fa',1,'tvm::relay']]],
-  ['fgeneratedesignspace_1462',['FGenerateDesignSpace',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a58ff9be5ac87ddb2bc2dcf91b51ac9dc',1,'tvm::meta_schedule::SpaceGenerator::FGenerateDesignSpace()'],['../classtvm_1_1meta__schedule_1_1PySpaceGeneratorNode.html#ae17bdfe315a17ebaedc58b4ca4d4a464',1,'tvm::meta_schedule::PySpaceGeneratorNode::FGenerateDesignSpace()']]],
-  ['fgeneratemeasurecandidates_1463',['FGenerateMeasureCandidates',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html#af2b12dd264b8ec6e3d09d78c21063062',1,'tvm::meta_schedule::SearchStrategy::FGenerateMeasureCandidates()'],['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#af9947186f05a18b46606f288440549c0',1,'tvm::meta_schedule::PySearchStrategyNode::FGenerateMeasureCandidates()']]],
-  ['fgetalltuningrecords_1464',['FGetAllTuningRecords',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a574d90736eda21019540d4a26c155b28',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['fgettopk_1465',['FGetTopK',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#ad5e04e950cd2a63f439d95285b5674b6',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['fglobalvar_1466',['fGlobalVar',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9eae9b152650b0ebe6dbc1b42e0f84d567f',1,'tvm::relay']]],
-  ['fgraph_1467',['fGraph',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9eac6392a0ecc7df38dbfa282a54d3718d1',1,'tvm::relay']]],
-  ['fhasworkload_1468',['FHasWorkload',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#afb177ebca29227e94c3b3036b4908bad',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['fib_5fshift_5f_1469',['fib_shift_',['../classtvm_1_1runtime_1_1DenseMapNode.html#af7555a75a5dbdf2f1c1af3fd240e54e7',1,'tvm::runtime::DenseMapNode']]],
-  ['fidentity_1470',['FIdentity',['../namespacetvm_1_1topi.html#a7dd84c370a3377aec67ce83f94605df9',1,'tvm::topi']]],
-  ['field_5findex_1471',['field_index',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a623d715b7b22a5fa853e8d000a6f1ecd',1,'tvm::runtime::vm::Instruction']]],
-  ['fields_1472',['fields',['../structtvm_1_1relay_1_1ConstructorValueObj.html#a4ef262fa950a75da96814a5e539732a9',1,'tvm::relay::ConstructorValueObj::fields()'],['../classtvm_1_1relay_1_1TupleNode.html#a97f7a213817d69edaa782dbefa1e8458',1,'tvm::relay::TupleNode::fields()'],['../classtvm_1_1relay_1_1TuplePatternNode.html#abfb4c8c82c843b9bc53808e9096039ce',1,'tvm::relay::TuplePatternNode::fields()'],['../classtvm_1_1TupleTypeNode.html#a2ac43b6c2f0f90ce64e8c3a213568021',1,'tvm::TupleTypeNod [...]
-  ['fields_5f_1473',['fields_',['../classtvm_1_1detail_1_1AttrDocVisitor.html#aa32707d90cd2cf8e5334afa4fe3d4722',1,'tvm::detail::AttrDocVisitor']]],
-  ['fif_1474',['fIf',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9ea4e6b686fb15d50c4aef2316bef53a781',1,'tvm::relay']]],
-  ['fifobufferattrs_1475',['FIFOBufferAttrs',['../structtvm_1_1relay_1_1FIFOBufferAttrs.html',1,'tvm::relay']]],
-  ['filename_1476',['filename',['../classtvm_1_1auto__scheduler_1_1BuildResultNode.html#a413830d32b8f10d3a0a85ac1f719569f',1,'tvm::auto_scheduler::BuildResultNode::filename()'],['../classtvm_1_1auto__scheduler_1_1RecordToFileNode.html#a5debadfa20ad8ff19965d074253978de',1,'tvm::auto_scheduler::RecordToFileNode::filename()'],['../classtvm_1_1auto__scheduler_1_1RecordReaderNode.html#af976bdc5730038a84c7dde509f9e09e0',1,'tvm::auto_scheduler::RecordReaderNode::filename()'],['../classtvm_1_1au [...]
-  ['filter_1477',['Filter',['../namespacetvm_1_1tir_1_1transform.html#a70bda35bb43e9420c8115bb1ec977811',1,'tvm::tir::transform']]],
-  ['final_5findices_1478',['final_indices',['../classtvm_1_1tir_1_1IndexMapNode.html#a52bb8aee6c03dd37f68c3a2daded6196',1,'tvm::tir::IndexMapNode']]],
-  ['find_1479',['find',['../classtvm_1_1runtime_1_1SmallMapNode.html#aeb11bbd3d8a715fa95e4e4c213902061',1,'tvm::runtime::SmallMapNode::find()'],['../classtvm_1_1runtime_1_1MapNode.html#a9733900c9d9d1af5687b7ba32ef7f5e9',1,'tvm::runtime::MapNode::find()'],['../classtvm_1_1runtime_1_1DenseMapNode.html#a65deca60bf7d1b512b0f42b26dbdb882',1,'tvm::runtime::DenseMapNode::find()'],['../classtvm_1_1runtime_1_1Map.html#abce8c6206f11edfd3c493b843d52685f',1,'tvm::runtime::Map::find()']]],
-  ['find_5fdepth_5fheight_5fwidth_1480',['find_depth_height_width',['../namespacetvm_1_1topi_1_1nn.html#ab03974ee6b2d02a4619de1a4d0b42891',1,'tvm::topi::nn']]],
-  ['find_5fheight_5fwidth_1481',['find_height_width',['../namespacetvm_1_1topi_1_1nn.html#aec3b2a3e902d0d9c1f89d04ee8b3bcac',1,'tvm::topi::nn']]],
-  ['find_5fwidth_1482',['find_width',['../namespacetvm_1_1topi_1_1nn.html#ab678a94b9369834c1b5d24d5a4595dbf',1,'tvm::topi::nn']]],
-  ['findanchorblock_1483',['FindAnchorBlock',['../namespacetvm_1_1tir.html#a0242276905dca0e353c6817797d3fa0d',1,'tvm::tir']]],
-  ['findbestrange_1484',['FindBestRange',['../classtvm_1_1arith_1_1IntGroupBounds.html#aa3483fc05bb117933c2aca5bc81e07c8',1,'tvm::arith::IntGroupBounds']]],
-  ['findentryfunc_1485',['FindEntryFunc',['../namespacetvm_1_1tir.html#af6c64bf0c1bf0a62f8828c48fb573233',1,'tvm::tir']]],
-  ['findframe_1486',['FindFrame',['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderNode.html#ab982b59b7e5a37ba3c16d69a9e576208',1,'tvm::script::ir_builder::IRBuilderNode']]],
-  ['findprimitivetargetfordeviceorfail_1487',['FindPrimitiveTargetForDeviceOrFail',['../classtvm_1_1CompilationConfigNode.html#abb77ea27d2e8631bd69d8c24f7d3c71e',1,'tvm::CompilationConfigNode']]],
-  ['findprimitivetargetforkind_1488',['FindPrimitiveTargetForKind',['../classtvm_1_1CompilationConfigNode.html#adc9db6a7d5b198539d6a9ec7c087a6ca',1,'tvm::CompilationConfigNode']]],
-  ['finishmessage_1489',['FinishMessage',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#ae51f50c0eb8743fc4c4f3e58400a9ab1',1,'tvm::runtime::micro_rpc::Session']]],
-  ['finishpacket_1490',['FinishPacket',['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#a57f562abcde6b689ef046a06b325d80f',1,'tvm::runtime::micro_rpc::Framer']]],
-  ['finitializewithtunecontext_1491',['FInitializeWithTuneContext',['../classtvm_1_1meta__schedule_1_1Mutator.html#aef9bdcd9ecc168cccb807de472d29630',1,'tvm::meta_schedule::Mutator::FInitializeWithTuneContext()'],['../classtvm_1_1meta__schedule_1_1PyMutatorNode.html#ae8be8b4788c1a4876cdb6dd3312c16c1',1,'tvm::meta_schedule::PyMutatorNode::FInitializeWithTuneContext()'],['../classtvm_1_1meta__schedule_1_1PySpaceGeneratorNode.html#a8efb5d13f288b467219f2c86addec8e1',1,'tvm::meta_schedule::Py [...]
-  ['finstructionapply_1492',['FInstructionApply',['../namespacetvm_1_1tir.html#a6e4f9f172368158c130f70d363c2166a',1,'tvm::tir']]],
-  ['finstructionaspython_1493',['FInstructionAsPython',['../namespacetvm_1_1tir.html#ad454359868d4097a13bb0ac8f9bbafdb',1,'tvm::tir']]],
-  ['finstructionattrsasjson_1494',['FInstructionAttrsAsJSON',['../namespacetvm_1_1tir.html#aaf9b06965f943fddf71ac8140938ebf2',1,'tvm::tir']]],
-  ['finstructionattrsfromjson_1495',['FInstructionAttrsFromJSON',['../namespacetvm_1_1tir.html#a608b54f31c0d65032f3949f752f5431d',1,'tvm::tir']]],
-  ['fixedpointmultiplyattrs_1496',['FixedPointMultiplyAttrs',['../structtvm_1_1relay_1_1FixedPointMultiplyAttrs.html',1,'tvm::relay']]],
-  ['fixedpointmultiplyperaxisattrs_1497',['FixedPointMultiplyPerAxisAttrs',['../structtvm_1_1relay_1_1FixedPointMultiplyPerAxisAttrs.html',1,'tvm::relay']]],
-  ['fjoinrunningtask_1498',['FJoinRunningTask',['../classtvm_1_1meta__schedule_1_1PyTaskSchedulerNode.html#aaf6b817361ce20db3f6802007532c2e7',1,'tvm::meta_schedule::PyTaskSchedulerNode']]],
-  ['flatten_1499',['Flatten',['../classtvm_1_1tir_1_1SeqStmt.html#af3c486ea5fe09de5f257d88f7d92684b',1,'tvm::tir::SeqStmt']]],
-  ['flatten_1500',['flatten',['../namespacetvm_1_1topi_1_1nn.html#a6a553de73860c1a295d6ee566a3916b4',1,'tvm::topi::nn']]],
-  ['flatten_2eh_1501',['flatten.h',['../flatten_8h.html',1,'']]],
-  ['flatten_5fdata_1502',['flatten_data',['../structTVMOpParam.html#a3c6194fcb1b8472a878c7520cfa608b5',1,'TVMOpParam']]],
-  ['flattenatrousconv_1503',['FlattenAtrousConv',['../namespacetvm_1_1relay_1_1transform.html#af719f05ee653ea465589a38747b35e22',1,'tvm::relay::transform']]],
-  ['flattenbuffer_1504',['FlattenBuffer',['../namespacetvm_1_1tir_1_1transform.html#a3acf607d0e759472ac47845b7206f276',1,'tvm::tir::transform']]],
-  ['flattener_1505',['Flattener',['../classtvm_1_1tir_1_1SeqStmt_1_1Flattener.html#a0486472a502952e60fee47e4be1a0b7b',1,'tvm::tir::SeqStmt::Flattener::Flattener()'],['../classtvm_1_1tir_1_1SeqStmt_1_1Flattener.html',1,'tvm::tir::SeqStmt::Flattener']]],
-  ['flattentupletype_1506',['FlattenTupleType',['../namespacetvm_1_1relay.html#a91168c24ecbf1cbf719d2ba28841da5c',1,'tvm::relay']]],
-  ['flegalize_1507',['FLegalize',['../namespacetvm_1_1tir.html#a7201c13348fb1712dad89521f7eeab50',1,'tvm::tir']]],
-  ['flet_1508',['fLet',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9ea07ce432e7b4b4c044af03e4dbd210ba4',1,'tvm::relay']]],
-  ['fletrec_1509',['fLetRec',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9eaff508e399703958af46f99ee8eb7d1a5',1,'tvm::relay']]],
-  ['fload_1510',['FLoad',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#ada268142aad958ddd7f9e3e9c6644a49',1,'tvm::meta_schedule::PyCostModelNode']]],
-  ['float_1511',['Float',['../classtvm_1_1runtime_1_1DataType.html#a237a714a6a16e14aa01fa4ac52426551',1,'tvm::runtime::DataType::Float()'],['../classtvm_1_1script_1_1printer_1_1LiteralDoc.html#a1a90c18a43f22d5c2eefe5be0c48b91b',1,'tvm::script::printer::LiteralDoc::Float()']]],
-  ['float16_1512',['Float16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ab878e27ae0a3913e1d58948d47cc3818',1,'tvm::script::ir_builder::tir']]],
-  ['float16x16_1513',['Float16x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a84ffb192123d18c7aeb22f0072847d43',1,'tvm::script::ir_builder::tir']]],
-  ['float16x32_1514',['Float16x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a6970dcedb53382eb2de268867c9a1b69',1,'tvm::script::ir_builder::tir']]],
-  ['float16x4_1515',['Float16x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a5cb22dc9a696dba7cb66574ef0e253ce',1,'tvm::script::ir_builder::tir']]],
-  ['float16x64_1516',['Float16x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a741dd7d66effeba15af9b539561b2ded',1,'tvm::script::ir_builder::tir']]],
-  ['float16x8_1517',['Float16x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a037c836af7ac994e2ffe76300ed6e1b7',1,'tvm::script::ir_builder::tir']]],
-  ['float32_1518',['Float32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ad0b06e1dabf3f744a991164800d50a0a',1,'tvm::script::ir_builder::tir']]],
-  ['float32x16_1519',['Float32x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#abfc76052bf4e687fb91c903cb97dcd83',1,'tvm::script::ir_builder::tir']]],
-  ['float32x32_1520',['Float32x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a23c31f9b9908cc3c016592111f5d5860',1,'tvm::script::ir_builder::tir']]],
-  ['float32x4_1521',['Float32x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ab61768a121397418c43f7d4170c0c5da',1,'tvm::script::ir_builder::tir']]],
-  ['float32x64_1522',['Float32x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#af101d8c641d0f61ce35974d5fe3ef00a',1,'tvm::script::ir_builder::tir']]],
-  ['float32x8_1523',['Float32x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ab88e3bd2be02f2be5805c4cd5e0bf620',1,'tvm::script::ir_builder::tir']]],
-  ['float64_1524',['Float64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a9f5da5cec8dae24b9c7f94cbfd9ff9ca',1,'tvm::script::ir_builder::tir']]],
-  ['float64x16_1525',['Float64x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a71b08acd9bf3b1eb73f83b4edd5a5182',1,'tvm::script::ir_builder::tir']]],
-  ['float64x32_1526',['Float64x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ab0f70432f1d4056ab3a434e3259b3e9e',1,'tvm::script::ir_builder::tir']]],
-  ['float64x4_1527',['Float64x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ae86a4246835fe2a1356a0ce18fc7a9e0',1,'tvm::script::ir_builder::tir']]],
-  ['float64x64_1528',['Float64x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#aad6a7dc76ebbdcdb5eed91efc07fbff8',1,'tvm::script::ir_builder::tir']]],
-  ['float64x8_1529',['Float64x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a4022cf159b063cb6671f0331ae33792a',1,'tvm::script::ir_builder::tir']]],
-  ['float8_1530',['Float8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a0b31ddab3910ef43268f150db4e2266c',1,'tvm::script::ir_builder::tir']]],
-  ['float8x16_1531',['Float8x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a6735673e92f3697be33f5cf311c06f9d',1,'tvm::script::ir_builder::tir']]],
-  ['float8x32_1532',['Float8x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a98a7d7a7f408fec2091af9d2195c655d',1,'tvm::script::ir_builder::tir']]],
-  ['float8x4_1533',['Float8x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a2e3565ed4e1713896da4ee27b3317757',1,'tvm::script::ir_builder::tir']]],
-  ['float8x64_1534',['Float8x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a8cff6e0d907d3c4c19fea0a88e9bedf0',1,'tvm::script::ir_builder::tir']]],
-  ['float8x8_1535',['Float8x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#aedf5c6024355c112045906632353bcfa',1,'tvm::script::ir_builder::tir']]],
-  ['float_5fdtype_1536',['float_dtype',['../classtvm_1_1PrinterConfigNode.html#ac448fdfedc5307fdd0fd7c63601398c6',1,'tvm::PrinterConfigNode']]],
-  ['floatimm_1537',['FloatImm',['../classtvm_1_1FloatImm.html#a8af3190ff842b60c10cd6942093daf09',1,'tvm::FloatImm::FloatImm()'],['../classtvm_1_1FloatImm.html',1,'tvm::FloatImm']]],
-  ['floatimmnode_1538',['FloatImmNode',['../namespacetvm_1_1tir.html#adb123be81447d2871f4c716ce2d1dc00',1,'tvm::tir::FloatImmNode()'],['../classtvm_1_1FloatImmNode.html',1,'tvm::FloatImmNode']]],
-  ['floor_1539',['floor',['../namespacetvm_1_1topi.html#a25a91afb87b3b708c7de945afb9a6e69',1,'tvm::topi::floor()'],['../namespacetvm.html#aaff65dde3044433b2220677aedf4855f',1,'tvm::floor()']]],
-  ['floor_5fdivide_1540',['floor_divide',['../namespacetvm_1_1topi.html#af0db5104dd5122151e0de3aaa35c43d7',1,'tvm::topi::floor_divide(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;floor_divide&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#a634cf638659b16d0d8e70f7e6b2f7b98',1,'tvm::topi::floor_divide(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B, std::string name=&quot;T_&quot; &quot;floor_divide&quot;, s [...]
-  ['floor_5fmod_1541',['floor_mod',['../namespacetvm_1_1topi.html#a570eec3c86e45d5722249d869db39c83',1,'tvm::topi::floor_mod(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B, std::string name=&quot;T_&quot; &quot;floor_mod&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#a093080eceeaae43a605b8c4e638c9471',1,'tvm::topi::floor_mod(const tvm::PrimExpr &amp;a, const tvm::PrimExpr &amp;b)'],['../namespacetvm_1_1topi.html#a1296f02c707cc93648bee68d473d26aa',1,'tvm:: [...]
-  ['floordiv_1542',['floordiv',['../namespacetvm.html#af347f10e3572adb2d74ba4a53777db2b',1,'tvm::floordiv(PrimExpr a, PrimExpr b, Span span=Span())'],['../namespacetvm.html#a435a9df348bdb72e60bfe4ce410dcc58',1,'tvm::floordiv(const PrimExpr &amp;a, int b, Span span=Span())'],['../namespacetvm.html#a87200564215339b900ca546678fc71a4',1,'tvm::floordiv(int a, const PrimExpr &amp;b, Span span=Span())']]],
-  ['floordiv_1543',['FloorDiv',['../classtvm_1_1tir_1_1FloorDiv.html#a27cbcdbe68d85c4516b81ae40a75aa2c',1,'tvm::tir::FloorDiv::FloorDiv()'],['../classtvm_1_1tir_1_1FloorDiv.html',1,'tvm::tir::FloorDiv']]],
-  ['floordivnode_1544',['FloorDivNode',['../classtvm_1_1tir_1_1FloorDivNode.html',1,'tvm::tir']]],
-  ['floormod_1545',['floormod',['../namespacetvm.html#a8683adb542beba8ecc69354e50d62ef6',1,'tvm::floormod(PrimExpr a, PrimExpr b, Span span=Span())'],['../namespacetvm.html#a964496f2d1c20c65f758f46a544d9980',1,'tvm::floormod(const PrimExpr &amp;a, int b, Span span=Span())'],['../namespacetvm.html#a93ac0e2663a2169194399b57ae39b003',1,'tvm::floormod(int a, const PrimExpr &amp;b, Span span=Span())']]],
-  ['floormod_1546',['FloorMod',['../classtvm_1_1tir_1_1FloorMod.html#af427653aae590a9b582c4de9efa9c6b0',1,'tvm::tir::FloorMod::FloorMod()'],['../classtvm_1_1tir_1_1FloorMod.html',1,'tvm::tir::FloorMod']]],
-  ['floormodnode_1547',['FloorModNode',['../classtvm_1_1tir_1_1FloorModNode.html',1,'tvm::tir']]],
-  ['flop_1548',['flop',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html#a1d6ed911965fa491d48236bd7b11acee',1,'tvm::meta_schedule::TaskRecordNode']]],
-  ['flop_5fct_1549',['flop_ct',['../classtvm_1_1auto__scheduler_1_1ComputeDAGNode.html#a17eac4bdf78fc87e94fbebd2d4a24916',1,'tvm::auto_scheduler::ComputeDAGNode']]],
-  ['flowerintrinsic_1550',['FLowerIntrinsic',['../namespacetvm_1_1tir.html#a2b294c10c119c32ae3007ad3bfd48af6',1,'tvm::tir']]],
-  ['fma_1551',['fma',['../namespacetvm_1_1tir_1_1builtin.html#a1e15b04fe89f7899e09e528946aa5bb4',1,'tvm::tir::builtin']]],
-  ['fmakeforloop_1552',['FMakeForLoop',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ForFrameNode.html#ac1cc4fab38897e8e0b00727013a14468',1,'tvm::script::ir_builder::tir::ForFrameNode']]],
-  ['fmatch_1553',['fMatch',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9ea53a8eba57c4a3abd37b4c96fb98bf61b',1,'tvm::relay']]],
-  ['fnexttaskid_1554',['FNextTaskId',['../classtvm_1_1meta__schedule_1_1PyTaskSchedulerNode.html#aea910ba4ad650db1fbfdd6bc7892ab0c',1,'tvm::meta_schedule::PyTaskSchedulerNode']]],
-  ['fnotifyrunnerresults_1555',['FNotifyRunnerResults',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html#a17f3a6df35189772ed9a0064989087bb',1,'tvm::meta_schedule::SearchStrategy::FNotifyRunnerResults()'],['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#a60ea97c4f13adac0c4add071e0142996',1,'tvm::meta_schedule::PySearchStrategyNode::FNotifyRunnerResults()']]],
-  ['foldconstant_1556',['FoldConstant',['../namespacetvm_1_1relay_1_1transform.html#a0d6983a69fe1e802a7878279e265de37',1,'tvm::relay::transform']]],
-  ['foldl_1557',['foldl',['../namespacetvm_1_1tir.html#a6454dd89e85fc29a7e3b8620df90a6f6',1,'tvm::tir']]],
-  ['foldscaleaxis_1558',['FoldScaleAxis',['../namespacetvm_1_1relay_1_1transform.html#a73946a29efe8cf6f186a681296c8e943',1,'tvm::relay::transform']]],
-  ['follow_5ffused_5fsplit_1559',['follow_fused_split',['../classtvm_1_1auto__scheduler_1_1State.html#a26d72cbcaa97f157076e98ed30a9f477',1,'tvm::auto_scheduler::State']]],
-  ['follow_5fsplit_1560',['follow_split',['../classtvm_1_1auto__scheduler_1_1State.html#a3cd6d26db25a42427d6fb51b3717effc',1,'tvm::auto_scheduler::State']]],
-  ['followfusedsplitstep_1561',['FollowFusedSplitStep',['../classtvm_1_1auto__scheduler_1_1FollowFusedSplitStep.html#a14a76fac3e871cbb16e1c63c543a445f',1,'tvm::auto_scheduler::FollowFusedSplitStep::FollowFusedSplitStep(int stage_id, int iter_id, const Array&lt; Integer &gt; &amp;src_step_ids, int level, bool factor_or_nparts)'],['../classtvm_1_1auto__scheduler_1_1FollowFusedSplitStep.html#a2bfadae4b32c30ec9e9ba52b0c88d9aa',1,'tvm::auto_scheduler::FollowFusedSplitStep::FollowFusedSplitSte [...]
-  ['followfusedsplitstepnode_1562',['FollowFusedSplitStepNode',['../classtvm_1_1auto__scheduler_1_1FollowFusedSplitStepNode.html',1,'tvm::auto_scheduler']]],
-  ['followsplitstep_1563',['FollowSplitStep',['../classtvm_1_1auto__scheduler_1_1FollowSplitStep.html#a60e0e081cf7e367d844163e7c2dcd768',1,'tvm::auto_scheduler::FollowSplitStep::FollowSplitStep(int stage_id, int iter_id, int src_step_id, int n_split)'],['../classtvm_1_1auto__scheduler_1_1FollowSplitStep.html#aac499edd3aeab3a587fa4e5fe68376fd',1,'tvm::auto_scheduler::FollowSplitStep::FollowSplitStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1FollowSplitStep.html',1,'t [...]
-  ['followsplitstepnode_1564',['FollowSplitStepNode',['../classtvm_1_1auto__scheduler_1_1FollowSplitStepNode.html',1,'tvm::auto_scheduler']]],
-  ['fop_1565',['fOp',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9eaca21bd0f67c01c6f7b82a1596cdfdf8b',1,'tvm::relay']]],
-  ['for_1566',['For',['../classtvm_1_1tir_1_1For.html#a4477f9ade7063b91452e08377a671327',1,'tvm::tir::For::For()'],['../classtvm_1_1tir_1_1For.html',1,'tvm::tir::For']]],
-  ['force_5fsuppress_1567',['force_suppress',['../structtvm_1_1relay_1_1NonMaximumSuppressionAttrs.html#afe99a1c828b06460ea747ce859a44bdd',1,'tvm::relay::NonMaximumSuppressionAttrs']]],
-  ['fordevice_1568',['ForDevice',['../classtvm_1_1VirtualDevice.html#a9712f748d0d6671ced9be72f5e11d492',1,'tvm::VirtualDevice']]],
-  ['fordeviceandtarget_1569',['ForDeviceAndTarget',['../classtvm_1_1VirtualDevice.html#a859ffef73cabbfa3e886ff05e412d6ba',1,'tvm::VirtualDevice']]],
-  ['fordevicetargetandmemoryscope_1570',['ForDeviceTargetAndMemoryScope',['../classtvm_1_1VirtualDevice.html#a614100428d3bf53047d1031094342fb0',1,'tvm::VirtualDevice']]],
-  ['fordevicetype_1571',['ForDeviceType',['../classtvm_1_1VirtualDevice.html#aa0313c47bcd457d62c4b83f868dbc7e5',1,'tvm::VirtualDevice::ForDeviceType(DLDeviceType device_type, int virtual_device_id=-1)'],['../classtvm_1_1VirtualDevice.html#ae240fa7f595b80bd3283cbea90a2950e',1,'tvm::VirtualDevice::ForDeviceType(int device_type, int virtual_device_id=-1)'],['../classtvm_1_1VirtualDevice.html#a9df9f6087f19578f1b17f35e052f7631',1,'tvm::VirtualDevice::ForDeviceType(const Integer &amp;device_ty [...]
-  ['fordoc_1572',['ForDoc',['../classtvm_1_1script_1_1printer_1_1ForDoc.html#ae6b8e6e0104946cca00855dde5157d30',1,'tvm::script::printer::ForDoc::ForDoc()'],['../classtvm_1_1script_1_1printer_1_1ForDoc.html',1,'tvm::script::printer::ForDoc']]],
-  ['fordocnode_1573',['ForDocNode',['../classtvm_1_1script_1_1printer_1_1ForDocNode.html',1,'tvm::script::printer']]],
-  ['forframe_1574',['ForFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ForFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['forframenode_1575',['ForFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ForFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['forkind_1576',['ForKind',['../namespacetvm_1_1tir.html#a9f59694e9c3912cc5e80654ddbc1e40a',1,'tvm::tir']]],
-  ['forkind2string_1577',['ForKind2String',['../namespacetvm_1_1tir.html#a03c36414c1be2960099e023ffba09f6e',1,'tvm::tir']]],
-  ['forkseed_1578',['ForkSeed',['../classtvm_1_1tir_1_1ScheduleNode.html#a9681c9b2a0d93e413cdec2caad7e74e8',1,'tvm::tir::ScheduleNode::ForkSeed()'],['../classtvm_1_1support_1_1LinearCongruentialEngine.html#a09dc8de61f7713dbf558047fa5271e90',1,'tvm::support::LinearCongruentialEngine::ForkSeed()']]],
-  ['formemoryscope_1579',['ForMemoryScope',['../classtvm_1_1VirtualDevice.html#a6d818740fcd130202a36aa289bd3e7da',1,'tvm::VirtualDevice']]],
-  ['fornode_1580',['ForNode',['../classtvm_1_1tir_1_1ForNode.html',1,'tvm::tir']]],
-  ['fortarget_1581',['ForTarget',['../classtvm_1_1VirtualDevice.html#afd61f3e9bdba41793aff8644836c2002',1,'tvm::VirtualDevice']]],
-  ['forward_5ftransformation_1582',['forward_transformation',['../classtvm_1_1te_1_1TransformNode.html#a2480d6a3523a2b84f4482697b9cc7d91',1,'tvm::te::TransformNode']]],
-  ['forwardfoldscaleaxis_1583',['ForwardFoldScaleAxis',['../namespacetvm_1_1relay_1_1transform.html#aab7f62610e05ed0211f17d452ee37f5f',1,'tvm::relay::transform']]],
-  ['forwardindex_1584',['ForwardIndex',['../classtvm_1_1tir_1_1BijectiveLayout.html#af348a9f8916a239726b3b831858613c9',1,'tvm::tir::BijectiveLayout']]],
-  ['forwardrewrite_1585',['ForwardRewrite',['../namespacetvm_1_1relay.html#ae6b8ec762f81290872d611c40cc72458',1,'tvm::relay::ForwardRewrite(const Expr &amp;expr, const FForwardRewrite &amp;rewrite_func, std::function&lt; ObjectRef(const Call &amp;)&gt; fcontext=nullptr, std::function&lt; Expr(const Expr &amp;)&gt; fmulti_ref_trigger=nullptr)'],['../namespacetvm_1_1relay.html#a01b761b2455ca384e5b81100069ef9a3',1,'tvm::relay::ForwardRewrite(const Expr &amp;expr, const String &amp;rewrite_m [...]
-  ['forwardshape_1586',['ForwardShape',['../classtvm_1_1tir_1_1BijectiveLayout.html#a3dcf99010d7056b1e57e839b1afa2f98',1,'tvm::tir::BijectiveLayout']]],
-  ['fp8computelegalize_1587',['FP8ComputeLegalize',['../namespacetvm_1_1tir_1_1transform.html#a4993797f3733c2cf23729d50ab9c02ee',1,'tvm::tir::transform']]],
-  ['fp8storagelegalize_1588',['FP8StorageLegalize',['../namespacetvm_1_1tir_1_1transform.html#a5c3e5d2c86754e19989125064122da0e',1,'tvm::tir::transform']]],
-  ['fposttuning_1589',['FPostTuning',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html#a0196d9658d1ce5a422857bb97ee947e1',1,'tvm::meta_schedule::SearchStrategy::FPostTuning()'],['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#a9964e33b0e4da38f2a3e91f00d15c3ae',1,'tvm::meta_schedule::PySearchStrategyNode::FPostTuning()']]],
-  ['fpredict_1590',['FPredict',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#ac5640a7bb280e0f29c342577709bafda',1,'tvm::meta_schedule::PyCostModelNode']]],
-  ['fpretuning_1591',['FPreTuning',['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#a6d6e3bf9fad98eaf491eed75331f9e37',1,'tvm::meta_schedule::PySearchStrategyNode::FPreTuning()'],['../classtvm_1_1meta__schedule_1_1SearchStrategy.html#abf749b4e042484be87304acf2e0c831f',1,'tvm::meta_schedule::SearchStrategy::FPreTuning()']]],
-  ['fprimalgradient_1592',['FPrimalGradient',['../namespacetvm_1_1relay.html#a9abada45a4f21ae750c1caba923f1059',1,'tvm::relay']]],
-  ['fqueryirmodule_1593',['FQueryIRModule',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a713ae7e8634c0aedc366dffda2c899df',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['fqueryschedule_1594',['FQuerySchedule',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a16c17595db4a845b3511d6d7fa0f741d',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['fquerytuningrecord_1595',['FQueryTuningRecord',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#acd7fb3619d530c0ae85fb1d6e94f6e7d',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['fragment_5flayout_1596',['fragment_layout',['../namespacetvm_1_1tir_1_1attr.html#a9b33cc65f756817e691b49782ee103bd',1,'tvm::tir::attr']]],
-  ['fragment_5fshape_1597',['fragment_shape',['../namespacetvm_1_1tir_1_1attr.html#a93d76d80fd7252d66991dc650693c0ef',1,'tvm::tir::attr']]],
-  ['frame_1598',['Frame',['../classtvm_1_1script_1_1printer_1_1Frame.html#a68e9e5bcdc7c033e026fcf2f5bc00c41',1,'tvm::script::printer::Frame::Frame()'],['../classtvm_1_1script_1_1printer_1_1Frame.html',1,'tvm::script::printer::Frame']]],
-  ['frame_2eh_1599',['frame.h',['../ir_2frame_8h.html',1,'(Global Namespace)'],['../tir_2frame_8h.html',1,'(Global Namespace)']]],
-  ['frame_5fbuffer_2eh_1600',['frame_buffer.h',['../frame__buffer_8h.html',1,'']]],
-  ['framebuffer_1601',['FrameBuffer',['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#ab9a2ef141c8447bacedd6183bca25fa7',1,'tvm::runtime::micro_rpc::FrameBuffer::FrameBuffer()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html',1,'tvm::runtime::micro_rpc::FrameBuffer']]],
-  ['framenode_1602',['FrameNode',['../classtvm_1_1script_1_1printer_1_1FrameNode.html',1,'tvm::script::printer']]],
-  ['framer_1603',['Framer',['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#ae176a46a660088a34a3334883d0d3a0e',1,'tvm::runtime::micro_rpc::Framer::Framer()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html',1,'tvm::runtime::micro_rpc::Framer']]],
-  ['frames_1604',['frames',['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderNode.html#af189985143a48d9ab31addfe3734b877',1,'tvm::script::ir_builder::IRBuilderNode::frames()'],['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#a9a7d03d50540d3dd488a80975839f17d',1,'tvm::script::printer::IRDocsifierNode::frames()']]],
-  ['frames_5f_1605',['frames_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a4a268dec17fdd0942fe7dce78974783f',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['framing_2eh_1606',['framing.h',['../framing_8h.html',1,'']]],
-  ['freduce_1607',['FReduce',['../namespacetvm_1_1topi.html#af0e52ef3c0d8e11bf493d5163033cd0d',1,'tvm::topi']]],
-  ['free_1608',['Free',['../classtvm_1_1runtime_1_1vm_1_1Allocator.html#a76aebceaf7cd00639d193ebc6ce51eb3',1,'tvm::runtime::vm::Allocator::Free()'],['../structMemoryManagerInterface.html#af3f3f69ae8896ce0632a59cbe7632c91',1,'MemoryManagerInterface::Free()']]],
-  ['free_5fvars_1609',['free_vars',['../classtvm_1_1runtime_1_1vm_1_1VMClosureObj.html#ab16d6772f7b61f37b47ee7aa30aaa4cd',1,'tvm::runtime::vm::VMClosureObj::free_vars()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a720b762553a301b526d66042afcd5ca3',1,'tvm::runtime::vm::Instruction::free_vars()']]],
-  ['freedataspace_1610',['FreeDataSpace',['../classtvm_1_1runtime_1_1DeviceAPI.html#ad70db606083da552fa9db14c5fbf9f30',1,'tvm::runtime::DeviceAPI']]],
-  ['freestream_1611',['FreeStream',['../classtvm_1_1runtime_1_1DeviceAPI.html#a5d39383050072f605ad8ac0730e152af',1,'tvm::runtime::DeviceAPI']]],
-  ['freetypevars_1612',['FreeTypeVars',['../namespacetvm_1_1relay.html#a446e2b12f3e907336313004c3e0a0c86',1,'tvm::relay::FreeTypeVars(const Type &amp;t, const IRModule &amp;mod)'],['../namespacetvm_1_1relay.html#acc529cca9623ac81c8aa6e106cdb5850',1,'tvm::relay::FreeTypeVars(const Expr &amp;expr, const IRModule &amp;mod)']]],
-  ['freevarequalimpl_1613',['FreeVarEqualImpl',['../classtvm_1_1SEqualReducer.html#ad9c0e2985eb9d82eecc67ea0f008154c',1,'tvm::SEqualReducer']]],
-  ['freevarhashimpl_1614',['FreeVarHashImpl',['../classtvm_1_1SHashReducer.html#a6cab7ab9b4adbab253001be6d3b4cdfe',1,'tvm::SHashReducer']]],
-  ['freevars_1615',['FreeVars',['../namespacetvm_1_1relay.html#af2c5771c67f06c0af32c7b4b4269e73f',1,'tvm::relay']]],
-  ['freeworkspace_1616',['FreeWorkspace',['../classtvm_1_1runtime_1_1DeviceAPI.html#a40082d21d55f24bb43556b359d7a54bc',1,'tvm::runtime::DeviceAPI']]],
-  ['frefcreate_1617',['fRefCreate',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9ea46daa677c87c05e68258acea3579443d',1,'tvm::relay']]],
-  ['frefread_1618',['fRefRead',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9ea7c9e5e3d738c26c3ed34099f44f66251',1,'tvm::relay']]],
-  ['frefwrite_1619',['fRefWrite',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9ea4737da29588504f7f31cf4fb52925d63',1,'tvm::relay']]],
-  ['freprbytes_1620',['FReprBytes',['../classtvm_1_1ReflectionVTable.html#aa2daafb800ea82c05b325383b986218b',1,'tvm::ReflectionVTable']]],
-  ['freshglobal_1621',['FreshGlobal',['../classtvm_1_1GlobalVarSupplyNode.html#abfd807e6d6e280211aa5aec67ca010ab',1,'tvm::GlobalVarSupplyNode']]],
-  ['freshname_1622',['FreshName',['../classtvm_1_1NameSupplyNode.html#ab3b95f11ee4e5ef9dd80500b3841006e',1,'tvm::NameSupplyNode']]],
-  ['fresult_1623',['FResult',['../classtvm_1_1meta__schedule_1_1RunnerFutureNode.html#a55e31fd35b2925bb92d5a37c28c77ff7',1,'tvm::meta_schedule::RunnerFutureNode::FResult()'],['../classtvm_1_1meta__schedule_1_1RunnerFuture.html#ad30084ce683feb2105b555bc3fee34eb',1,'tvm::meta_schedule::RunnerFuture::FResult()']]],
-  ['from_1624',['From',['../structtvm_1_1runtime_1_1PackedFuncValueConverter_3_1_1tvm_1_1runtime_1_1String_01_4.html#a1d57124f26aeed2583d3ae24226d89c7',1,'tvm::runtime::PackedFuncValueConverter&lt;::tvm::runtime::String &gt;']]],
-  ['from_1625',['from',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a50da0d506e9a2bffeaf75c702cf2cc33',1,'tvm::runtime::vm::Instruction']]],
-  ['from_1626',['From',['../structtvm_1_1runtime_1_1PackedFuncValueConverter_3_01Optional_3_01T_01_4_01_4.html#a621ed59fef92109e666150923621379c',1,'tvm::runtime::PackedFuncValueConverter&lt; Optional&lt; T &gt; &gt;::From(const TVMRetValue &amp;val)'],['../structtvm_1_1runtime_1_1PackedFuncValueConverter_3_01Optional_3_01T_01_4_01_4.html#a6748e04a16945df4c15edb53d0aaba70',1,'tvm::runtime::PackedFuncValueConverter&lt; Optional&lt; T &gt; &gt;::From(const TVMArgValue &amp;val)'],['../stru [...]
-  ['fromdlpack_1627',['FromDLPack',['../classtvm_1_1runtime_1_1NDArray.html#abec485628a0ca451b668c42fd8fa691a',1,'tvm::runtime::NDArray']]],
-  ['fromentryfunc_1628',['FromEntryFunc',['../classtvm_1_1meta__schedule_1_1ArgInfo.html#a40a6c87410c0f4d2dd6cac805d6a8d96',1,'tvm::meta_schedule::ArgInfo']]],
-  ['fromexpr_1629',['FromExpr',['../classtvm_1_1IRModule.html#a59099426f65dbeac227e51f8864e322a',1,'tvm::IRModule']]],
-  ['fromexprincontext_1630',['FromExprInContext',['../classtvm_1_1IRModule.html#a1cc91fc2b2adaca5a4dcfc14baf28c27',1,'tvm::IRModule']]],
-  ['fromexternaldltensor_1631',['FromExternalDLTensor',['../classtvm_1_1runtime_1_1NDArray.html#a356d1886b24da68c35a0d0b826c9359e',1,'tvm::runtime::NDArray']]],
-  ['fromfunc_1632',['FromFunc',['../classtvm_1_1tir_1_1IndexMap.html#a63449738b74100b1789711f7b532bb70',1,'tvm::tir::IndexMap']]],
-  ['fromjson_1633',['FromJSON',['../classtvm_1_1runtime_1_1profiling_1_1Report.html#ab3d27578acc3ca2670dbc8be5b2aa010',1,'tvm::runtime::profiling::Report::FromJSON()'],['../classtvm_1_1meta__schedule_1_1TuningRecord.html#aabec8835c7178c808063334d0495acb6',1,'tvm::meta_schedule::TuningRecord::FromJSON()'],['../classtvm_1_1meta__schedule_1_1Workload.html#a1c3076818c9a20d8e7c675a8ce58f8f3',1,'tvm::meta_schedule::Workload::FromJSON()'],['../classtvm_1_1meta__schedule_1_1TensorInfo.html#a1d41 [...]
-  ['fromminextent_1634',['FromMinExtent',['../classtvm_1_1Range.html#a91e7301ca1d135ca5f8ed199efbb9818',1,'tvm::Range::FromMinExtent()'],['../classtvm_1_1arith_1_1IntSet.html#a5eaf5c75ebfc33cf04373bc2d0071465',1,'tvm::arith::IntSet::FromMinExtent()']]],
-  ['frompoint_1635',['FromPoint',['../classtvm_1_1tir_1_1BufferRegion.html#a7030343c6993ddf4695c252f1625925f',1,'tvm::tir::BufferRegion']]],
-  ['fromprimfunc_1636',['FromPrimFunc',['../classtvm_1_1meta__schedule_1_1ArgInfo.html#a8e209e6c0e4bac05666a2efa006968bd',1,'tvm::meta_schedule::ArgInfo']]],
-  ['fromrange_1637',['FromRange',['../classtvm_1_1arith_1_1IntSet.html#acb77679b78620ce77c2e0e2e960e430e',1,'tvm::arith::IntSet::FromRange()'],['../classtvm_1_1arith_1_1IntGroupBounds.html#a1ada3993bd9b6500ccd95d97a5c9f17b',1,'tvm::arith::IntGroupBounds::FromRange()']]],
-  ['fromstd_1638',['FromStd',['../classtvm_1_1runtime_1_1ShapeTupleObj_1_1FromStd.html#a0d2b951bd11a350c88a61c5c7947f9eb',1,'tvm::runtime::ShapeTupleObj::FromStd::FromStd()'],['../classtvm_1_1runtime_1_1StringObj_1_1FromStd.html#ae16447bf3dfb1874dc8e04633ba820b4',1,'tvm::runtime::StringObj::FromStd::FromStd()'],['../classtvm_1_1runtime_1_1ShapeTupleObj_1_1FromStd.html',1,'tvm::runtime::ShapeTupleObj::FromStd'],['../classtvm_1_1runtime_1_1StringObj_1_1FromStd.html',1,'tvm::runtime::String [...]
-  ['fromstring_1639',['FromString',['../structtvm_1_1topi_1_1EinsumEquation.html#a06211769352aefd721102ff3c6afd292',1,'tvm::topi::EinsumEquation']]],
-  ['fromtext_1640',['FromText',['../classtvm_1_1IRModule.html#a22d13733921fac7b623defb760903628',1,'tvm::IRModule']]],
-  ['fromtupletype_1641',['FromTupleType',['../namespacetvm_1_1relay.html#aef91b70db1053b9672eafe401abb7304',1,'tvm::relay']]],
-  ['front_1642',['front',['../classtvm_1_1runtime_1_1Array.html#a4b76dbe39fd58a3160cd898378a02469',1,'tvm::runtime::Array::front()'],['../classtvm_1_1runtime_1_1ShapeTuple.html#aee1ba87b56fa5fa142fb4065a2375b6e',1,'tvm::runtime::ShapeTuple::front()']]],
-  ['frun_1643',['FRun',['../classtvm_1_1meta__schedule_1_1RunnerNode.html#ae50b204ccaec150fbdfe49b822dc3b0c',1,'tvm::meta_schedule::RunnerNode::FRun()'],['../classtvm_1_1meta__schedule_1_1Runner.html#af69793c0234fa28990428edadb318105',1,'tvm::meta_schedule::Runner::FRun()']]],
-  ['fsave_1644',['FSave',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#aaf7255e257660c5ca38fdd17f092f905',1,'tvm::meta_schedule::PyCostModelNode']]],
-  ['fschedule_1645',['fschedule',['../classtvm_1_1relay_1_1OpImplementationNode.html#a53fd916957cb15e070d736d12d8ced62',1,'tvm::relay::OpImplementationNode']]],
-  ['fsequalreduce_1646',['FSEqualReduce',['../classtvm_1_1ReflectionVTable.html#a656516c928f74d7b6345abd8c8f04358',1,'tvm::ReflectionVTable']]],
-  ['fshapefunc_1647',['FShapeFunc',['../namespacetvm_1_1relay.html#afeda9240bb23d0092992928c6d141729',1,'tvm::relay']]],
-  ['fshashreduce_1648',['FSHashReduce',['../classtvm_1_1ReflectionVTable.html#a08566817a33d96cd486a780afe88aad1',1,'tvm::ReflectionVTable']]],
-  ['fsig_1649',['FSig',['../namespacetvm_1_1runtime.html#a28022a2dc86007a65b24b8c41e0c7da3',1,'tvm::runtime']]],
-  ['fsize_1650',['FSize',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a34efc3d18473d179b13332abe5c63324',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['ftracedecisionprovider_1651',['FTraceDecisionProvider',['../namespacetvm_1_1tir.html#a75918aeef1136f9d6308556902d5bcae',1,'tvm::tir']]],
-  ['ftune_1652',['FTune',['../classtvm_1_1meta__schedule_1_1PyTaskSchedulerNode.html#aa6f4e078c5ad39bce2fa09fb308eca30',1,'tvm::meta_schedule::PyTaskSchedulerNode']]],
-  ['ftuple_1653',['fTuple',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9eaa2fe12a180d97822c440e169dc287e66',1,'tvm::relay']]],
-  ['ftuplegetitem_1654',['fTupleGetItem',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9ea4d2cb67f2e197880e0971286f2ffb498',1,'tvm::relay']]],
-  ['ftvmalteroplayout_1655',['FTVMAlterOpLayout',['../namespacetvm_1_1relay.html#a37fc035745cb16c86912e1c4155460d6',1,'tvm::relay']]],
-  ['ftvmannotatetarget_1656',['FTVMAnnotateTarget',['../namespacetvm_1_1relay.html#a01c78601c3f13f31032b68ef27b528b1',1,'tvm::relay']]],
-  ['ftvmcompute_1657',['FTVMCompute',['../namespacetvm_1_1relay.html#af3f95ef6143dc33382f50734f85bfdf5',1,'tvm::relay']]],
-  ['ftvmconvertoplayout_1658',['FTVMConvertOpLayout',['../namespacetvm_1_1relay.html#a8104bb3261fbc781381333ddef526a3f',1,'tvm::relay']]],
-  ['ftvmlegalize_1659',['FTVMLegalize',['../namespacetvm_1_1relay.html#ac788c2310eb8aa5e2449dd8c9dfdf368',1,'tvm::relay']]],
-  ['ftvmparallellambda_1660',['FTVMParallelLambda',['../c__backend__api_8h.html#ac1b48128210969500e27aad08a7fe51b',1,'c_backend_api.h']]],
-  ['ftvmrelaytotir_1661',['FTVMRelayToTIR',['../namespacetvm_1_1relay_1_1transform.html#a5b69ec2b55e779d8c3fb8ded6576aae9',1,'tvm::relay::transform']]],
-  ['ftvmschedule_1662',['FTVMSchedule',['../namespacetvm_1_1relay.html#aff3d3515294c3128bb63a75c5d30f596',1,'tvm::relay']]],
-  ['ftvmstrategy_1663',['FTVMStrategy',['../namespacetvm_1_1relay.html#a2eb2ad4e7a83e0a28e2ad073d7bf9305',1,'tvm::relay']]],
-  ['ftvmtargetparser_1664',['FTVMTargetParser',['../namespacetvm.html#a7a0864cb6804c1c37e4a44d35fd9e7e8',1,'tvm']]],
-  ['ftype_1665',['FType',['../classtvm_1_1TVMScriptPrinter.html#ab2a101e190f9ef724a5d5b6403db6f56',1,'tvm::TVMScriptPrinter::FType()'],['../classtvm_1_1ReprPrinter.html#aee843a9a8b4885f5797843bed6467415',1,'tvm::ReprPrinter::FType()'],['../classtvm_1_1ReprLegacyPrinter.html#a335493fde819a84bcae40888604e66f2',1,'tvm::ReprLegacyPrinter::FType()'],['../classtvm_1_1relay_1_1DFPatternPrinter.html#ae4fc01c748852d54ad7a5c7f4307a9b4',1,'tvm::relay::DFPatternPrinter::FType()'],['../classtvm_1_1sc [...]
-  ['full_1666',['full',['../namespacetvm_1_1topi.html#a5c22ce14da6f5589de129861bb06da78',1,'tvm::topi']]],
-  ['full_5flike_1667',['full_like',['../namespacetvm_1_1topi.html#aec68ce461f0a1965c27912b9ddb90aa2',1,'tvm::topi']]],
-  ['fullregion_1668',['FullRegion',['../classtvm_1_1tir_1_1BufferRegion.html#a0c9f939c7652464107aeb7e0c613ee99',1,'tvm::tir::BufferRegion']]],
-  ['fullyunconstrained_1669',['FullyUnconstrained',['../classtvm_1_1VirtualDevice.html#a8238a76dcecef304fa173ceaf706c7b1',1,'tvm::VirtualDevice']]],
-  ['func_1670',['func',['../classtvm_1_1relay_1_1InterpreterClosureObj.html#a698f143669d058321f53cb31a08982cd',1,'tvm::relay::InterpreterClosureObj::func()'],['../classtvm_1_1TypeRelationNode.html#a2f243e89c5f192eb8c006313fa0c7c5a',1,'tvm::TypeRelationNode::func()'],['../classtvm_1_1TypeCallNode.html#ad411852baaa607438122219b714773ea',1,'tvm::TypeCallNode::func()'],['../classtvm_1_1EnvFuncNode.html#a83cd0f272d6f3551630480229b18ff05',1,'tvm::EnvFuncNode::func()']]],
-  ['func_5f_1671',['func_',['../classtvm_1_1runtime_1_1Registry.html#a911416a22858355e0b95ec493db67218',1,'tvm::runtime::Registry']]],
-  ['func_5findex_1672',['func_index',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#aa60b1a70648dbba4e7ec35a56a29a02d',1,'tvm::runtime::vm::Instruction::func_index()'],['../classtvm_1_1runtime_1_1vm_1_1VMClosureObj.html#af252588d00a4e0b7086edcea4cdfae6f',1,'tvm::runtime::vm::VMClosureObj::func_index()'],['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html#a96a641c01ca63e6b146ef321319ec1f4',1,'tvm::runtime::vm::VMFrame::func_index()']]],
-  ['func_5findex_5f_1673',['func_index_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#af9d51023b5d6451dac20d1a146ce5d38',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['func_5fname_1674',['func_name',['../structTVMOpParam.html#abd9df291c3f6a23f4352a1bc2b6a7293',1,'TVMOpParam']]],
-  ['func_5fregistry_2eh_1675',['func_registry.h',['../func__registry_8h.html',1,'']]],
-  ['func_5ftype_5fannotation_1676',['func_type_annotation',['../classtvm_1_1relay_1_1FunctionNode.html#adc05117403fb5b43ac4d04b8ec120467',1,'tvm::relay::FunctionNode::func_type_annotation()'],['../classtvm_1_1tir_1_1PrimFuncNode.html#a9dded2551dafa98bac07ad6ba17602c9',1,'tvm::tir::PrimFuncNode::func_type_annotation()']]],
-  ['func_5fworking_5fon_1677',['func_working_on',['../classtvm_1_1tir_1_1ScheduleNode.html#a3dea516aeb2a65f323c5798e9940cbc6',1,'tvm::tir::ScheduleNode']]],
-  ['funcattrs_1678',['FuncAttrs',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a8dee79c7df5e244c728406bad1db7002',1,'tvm::script::ir_builder::tir']]],
-  ['funcname_1679',['FuncName',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a6abb6566a443b59385ec26213336f1c0',1,'tvm::script::ir_builder::tir']]],
-  ['funcret_1680',['FuncRet',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a4d377c370c4d836034937d558f6fba11',1,'tvm::script::ir_builder::tir']]],
-  ['funcs_1681',['funcs',['../structTVMFuncRegistry.html#a25badb00e205aaa5c317bd61a4b88d96',1,'TVMFuncRegistry']]],
-  ['function_1682',['function',['../classtvm_1_1relay_1_1DFPatternCallbackNode.html#a878e6e49af2466c49ffd9fcfe7f609fa',1,'tvm::relay::DFPatternCallbackNode']]],
-  ['function_1683',['Function',['../classtvm_1_1relay_1_1Function.html#a11ee77c0df8aa1c2c072c7cf613b9238',1,'tvm::relay::Function::Function()'],['../classtvm_1_1relay_1_1Function.html',1,'tvm::relay::Function']]],
-  ['function_2eh_1684',['function.h',['../ir_2function_8h.html',1,'(Global Namespace)'],['../relay_2function_8h.html',1,'(Global Namespace)'],['../tir_2function_8h.html',1,'(Global Namespace)']]],
-  ['functiondoc_1685',['FunctionDoc',['../classtvm_1_1script_1_1printer_1_1FunctionDoc.html#ac7ed2ed1c4c3cf89ff1b9bd58583c79d',1,'tvm::script::printer::FunctionDoc::FunctionDoc()'],['../classtvm_1_1script_1_1printer_1_1FunctionDoc.html',1,'tvm::script::printer::FunctionDoc']]],
-  ['functiondocnode_1686',['FunctionDocNode',['../classtvm_1_1script_1_1printer_1_1FunctionDocNode.html',1,'tvm::script::printer']]],
-  ['functionnode_1687',['FunctionNode',['../classtvm_1_1relay_1_1FunctionNode.html',1,'tvm::relay']]],
-  ['functionpattern_1688',['FunctionPattern',['../classtvm_1_1relay_1_1FunctionPattern.html#a3e08e14375734b280d58b3934bf9671b',1,'tvm::relay::FunctionPattern::FunctionPattern()'],['../classtvm_1_1relay_1_1FunctionPattern.html',1,'tvm::relay::FunctionPattern']]],
-  ['functionpatternnode_1689',['FunctionPatternNode',['../classtvm_1_1relay_1_1FunctionPatternNode.html',1,'tvm::relay']]],
-  ['functions_1690',['functions',['../classtvm_1_1IRModuleNode.html#a88423026ad43fa60158bc9a647704d93',1,'tvm::IRModuleNode::functions()'],['../classtvm_1_1runtime_1_1vm_1_1Executable.html#af7d75150b6a98a7766a552d7e7e34a11',1,'tvm::runtime::vm::Executable::functions()'],['../classtvm_1_1script_1_1ir__builder_1_1ir_1_1IRModuleFrameNode.html#a43be7337e3bd2be7c2d2f4d3110346bb',1,'tvm::script::ir_builder::ir::IRModuleFrameNode::functions()']]],
-  ['functor_2eh_1691',['functor.h',['../functor_8h.html',1,'']]],
-  ['functype_1692',['FuncType',['../classtvm_1_1FuncType.html#a91900b77ec8debd6e1b479a438eadbdd',1,'tvm::FuncType::FuncType()'],['../namespacetvm_1_1relay.html#aa475de90506d48a1bb04ef6d1bd99bfb',1,'tvm::relay::FuncType()'],['../classtvm_1_1FuncType.html',1,'tvm::FuncType']]],
-  ['functypenode_1693',['FuncTypeNode',['../namespacetvm_1_1relay.html#ab551714015417af28d5ca2b47722997e',1,'tvm::relay::FuncTypeNode()'],['../classtvm_1_1FuncTypeNode.html',1,'tvm::FuncTypeNode']]],
-  ['fupdate_1694',['FUpdate',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#a3587a71c7cad0a8403b7e89ef81839d6',1,'tvm::meta_schedule::PyCostModelNode']]],
-  ['fuse_1695',['fuse',['../classtvm_1_1auto__scheduler_1_1State.html#a76198c368b38ad64eefa45a3147aa6ac',1,'tvm::auto_scheduler::State::fuse()'],['../classtvm_1_1te_1_1Stage.html#a5658065d9cbbee620bbd107d30c4ae72',1,'tvm::te::Stage::fuse(IterVar outer, IterVar inner, IterVar *p_target)'],['../classtvm_1_1te_1_1Stage.html#a07b721494aa3c0c79e8a8654c433708f',1,'tvm::te::Stage::fuse(const Array&lt; IterVar &gt; &amp;axes, IterVar *p_target)']]],
-  ['fuse_1696',['Fuse',['../classtvm_1_1tir_1_1ScheduleNode.html#a5b9a41d190be0f8d817b4936732bd0ef',1,'tvm::tir::ScheduleNode::Fuse()'],['../classtvm_1_1te_1_1Fuse.html#a10b77eec10eb7dbc536b0c8d65163f9c',1,'tvm::te::Fuse::Fuse()'],['../classtvm_1_1te_1_1Fuse.html',1,'tvm::te::Fuse']]],
-  ['fuse_2eh_1697',['fuse.h',['../fuse_8h.html',1,'']]],
-  ['fused_1698',['fused',['../classtvm_1_1te_1_1FuseNode.html#ad5abaac8d77c9c88ec374ae91d68cb88',1,'tvm::te::FuseNode']]],
-  ['fused_5fids_1699',['fused_ids',['../classtvm_1_1auto__scheduler_1_1FuseStepNode.html#a19c1a7b47f59a4f004a2dd9f354835eb',1,'tvm::auto_scheduler::FuseStepNode']]],
-  ['fusenode_1700',['FuseNode',['../classtvm_1_1te_1_1FuseNode.html',1,'tvm::te']]],
-  ['fuseops_1701',['FuseOps',['../namespacetvm_1_1relay_1_1transform.html#a2a6be6024a96a84f7230faa2519f1a97',1,'tvm::relay::transform']]],
-  ['fusestep_1702',['FuseStep',['../classtvm_1_1auto__scheduler_1_1FuseStep.html#a77c478295e170275d7d5da7345a03546',1,'tvm::auto_scheduler::FuseStep::FuseStep(int stage_id, const Array&lt; Integer &gt; &amp;fused_ids)'],['../classtvm_1_1auto__scheduler_1_1FuseStep.html#a345e30fc54e9782faa9b8744c9ed5d14',1,'tvm::auto_scheduler::FuseStep::FuseStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1FuseStep.html',1,'tvm::auto_scheduler::FuseStep']]],
-  ['fusestepnode_1703',['FuseStepNode',['../classtvm_1_1auto__scheduler_1_1FuseStepNode.html',1,'tvm::auto_scheduler']]],
-  ['fvar_1704',['fVar',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9ea42a71e7934e2efe344713b17c426eecd',1,'tvm::relay']]],
-  ['fvisitattrs_1705',['FVisitAttrs',['../classtvm_1_1ReflectionVTable.html#a486eb682af89ac025c0db1f8f6045b95',1,'tvm::ReflectionVTable']]]
+  ['f_1374',['F',['../structtvm_1_1runtime_1_1TVMArgsSetterApply.html#a77729fcdf7b931f39ed9f2771bfded75',1,'tvm::runtime::TVMArgsSetterApply']]],
+  ['f_5fapply_1375',['f_apply',['../classtvm_1_1meta__schedule_1_1PyMeasureCallbackNode.html#ab2ff04fe15c2b6cbc67659a42d6013f1',1,'tvm::meta_schedule::PyMeasureCallbackNode::f_apply()'],['../classtvm_1_1meta__schedule_1_1PyMutatorNode.html#a70b3d67fe3074d54d13c7b1dc43e186e',1,'tvm::meta_schedule::PyMutatorNode::f_apply()'],['../classtvm_1_1meta__schedule_1_1PyPostprocNode.html#a3771e585727ef6dfecc502ffe57fd2a2',1,'tvm::meta_schedule::PyPostprocNode::f_apply()'],['../classtvm_1_1meta__sch [...]
+  ['f_5fapply_5fto_5fschedule_1376',['f_apply_to_schedule',['../classtvm_1_1tir_1_1InstructionKindNode.html#a6d0d0766ee77f65929e6389758890691',1,'tvm::tir::InstructionKindNode']]],
+  ['f_5fas_5fpython_1377',['f_as_python',['../classtvm_1_1tir_1_1InstructionKindNode.html#ae8e760186d900cbae90a5fad0a71b1ee',1,'tvm::tir::InstructionKindNode']]],
+  ['f_5fas_5fstring_1378',['f_as_string',['../classtvm_1_1meta__schedule_1_1PyScheduleRuleNode.html#a91b1a8c016029558e6bb8e9157097dc8',1,'tvm::meta_schedule::PyScheduleRuleNode::f_as_string()'],['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#afddaf5f9afccb9ac9e39baddb87b5805',1,'tvm::meta_schedule::PyCostModelNode::f_as_string()'],['../classtvm_1_1meta__schedule_1_1PyFeatureExtractorNode.html#a290c3cf6bccbcdfdf6cc76f3dda27caa',1,'tvm::meta_schedule::PyFeatureExtractorNode::f_as_s [...]
+  ['f_5fattrs_5fas_5fjson_1379',['f_attrs_as_json',['../classtvm_1_1tir_1_1InstructionKindNode.html#a85dd355cf370d8d599dc2e5c44484952',1,'tvm::tir::InstructionKindNode']]],
+  ['f_5fattrs_5ffrom_5fjson_1380',['f_attrs_from_json',['../classtvm_1_1tir_1_1InstructionKindNode.html#ad827304fa18acdfb0e65c2cfa9717936',1,'tvm::tir::InstructionKindNode']]],
+  ['f_5fbuild_1381',['f_build',['../classtvm_1_1meta__schedule_1_1PyBuilderNode.html#a4ea31c8060f7921ae79135a19bb0f20e',1,'tvm::meta_schedule::PyBuilderNode']]],
+  ['f_5fcall_5fpacked_5f_1382',['f_call_packed_',['../classtvm_1_1runtime_1_1PackedFuncObj.html#a3e7898a2ba34f7f171093e217edee131',1,'tvm::runtime::PackedFuncObj']]],
+  ['f_5fclone_1383',['f_clone',['../classtvm_1_1meta__schedule_1_1PyMutatorNode.html#ac4684cd645c50ab256c21100f2e175d0',1,'tvm::meta_schedule::PyMutatorNode::f_clone()'],['../classtvm_1_1meta__schedule_1_1PyPostprocNode.html#acda78b6c6d9d6d31611fb6601077116f',1,'tvm::meta_schedule::PyPostprocNode::f_clone()'],['../classtvm_1_1meta__schedule_1_1PyScheduleRuleNode.html#a93d18741e306f493814e4c68df823b12',1,'tvm::meta_schedule::PyScheduleRuleNode::f_clone()'],['../classtvm_1_1meta__schedule_ [...]
+  ['f_5fcommit_5ftuning_5frecord_1384',['f_commit_tuning_record',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a7d7d50ae9b6c43e064b38db91bc8123d',1,'tvm::meta_schedule::PyDatabaseNode']]],
+  ['f_5fcommit_5fworkload_1385',['f_commit_workload',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#aa0bd64983cde2fc6ef1547448352981c',1,'tvm::meta_schedule::PyDatabaseNode']]],
+  ['f_5fdone_1386',['f_done',['../classtvm_1_1meta__schedule_1_1RunnerFutureNode.html#a7c14b472e49d812aaa6676c9ff014895',1,'tvm::meta_schedule::RunnerFutureNode']]],
+  ['f_5fextract_5ffrom_1387',['f_extract_from',['../classtvm_1_1meta__schedule_1_1PyFeatureExtractorNode.html#ab994b701cd02fef4ebb9fecca29f23be',1,'tvm::meta_schedule::PyFeatureExtractorNode']]],
+  ['f_5fgenerate_5fdesign_5fspace_1388',['f_generate_design_space',['../classtvm_1_1meta__schedule_1_1PySpaceGeneratorNode.html#a941c64f01b6bb0b6fb87c2619af9d1e2',1,'tvm::meta_schedule::PySpaceGeneratorNode']]],
+  ['f_5fgenerate_5fmeasure_5fcandidates_1389',['f_generate_measure_candidates',['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#a5fdfb43b58d50fc34d8c515c9c9b7398',1,'tvm::meta_schedule::PySearchStrategyNode']]],
+  ['f_5fget_5fall_5ftuning_5frecords_1390',['f_get_all_tuning_records',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#aafdd0874be052072521b2aa8a6c56d5f',1,'tvm::meta_schedule::PyDatabaseNode']]],
+  ['f_5fget_5ftop_5fk_1391',['f_get_top_k',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a52fb1116090619e95fb6b28352308eed',1,'tvm::meta_schedule::PyDatabaseNode']]],
+  ['f_5fhas_5fworkload_1392',['f_has_workload',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#add146bf1e2006f72ed1534b2004bcb06',1,'tvm::meta_schedule::PyDatabaseNode']]],
+  ['f_5finitialize_5fwith_5ftune_5fcontext_1393',['f_initialize_with_tune_context',['../classtvm_1_1meta__schedule_1_1PyScheduleRuleNode.html#a18486ea5d8d3e9c35adc22f1a265fe5a',1,'tvm::meta_schedule::PyScheduleRuleNode::f_initialize_with_tune_context()'],['../classtvm_1_1meta__schedule_1_1PyMutatorNode.html#a2b9b6129b0660c684b07c2f505021f2f',1,'tvm::meta_schedule::PyMutatorNode::f_initialize_with_tune_context()'],['../classtvm_1_1meta__schedule_1_1PyPostprocNode.html#a745d8654ab1a9cde5d2 [...]
+  ['f_5fjoin_5frunning_5ftask_1394',['f_join_running_task',['../classtvm_1_1meta__schedule_1_1PyTaskSchedulerNode.html#a2bb8c133c1767c2d5f242a55e49284f4',1,'tvm::meta_schedule::PyTaskSchedulerNode']]],
+  ['f_5fload_1395',['f_load',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#a40cfb1f290b3ec9ae34ad9aca149d196',1,'tvm::meta_schedule::PyCostModelNode']]],
+  ['f_5fmake_5ffor_5floop_1396',['f_make_for_loop',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ForFrameNode.html#a646ab14a567ccc1dbc05803fb45453ee',1,'tvm::script::ir_builder::tir::ForFrameNode']]],
+  ['f_5fnext_5ftask_5fid_1397',['f_next_task_id',['../classtvm_1_1meta__schedule_1_1PyTaskSchedulerNode.html#a0bd5c1660d968440adaa3b10cd51e95e',1,'tvm::meta_schedule::PyTaskSchedulerNode']]],
+  ['f_5fnotify_5frunner_5fresults_1398',['f_notify_runner_results',['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#aa89eabbd32979cdec2bee83d980350c7',1,'tvm::meta_schedule::PySearchStrategyNode']]],
+  ['f_5fpost_5ftuning_1399',['f_post_tuning',['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#a215e220c292bbafa7a0eeaf46a9a3f38',1,'tvm::meta_schedule::PySearchStrategyNode']]],
+  ['f_5fpre_5ftuning_1400',['f_pre_tuning',['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#addb53ffefebe51c9f2227349833f51de',1,'tvm::meta_schedule::PySearchStrategyNode']]],
+  ['f_5fpredict_1401',['f_predict',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#a33973fb5bf7ece01f623e780cfb4eb7a',1,'tvm::meta_schedule::PyCostModelNode']]],
+  ['f_5fquery_5fir_5fmodule_1402',['f_query_ir_module',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#abd9fc8fc83bc6c252465ffdbcb310bfc',1,'tvm::meta_schedule::PyDatabaseNode']]],
+  ['f_5fquery_5fschedule_1403',['f_query_schedule',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a4a03c70569c9a18059861dfb5c90e845',1,'tvm::meta_schedule::PyDatabaseNode']]],
+  ['f_5fquery_5ftuning_5frecord_1404',['f_query_tuning_record',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a65fcb9b59b8ce6e685fb62c4459c57ba',1,'tvm::meta_schedule::PyDatabaseNode']]],
+  ['f_5fresult_1405',['f_result',['../classtvm_1_1meta__schedule_1_1RunnerFutureNode.html#a8690a1eb97a2d5d12611680928ed0032',1,'tvm::meta_schedule::RunnerFutureNode']]],
+  ['f_5frun_1406',['f_run',['../classtvm_1_1meta__schedule_1_1PyRunnerNode.html#ad94d974edde3cb36605d8ed03347c5fc',1,'tvm::meta_schedule::PyRunnerNode']]],
+  ['f_5fsave_1407',['f_save',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#ac7da436f6c5c78c49bb845eefa100001',1,'tvm::meta_schedule::PyCostModelNode']]],
+  ['f_5fsize_1408',['f_size',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#ac7ae1a05fe5c7858f5860133a82bc7b7',1,'tvm::meta_schedule::PyDatabaseNode']]],
+  ['f_5ftune_1409',['f_tune',['../classtvm_1_1meta__schedule_1_1PyTaskSchedulerNode.html#a694cbc462be8527c8aa1195739535cc9',1,'tvm::meta_schedule::PyTaskSchedulerNode']]],
+  ['f_5fupdate_1410',['f_update',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#afa89f5fa17018f7d8421225b1bbe35df',1,'tvm::meta_schedule::PyCostModelNode']]],
+  ['factor_1411',['factor',['../classtvm_1_1auto__scheduler_1_1StorageAlignStepNode.html#a6eb2b971d981f54c385065d78d6a1681',1,'tvm::auto_scheduler::StorageAlignStepNode::factor()'],['../classtvm_1_1te_1_1SplitNode.html#a60369ff01e34008f1b3215d7f215b972',1,'tvm::te::SplitNode::factor()']]],
+  ['factor_5fiter_5fid_1412',['factor_iter_id',['../classtvm_1_1auto__scheduler_1_1RfactorStepNode.html#a114f3ecd05a1cffd92353e156185e42c',1,'tvm::auto_scheduler::RfactorStepNode']]],
+  ['factor_5for_5fnparts_1413',['factor_or_nparts',['../classtvm_1_1auto__scheduler_1_1FollowFusedSplitStepNode.html#afa60f761e7ea48985e35234428a1911f',1,'tvm::auto_scheduler::FollowFusedSplitStepNode']]],
+  ['factorof_1414',['FactorOf',['../classtvm_1_1tir_1_1Layout.html#ac38411f0766c53dc30b69f71c0667bf5',1,'tvm::tir::Layout']]],
+  ['false_5fbranch_1415',['false_branch',['../classtvm_1_1relay_1_1IfPatternNode.html#adac717215307bb0f7a7b16333e27237f',1,'tvm::relay::IfPatternNode::false_branch()'],['../classtvm_1_1relay_1_1IfNode.html#ae6a504717890d97b574b93dba29e2641',1,'tvm::relay::IfNode::false_branch()']]],
+  ['false_5foffset_1416',['false_offset',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a20f3b29da9e03f2fa6977743c30a02de',1,'tvm::runtime::vm::Instruction']]],
+  ['false_5fvalue_1417',['false_value',['../classtvm_1_1tir_1_1SelectNode.html#ac1b3f0f013b6a41a483c6d81397a6dac',1,'tvm::tir::SelectNode']]],
+  ['fapply_1418',['FApply',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a2c558d23de2ff6bf298bc7167a210859',1,'tvm::meta_schedule::ScheduleRule::FApply()'],['../classtvm_1_1meta__schedule_1_1PyMeasureCallbackNode.html#a25d736bf6d875c272792583bf4aed16b',1,'tvm::meta_schedule::PyMeasureCallbackNode::FApply()'],['../classtvm_1_1meta__schedule_1_1Mutator.html#ade6fc51af24708ee525c45a304ba342e',1,'tvm::meta_schedule::Mutator::FApply()'],['../classtvm_1_1meta__schedule_1_1PyMutatorNode. [...]
+  ['fasstring_1419',['FAsString',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#a26fb3b9fb4f79538af3e5262b16086e2',1,'tvm::meta_schedule::PyCostModelNode::FAsString()'],['../classtvm_1_1meta__schedule_1_1PyScheduleRuleNode.html#a188b887b6c94d76fa29f0df7bf066000',1,'tvm::meta_schedule::PyScheduleRuleNode::FAsString()'],['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a4c02153b06f9c5577114d719747a7b1a',1,'tvm::meta_schedule::ScheduleRule::FAsString()'],['../classtvm_1_1meta__s [...]
+  ['fast_5ferf_1420',['fast_erf',['../namespacetvm_1_1topi.html#a6f8a25c0d0744858977bc1b9551989eb',1,'tvm::topi']]],
+  ['fast_5ferf_5ffloat16_1421',['fast_erf_float16',['../namespacetvm_1_1topi.html#a4435c7d12111817c485ebe9d2a6d608b',1,'tvm::topi']]],
+  ['fast_5ferf_5ffloat32_1422',['fast_erf_float32',['../namespacetvm_1_1topi.html#a188f69f0e65e2efb4914e458db50b3d8',1,'tvm::topi']]],
+  ['fast_5ferf_5ffloat_5fexpr_1423',['fast_erf_float_expr',['../namespacetvm.html#afe37ad3331487ba271c75b973a59bb47',1,'tvm']]],
+  ['fast_5fexp_1424',['fast_exp',['../namespacetvm_1_1topi.html#aeed8d820017900935cc70877f7e327c2',1,'tvm::topi']]],
+  ['fast_5fexp_5ffloat32_1425',['fast_exp_float32',['../namespacetvm_1_1topi.html#afe4e534c675187a847bfe7a4dc61584e',1,'tvm::topi']]],
+  ['fast_5ftanh_1426',['fast_tanh',['../namespacetvm_1_1topi.html#a65fc2713d408fe0a75ff1e3fea62f7f2',1,'tvm::topi']]],
+  ['fast_5ftanh_5ffloat_1427',['fast_tanh_float',['../namespacetvm_1_1topi.html#a52d5442416a0ff2a808bf5bb99c8e8c3',1,'tvm::topi']]],
+  ['fastmath_1428',['FastMath',['../namespacetvm_1_1relay_1_1transform.html#a22870dd16693b2e3edb5fba7cb5dc3e8',1,'tvm::relay::transform']]],
+  ['fatal_1429',['Fatal',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a1107060efafaf8af3fba3ec865334946',1,'tvm::runtime::vm::Instruction::Fatal()'],['../namespacetvm_1_1runtime_1_1vm.html#a8d8d95ce8d629c7213f2f595917870eca882384ec38ce8d9582b57e70861730e4',1,'tvm::runtime::vm::Fatal()']]],
+  ['fbatchcompute_1430',['FBatchCompute',['../namespacetvm_1_1te.html#ab489f9ce52068157017e6a3cbf65c749',1,'tvm::te']]],
+  ['fbuild_1431',['FBuild',['../classtvm_1_1meta__schedule_1_1BuilderNode.html#a81a4fea9d341640a1e8049e7385bddc4',1,'tvm::meta_schedule::BuilderNode']]],
+  ['fcall_1432',['fCall',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9ea5f3d3030d2ed56e5a47329e29f12ef02',1,'tvm::relay']]],
+  ['fcallpacked_1433',['FCallPacked',['../classtvm_1_1runtime_1_1PackedFuncObj.html#ac6f4a12b41a1fbb3e23e1bb77bcc3383',1,'tvm::runtime::PackedFuncObj']]],
+  ['fclone_1434',['FClone',['../classtvm_1_1meta__schedule_1_1PyPostprocNode.html#ad06588e1be4f74029240f04f2e1b23ca',1,'tvm::meta_schedule::PyPostprocNode::FClone()'],['../classtvm_1_1meta__schedule_1_1PySpaceGeneratorNode.html#a76332462ebce398a45c41140d246017f',1,'tvm::meta_schedule::PySpaceGeneratorNode::FClone()'],['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a83da6c4f17893237322dc93cedf7dbd6',1,'tvm::meta_schedule::SpaceGenerator::FClone()'],['../classtvm_1_1meta__schedule_1 [...]
+  ['fcombine_1435',['FCombine',['../namespacetvm_1_1topi.html#a72ff2d600f5610f32a39b8b5522a4137',1,'tvm::topi']]],
+  ['fcommittuningrecord_1436',['FCommitTuningRecord',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a653d04c0c6349350489c0ea5f68563f1',1,'tvm::meta_schedule::PyDatabaseNode']]],
+  ['fcommitworkload_1437',['FCommitWorkload',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a44b8d5e2721f12bdaf1a457b85f23124',1,'tvm::meta_schedule::PyDatabaseNode']]],
+  ['fcommreduce_1438',['FCommReduce',['../namespacetvm_1_1topi.html#a05ddefea973989205ef8cb14fcfe6ffe',1,'tvm::topi']]],
+  ['fcompute_1439',['FCompute',['../namespacetvm_1_1te.html#a70f96c736806f87f5f795aba19ecc9d2',1,'tvm::te']]],
+  ['fcompute_1440',['fcompute',['../classtvm_1_1relay_1_1OpImplementationNode.html#abfdaa2a28cce000f920ef0c0cfed7e31',1,'tvm::relay::OpImplementationNode']]],
+  ['fconstant_1441',['fConstant',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9ea29eacc09610a7fd43026682238aae25f',1,'tvm::relay']]],
+  ['fconstructor_1442',['fConstructor',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9eaff71be930d862c312a5ae263e71167a2',1,'tvm::relay']]],
+  ['fcreate_1443',['FCreate',['../classtvm_1_1ReflectionVTable.html#ace851a8ec710f6c8ac75d202d62665f2',1,'tvm::ReflectionVTable']]],
+  ['fdeleter_1444',['FDeleter',['../classtvm_1_1runtime_1_1Object.html#a9e84841ca982bff376a978ade0132631',1,'tvm::runtime::Object']]],
+  ['fdone_1445',['FDone',['../classtvm_1_1meta__schedule_1_1RunnerFuture.html#ab43e5b1fbda5108422ff1757628ec94d',1,'tvm::meta_schedule::RunnerFuture::FDone()'],['../classtvm_1_1meta__schedule_1_1RunnerFutureNode.html#af5ff9cf0ddf9dcd5d097e597f1ffe1eb',1,'tvm::meta_schedule::RunnerFutureNode::FDone()']]],
+  ['feature_1446',['Feature',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9e',1,'tvm::relay']]],
+  ['feature_2eh_1447',['feature.h',['../auto__scheduler_2feature_8h.html',1,'(Global Namespace)'],['../relay_2feature_8h.html',1,'(Global Namespace)']]],
+  ['feature_5fcount_1448',['feature_count',['../namespacetvm_1_1relay.html#ac3a576d7d192ce78bafb169c9aa882d1',1,'tvm::relay']]],
+  ['feature_5fextractor_2eh_1449',['feature_extractor.h',['../feature__extractor_8h.html',1,'']]],
+  ['feature_5fstride_1450',['feature_stride',['../structtvm_1_1relay_1_1ProposalAttrs.html#a7d64e565f89cc66c40253d7fe3c74fad',1,'tvm::relay::ProposalAttrs']]],
+  ['featureextractor_1451',['FeatureExtractor',['../classtvm_1_1meta__schedule_1_1FeatureExtractor.html',1,'tvm::meta_schedule']]],
+  ['featureextractornode_1452',['FeatureExtractorNode',['../classtvm_1_1meta__schedule_1_1FeatureExtractorNode.html',1,'tvm::meta_schedule']]],
+  ['features_1453',['features',['../classtvm_1_1TargetNode.html#a998369eed05aa80140564c2f29742d46',1,'tvm::TargetNode']]],
+  ['featureset_1454',['FeatureSet',['../classtvm_1_1relay_1_1FeatureSet.html#a268c7544d97aeb7ef3ac19778c23b577',1,'tvm::relay::FeatureSet::FeatureSet(const FeatureSet &amp;)=default'],['../classtvm_1_1relay_1_1FeatureSet.html#a7fe9317883438c23defc65d12532387a',1,'tvm::relay::FeatureSet::FeatureSet(Feature ft)'],['../classtvm_1_1relay_1_1FeatureSet.html#a2b85e65f7b1de12a3ae87b8201427d51',1,'tvm::relay::FeatureSet::FeatureSet(const tvm::Array&lt; tvm::Integer &gt; &amp;ft)'],['../classtvm_ [...]
+  ['fexec_1455',['fexec',['../structTVMPackedFunc.html#a849631252851ec94b7970e6d2e16861e',1,'TVMPackedFunc']]],
+  ['fextractfrom_1456',['FExtractFrom',['../classtvm_1_1meta__schedule_1_1PyFeatureExtractorNode.html#a2c3241bd5c792cb6dab4347891bfd6be',1,'tvm::meta_schedule::PyFeatureExtractorNode']]],
+  ['fficlearaftermove_1457',['FFIClearAfterMove',['../classtvm_1_1runtime_1_1ObjectRef.html#a22e5bb9d64dbc773bb9263b70882239e',1,'tvm::runtime::ObjectRef']]],
+  ['ffidatafromhandle_1458',['FFIDataFromHandle',['../classtvm_1_1runtime_1_1NDArray.html#ab76ba9c638e1d6db8d6f0ba8c4d38670',1,'tvm::runtime::NDArray']]],
+  ['ffidecref_1459',['FFIDecRef',['../classtvm_1_1runtime_1_1NDArray.html#ade0e2757904f4f5ba5c667ae01793a47',1,'tvm::runtime::NDArray']]],
+  ['ffigethandle_1460',['FFIGetHandle',['../classtvm_1_1runtime_1_1NDArray.html#a141e032d848c60f8261046304bdc8c4c',1,'tvm::runtime::NDArray']]],
+  ['fforwardrewrite_1461',['FForwardRewrite',['../namespacetvm_1_1relay.html#afa27c5a3fe1590a9ffa3877f9b09de45',1,'tvm::relay']]],
+  ['ffunction_1462',['fFunction',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9ea3b367d0501427ea782137266a2fb77fa',1,'tvm::relay']]],
+  ['fgeneratedesignspace_1463',['FGenerateDesignSpace',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a58ff9be5ac87ddb2bc2dcf91b51ac9dc',1,'tvm::meta_schedule::SpaceGenerator::FGenerateDesignSpace()'],['../classtvm_1_1meta__schedule_1_1PySpaceGeneratorNode.html#ae17bdfe315a17ebaedc58b4ca4d4a464',1,'tvm::meta_schedule::PySpaceGeneratorNode::FGenerateDesignSpace()']]],
+  ['fgeneratemeasurecandidates_1464',['FGenerateMeasureCandidates',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html#af2b12dd264b8ec6e3d09d78c21063062',1,'tvm::meta_schedule::SearchStrategy::FGenerateMeasureCandidates()'],['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#af9947186f05a18b46606f288440549c0',1,'tvm::meta_schedule::PySearchStrategyNode::FGenerateMeasureCandidates()']]],
+  ['fgetalltuningrecords_1465',['FGetAllTuningRecords',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a574d90736eda21019540d4a26c155b28',1,'tvm::meta_schedule::PyDatabaseNode']]],
+  ['fgettopk_1466',['FGetTopK',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#ad5e04e950cd2a63f439d95285b5674b6',1,'tvm::meta_schedule::PyDatabaseNode']]],
+  ['fglobalvar_1467',['fGlobalVar',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9eae9b152650b0ebe6dbc1b42e0f84d567f',1,'tvm::relay']]],
+  ['fgraph_1468',['fGraph',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9eac6392a0ecc7df38dbfa282a54d3718d1',1,'tvm::relay']]],
+  ['fhasworkload_1469',['FHasWorkload',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#afb177ebca29227e94c3b3036b4908bad',1,'tvm::meta_schedule::PyDatabaseNode']]],
+  ['fib_5fshift_5f_1470',['fib_shift_',['../classtvm_1_1runtime_1_1DenseMapNode.html#af7555a75a5dbdf2f1c1af3fd240e54e7',1,'tvm::runtime::DenseMapNode']]],
+  ['fidentity_1471',['FIdentity',['../namespacetvm_1_1topi.html#a7dd84c370a3377aec67ce83f94605df9',1,'tvm::topi']]],
+  ['field_5findex_1472',['field_index',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a623d715b7b22a5fa853e8d000a6f1ecd',1,'tvm::runtime::vm::Instruction']]],
+  ['fields_1473',['fields',['../structtvm_1_1relay_1_1ConstructorValueObj.html#a4ef262fa950a75da96814a5e539732a9',1,'tvm::relay::ConstructorValueObj::fields()'],['../classtvm_1_1relay_1_1TupleNode.html#a97f7a213817d69edaa782dbefa1e8458',1,'tvm::relay::TupleNode::fields()'],['../classtvm_1_1relay_1_1TuplePatternNode.html#abfb4c8c82c843b9bc53808e9096039ce',1,'tvm::relay::TuplePatternNode::fields()'],['../classtvm_1_1TupleTypeNode.html#a2ac43b6c2f0f90ce64e8c3a213568021',1,'tvm::TupleTypeNod [...]
+  ['fields_5f_1474',['fields_',['../classtvm_1_1detail_1_1AttrDocVisitor.html#aa32707d90cd2cf8e5334afa4fe3d4722',1,'tvm::detail::AttrDocVisitor']]],
+  ['fif_1475',['fIf',['../namespacetvm_1_1relay.html#a926750aeda977340aa82bceac863fc9ea4e6b686fb15d50c4aef2316bef53a781',1,'tvm::relay']]],
+  ['fifobufferattrs_1476',['FIFOBufferAttrs',['../structtvm_1_1relay_1_1FIFOBufferAttrs.html',1,'tvm::relay']]],
+  ['filename_1477',['filename',['../classtvm_1_1auto__scheduler_1_1BuildResultNode.html#a413830d32b8f10d3a0a85ac1f719569f',1,'tvm::auto_scheduler::BuildResultNode::filename()'],['../classtvm_1_1auto__scheduler_1_1RecordToFileNode.html#a5debadfa20ad8ff19965d074253978de',1,'tvm::auto_scheduler::RecordToFileNode::filename()'],['../classtvm_1_1auto__scheduler_1_1RecordReaderNode.html#af976bdc5730038a84c7dde509f9e09e0',1,'tvm::auto_scheduler::RecordReaderNode::filename()'],['../classtvm_1_1au [...]
+  ['filter_1478',['Filter',['../namespacetvm_1_1tir_1_1transform.html#a70bda35bb43e9420c8115bb1ec977811',1,'tvm::tir::transform']]],
+  ['final_5findices_1479',['final_indices',['../classtvm_1_1tir_1_1IndexMapNode.html#a52bb8aee6c03dd37f68c3a2daded6196',1,'tvm::tir::IndexMapNode']]],
+  ['find_1480',['find',['../classtvm_1_1runtime_1_1SmallMapNode.html#aeb11bbd3d8a715fa95e4e4c213902061',1,'tvm::runtime::SmallMapNode::find()'],['../classtvm_1_1runtime_1_1MapNode.html#a9733900c9d9d1af5687b7ba32ef7f5e9',1,'tvm::runtime::MapNode::find()'],['../classtvm_1_1runtime_1_1DenseMapNode.html#a65deca60bf7d1b512b0f42b26dbdb882',1,'tvm::runtime::DenseMapNode::find()'],['../classtvm_1_1runtime_1_1Map.html#abce8c6206f11edfd3c493b843d52685f',1,'tvm::runtime::Map::find()']]],
+  ['find_5fdepth_5fheight_5fwidth_1481',['find_depth_height_width',['../namespacetvm_1_1topi_1_1nn.html#ab03974ee6b2d02a4619de1a4d0b42891',1,'tvm::topi::nn']]],
+  ['find_5fheight_5fwidth_1482',['find_height_width',['../namespacetvm_1_1topi_1_1nn.html#aec3b2a3e902d0d9c1f89d04ee8b3bcac',1,'tvm::topi::nn']]],
+  ['find_5fwidth_1483',['find_width',['../namespacetvm_1_1topi_1_1nn.html#ab678a94b9369834c1b5d24d5a4595dbf',1,'tvm::topi::nn']]],
+  ['findanchorblock_1484',['FindAnchorBlock',['../namespacetvm_1_1tir.html#a0242276905dca0e353c6817797d3fa0d',1,'tvm::tir']]],
+  ['findbestrange_1485',['FindBestRange',['../classtvm_1_1arith_1_1IntGroupBounds.html#aa3483fc05bb117933c2aca5bc81e07c8',1,'tvm::arith::IntGroupBounds']]],
+  ['findentryfunc_1486',['FindEntryFunc',['../namespacetvm_1_1tir.html#af6c64bf0c1bf0a62f8828c48fb573233',1,'tvm::tir']]],
+  ['findframe_1487',['FindFrame',['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderNode.html#ab982b59b7e5a37ba3c16d69a9e576208',1,'tvm::script::ir_builder::IRBuilderNode']]],
+  ['findprimitivetargetfordeviceorfail_1488',['FindPrimitiveTargetForDeviceOrFail',['../classtvm_1_1CompilationConfigNode.html#abb77ea27d2e8631bd69d8c24f7d3c71e',1,'tvm::CompilationConfigNode']]],
+  ['findprimitivetargetforkind_1489',['FindPrimitiveTargetForKind',['../classtvm_1_1CompilationConfigNode.html#adc9db6a7d5b198539d6a9ec7c087a6ca',1,'tvm::CompilationConfigNode']]],
+  ['finishmessage_1490',['FinishMessage',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#ae51f50c0eb8743fc4c4f3e58400a9ab1',1,'tvm::runtime::micro_rpc::Session']]],
+  ['finishpacket_1491',['FinishPacket',['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#a57f562abcde6b689ef046a06b325d80f',1,'tvm::runtime::micro_rpc::Framer']]],
+  ['finitializewithtunecontext_1492',['FInitializeWithTuneContext',['../classtvm_1_1meta__schedule_1_1Mutator.html#aef9bdcd9ecc168cccb807de472d29630',1,'tvm::meta_schedule::Mutator::FInitializeWithTuneContext()'],['../classtvm_1_1meta__schedule_1_1PyMutatorNode.html#ae8be8b4788c1a4876cdb6dd3312c16c1',1,'tvm::meta_schedule::PyMutatorNode::FInitializeWithTuneContext()'],['../classtvm_1_1meta__schedule_1_1PySpaceGeneratorNode.html#a8efb5d13f288b467219f2c86addec8e1',1,'tvm::meta_schedule::Py [...]
+  ['finstructionapply_1493',['FInstructionApply',['../namespacetvm_1_1tir.html#a6e4f9f172368158c130f70d363c2166a',1,'tvm::tir']]],
+  ['finstructionaspython_1494',['FInstructionAsPython',['../namespacetvm_1_1tir.html#ad454359868d4097a13bb0ac8f9bbafdb',1,'tvm::tir']]],
+  ['finstructionattrsasjson_1495',['FInstructionAttrsAsJSON',['../namespacetvm_1_1tir.html#aaf9b06965f943fddf71ac8140938ebf2',1,'tvm::tir']]],
+  ['finstructionattrsfromjson_1496',['FInstructionAttrsFromJSON',['../namespacetvm_1_1tir.html#a608b54f31c0d65032f3949f752f5431d',1,'tvm::tir']]],
+  ['fixedpointmultiplyattrs_1497',['FixedPointMultiplyAttrs',['../structtvm_1_1relay_1_1FixedPointMultiplyAttrs.html',1,'tvm::relay']]],
+  ['fixedpointmultiplyperaxisattrs_1498',['FixedPointMultiplyPerAxisAttrs',['../structtvm_1_1relay_1_1FixedPointMultiplyPerAxisAttrs.html',1,'tvm::relay']]],
... 19855 lines suppressed ...