You are viewing a plain text version of this content. The canonical link for it is here.
Posted to notifications@ant.apache.org by ma...@apache.org on 2009/11/03 00:51:13 UTC

svn commit: r832205 - /ant/ivy/core/trunk/test/java/org/apache/ivy/core/resolve/ivy-extra-attrib-forced-dependencies.xml

Author: maartenc
Date: Mon Nov  2 23:51:13 2009
New Revision: 832205

URL: http://svn.apache.org/viewvc?rev=832205&view=rev
Log:
Added missing license

Modified:
    ant/ivy/core/trunk/test/java/org/apache/ivy/core/resolve/ivy-extra-attrib-forced-dependencies.xml

Modified: ant/ivy/core/trunk/test/java/org/apache/ivy/core/resolve/ivy-extra-attrib-forced-dependencies.xml
URL: http://svn.apache.org/viewvc/ant/ivy/core/trunk/test/java/org/apache/ivy/core/resolve/ivy-extra-attrib-forced-dependencies.xml?rev=832205&r1=832204&r2=832205&view=diff
==============================================================================
--- ant/ivy/core/trunk/test/java/org/apache/ivy/core/resolve/ivy-extra-attrib-forced-dependencies.xml (original)
+++ ant/ivy/core/trunk/test/java/org/apache/ivy/core/resolve/ivy-extra-attrib-forced-dependencies.xml Mon Nov  2 23:51:13 2009
@@ -1,4 +1,22 @@
 <?xml version="1.0" encoding="UTF-8"?>
+<!--
+   Licensed to the Apache Software Foundation (ASF) under one
+   or more contributor license agreements.  See the NOTICE file
+   distributed with this work for additional information
+   regarding copyright ownership.  The ASF licenses this file
+   to you under the Apache License, Version 2.0 (the
+   "License"); you may not use this file except in compliance
+   with the License.  You may obtain a copy of the License at
+
+     http://www.apache.org/licenses/LICENSE-2.0
+
+   Unless required by applicable law or agreed to in writing,
+   software distributed under the License is distributed on an
+   "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+   KIND, either express or implied.  See the License for the
+   specific language governing permissions and limitations
+   under the License.    
+-->
 <ivy-module version="2.0">
  <info organisation="CAE-Visualization-Products" module="IG-6_2" revision="6.2.1.4" status="Development" publication="20090429165200">
   <license name="CAE Proprietary"/>