You are viewing a plain text version of this content. The canonical link for it is here.
Posted to commits@tvm.apache.org by tq...@apache.org on 2023/09/06 05:40:27 UTC

[tvm-site] branch asf-site updated: deploying docs (apache/tvm@d26fdcf3d1643bd477a05915cc45ba820031b735)

This is an automated email from the ASF dual-hosted git repository.

tqchen pushed a commit to branch asf-site
in repository https://gitbox.apache.org/repos/asf/tvm-site.git


The following commit(s) were added to refs/heads/asf-site by this push:
     new 6343516a89 deploying docs (apache/tvm@d26fdcf3d1643bd477a05915cc45ba820031b735)
6343516a89 is described below

commit 6343516a89cd7bd8bab883d6cb00d048140a31bd
Author: tvm-bot <95...@users.noreply.github.com>
AuthorDate: Wed Sep 6 05:40:21 2023 +0000

    deploying docs (apache/tvm@d26fdcf3d1643bd477a05915cc45ba820031b735)
---
 .../how_to/compile_models/from_darknet.rst.txt     |    2 +-
 .../how_to/compile_models/from_mxnet.rst.txt       |    2 +-
 .../how_to/compile_models/from_oneflow.rst.txt     |    2 +-
 .../how_to/compile_models/from_paddle.rst.txt      |    2 +-
 .../how_to/compile_models/from_pytorch.rst.txt     |    2 +-
 .../how_to/compile_models/from_tensorflow.rst.txt  |    2 +-
 .../compile_models/sg_execution_times.rst.txt      |   22 +-
 .../deploy_models/deploy_model_on_adreno.rst.txt   |    4 +-
 .../deploy_model_on_adreno_tvmc.rst.txt            |    2 +-
 .../deploy_models/deploy_model_on_android.rst.txt  |    2 +-
 .../deploy_object_detection_pytorch.rst.txt        |    4 +-
 .../deploy_models/deploy_prequantized.rst.txt      |    6 +-
 .../deploy_prequantized_tflite.rst.txt             |    2 +-
 .../how_to/deploy_models/deploy_quantized.rst.txt  |    2 +-
 .../deploy_models/sg_execution_times.rst.txt       |   22 +-
 .../extend_tvm/bring_your_own_datatypes.rst.txt    |    2 +-
 .../how_to/extend_tvm/sg_execution_times.rst.txt   |    8 +-
 .../how_to/extend_tvm/use_pass_instrument.rst.txt  |   16 +-
 .../optimize_operators/opt_conv_cuda.rst.txt       |    2 +-
 .../optimize_operators/opt_conv_tensorcore.rst.txt |    2 +-
 .../how_to/optimize_operators/opt_gemm.rst.txt     |   16 +-
 .../optimize_operators/sg_execution_times.rst.txt  |    8 +-
 .../sg_execution_times.rst.txt                     |   14 +-
 .../tune_conv2d_layer_cuda.rst.txt                 |    2 +-
 .../tune_network_cuda.rst.txt                      |    4 +-
 .../tune_network_x86.rst.txt                       |    4 +-
 .../tune_with_autotvm/sg_execution_times.rst.txt   |    8 +-
 .../tune_with_autotvm/tune_conv2d_cuda.rst.txt     |    2 +-
 .../work_with_microtvm/micro_autotune.rst.txt      |   18 +-
 .../work_with_microtvm/micro_pytorch.rst.txt       |    4 +-
 .../how_to/work_with_microtvm/micro_train.rst.txt  |   16 +-
 .../work_with_microtvm/sg_execution_times.rst.txt  |   14 +-
 .../work_with_relay/sg_execution_times.rst.txt     |    8 +-
 .../how_to/work_with_schedules/intrin_math.rst.txt |    2 +-
 .../work_with_schedules/sg_execution_times.rst.txt |   14 +-
 .../tutorials/autotvm/sg_execution_times.rst.txt   |    6 +-
 .../frontend/deploy_classification.rst.txt         |    4 +-
 .../tutorials/frontend/deploy_detection.rst.txt    |    4 +-
 .../tutorials/frontend/sg_execution_times.rst.txt  |    6 +-
 .../tutorials/optimize/sg_execution_times.rst.txt  |    6 +-
 .../topic/vta/tutorials/sg_execution_times.rst.txt |    6 +-
 .../tutorial/auto_scheduler_matmul_x86.rst.txt     |    4 +-
 docs/_sources/tutorial/autotvm_matmul_x86.rst.txt  |   20 +-
 docs/_sources/tutorial/autotvm_relay_x86.rst.txt   |   63 +-
 .../tutorial/cross_compilation_and_rpc.rst.txt     |    2 +-
 docs/_sources/tutorial/intro_topi.rst.txt          |    2 +-
 docs/_sources/tutorial/sg_execution_times.rst.txt  |   22 +-
 .../tutorial/tensor_expr_get_started.rst.txt       |   47 +-
 docs/api/rust/help.html                            |    2 +-
 docs/api/rust/settings.html                        |    2 +-
 docs/commit_hash                                   |    2 +-
 docs/genindex.html                                 |    8 +-
 docs/how_to/compile_models/from_darknet.html       |    2 +-
 docs/how_to/compile_models/from_mxnet.html         |    2 +-
 docs/how_to/compile_models/from_oneflow.html       |   17 +-
 docs/how_to/compile_models/from_paddle.html        |    2 +-
 docs/how_to/compile_models/from_pytorch.html       |   20 +-
 docs/how_to/compile_models/from_tensorflow.html    |    2 +-
 docs/how_to/compile_models/sg_execution_times.html |   26 +-
 .../deploy_models/deploy_model_on_adreno.html      |    4 +-
 .../deploy_models/deploy_model_on_adreno_tvmc.html |   36 +-
 .../deploy_models/deploy_model_on_android.html     |    2 +-
 .../deploy_object_detection_pytorch.html           |   70 +-
 docs/how_to/deploy_models/deploy_prequantized.html |   11 +-
 .../deploy_models/deploy_prequantized_tflite.html  |    2 +-
 docs/how_to/deploy_models/deploy_quantized.html    |    2 +-
 docs/how_to/deploy_models/sg_execution_times.html  |   26 +-
 .../extend_tvm/bring_your_own_datatypes.html       |    2 +-
 docs/how_to/extend_tvm/sg_execution_times.html     |    8 +-
 docs/how_to/extend_tvm/use_pass_instrument.html    |   16 +-
 docs/how_to/optimize_operators/opt_conv_cuda.html  |    2 +-
 .../optimize_operators/opt_conv_tensorcore.html    |    2 +-
 docs/how_to/optimize_operators/opt_gemm.html       |   16 +-
 .../optimize_operators/sg_execution_times.html     |    8 +-
 .../sg_execution_times.html                        |   18 +-
 .../tune_conv2d_layer_cuda.html                    |    2 +-
 .../tune_with_autoscheduler/tune_network_cuda.html |    4 +-
 .../tune_with_autoscheduler/tune_network_x86.html  |    4 +-
 .../tune_with_autotvm/sg_execution_times.html      |    8 +-
 .../how_to/tune_with_autotvm/tune_conv2d_cuda.html |    2 +-
 docs/how_to/work_with_microtvm/micro_autotune.html |   18 +-
 docs/how_to/work_with_microtvm/micro_pytorch.html  |    6 +-
 docs/how_to/work_with_microtvm/micro_train.html    |   16 +-
 .../work_with_microtvm/sg_execution_times.html     |   22 +-
 .../how_to/work_with_relay/sg_execution_times.html |    8 +-
 docs/how_to/work_with_schedules/intrin_math.html   |    2 +-
 .../work_with_schedules/sg_execution_times.html    |   14 +-
 docs/install/nnpack.html                           |   12 +-
 docs/objects.inv                                   |  Bin 25887 -> 25902 bytes
 docs/reference/api/doxygen/builtin_8h.html         |    8 +-
 docs/reference/api/doxygen/builtin_8h_source.html  |  174 +--
 .../api/doxygen/namespacemembers_func_p.html       |    8 +-
 docs/reference/api/doxygen/namespacemembers_p.html |   10 +-
 .../doxygen/namespacetvm_1_1tir_1_1builtin.html    |   58 +-
 docs/reference/api/doxygen/search/all_11.js        |  232 ++--
 docs/reference/api/doxygen/search/all_12.js        |   10 +-
 docs/reference/api/doxygen/search/all_13.js        |  582 +++++-----
 docs/reference/api/doxygen/search/all_14.js        |  958 ++++++++--------
 docs/reference/api/doxygen/search/all_15.js        | 1210 ++++++++++----------
 docs/reference/api/doxygen/search/all_16.js        |  148 +--
 docs/reference/api/doxygen/search/all_17.js        |  226 ++--
 docs/reference/api/doxygen/search/all_18.js        |  132 +--
 docs/reference/api/doxygen/search/all_19.js        |    4 +-
 docs/reference/api/doxygen/search/all_1a.js        |    2 +-
 docs/reference/api/doxygen/search/all_1b.js        |  118 +-
 docs/reference/api/doxygen/search/all_2.js         |    4 +-
 docs/reference/api/doxygen/search/classes_0.js     |  596 +++++-----
 docs/reference/api/doxygen/search/classes_1.js     |  140 +--
 docs/reference/api/doxygen/search/classes_10.js    |  264 ++---
 docs/reference/api/doxygen/search/classes_11.js    |  316 ++---
 docs/reference/api/doxygen/search/classes_12.js    |   14 +-
 docs/reference/api/doxygen/search/classes_13.js    |   34 +-
 docs/reference/api/doxygen/search/classes_14.js    |   36 +-
 docs/reference/api/doxygen/search/classes_15.js    |    2 +-
 docs/reference/api/doxygen/search/classes_2.js     |  176 +--
 docs/reference/api/doxygen/search/classes_3.js     |  116 +-
 docs/reference/api/doxygen/search/classes_4.js     |   78 +-
 docs/reference/api/doxygen/search/classes_5.js     |   80 +-
 docs/reference/api/doxygen/search/classes_6.js     |   38 +-
 docs/reference/api/doxygen/search/classes_7.js     |   14 +-
 docs/reference/api/doxygen/search/classes_8.js     |  180 +--
 docs/reference/api/doxygen/search/classes_9.js     |   70 +-
 docs/reference/api/doxygen/search/classes_a.js     |  162 +--
 docs/reference/api/doxygen/search/classes_b.js     |   32 +-
 docs/reference/api/doxygen/search/classes_c.js     |  142 +--
 docs/reference/api/doxygen/search/classes_d.js     |  192 ++--
 docs/reference/api/doxygen/search/classes_e.js     |    2 +-
 docs/reference/api/doxygen/search/classes_f.js     |  152 +--
 docs/reference/api/doxygen/search/defines_0.js     |    8 +-
 docs/reference/api/doxygen/search/defines_1.js     |    4 +-
 docs/reference/api/doxygen/search/defines_2.js     |    4 +-
 docs/reference/api/doxygen/search/defines_3.js     |    2 +-
 docs/reference/api/doxygen/search/defines_4.js     |    4 +-
 docs/reference/api/doxygen/search/defines_5.js     |    2 +-
 docs/reference/api/doxygen/search/defines_6.js     |   14 +-
 docs/reference/api/doxygen/search/defines_7.js     |    6 +-
 docs/reference/api/doxygen/search/defines_8.js     |  170 +--
 docs/reference/api/doxygen/search/defines_9.js     |    2 +-
 docs/reference/api/doxygen/search/enums_0.js       |    8 +-
 docs/reference/api/doxygen/search/enums_1.js       |    6 +-
 docs/reference/api/doxygen/search/enums_2.js       |    8 +-
 docs/reference/api/doxygen/search/enums_3.js       |    8 +-
 docs/reference/api/doxygen/search/enums_4.js       |    4 +-
 docs/reference/api/doxygen/search/enums_5.js       |    4 +-
 docs/reference/api/doxygen/search/enums_6.js       |    8 +-
 docs/reference/api/doxygen/search/enums_7.js       |    2 +-
 docs/reference/api/doxygen/search/enums_8.js       |    2 +-
 docs/reference/api/doxygen/search/enums_9.js       |    8 +-
 docs/reference/api/doxygen/search/enums_a.js       |    4 +-
 docs/reference/api/doxygen/search/enums_b.js       |    4 +-
 docs/reference/api/doxygen/search/enums_c.js       |   10 +-
 docs/reference/api/doxygen/search/enums_d.js       |   14 +-
 docs/reference/api/doxygen/search/enumvalues_0.js  |   10 +-
 docs/reference/api/doxygen/search/enumvalues_1.js  |    2 +-
 docs/reference/api/doxygen/search/enumvalues_2.js  |    2 +-
 docs/reference/api/doxygen/search/enumvalues_3.js  |   36 +-
 docs/reference/api/doxygen/search/enumvalues_4.js  |    6 +-
 docs/reference/api/doxygen/search/enumvalues_5.js  |   10 +-
 docs/reference/api/doxygen/search/enumvalues_6.js  |  542 ++++-----
 docs/reference/api/doxygen/search/enumvalues_7.js  |    4 +-
 docs/reference/api/doxygen/search/enumvalues_8.js  |    2 +-
 docs/reference/api/doxygen/search/enumvalues_9.js  |    4 +-
 docs/reference/api/doxygen/search/enumvalues_a.js  |    6 +-
 docs/reference/api/doxygen/search/enumvalues_b.js  |    4 +-
 docs/reference/api/doxygen/search/enumvalues_c.js  |    2 +-
 docs/reference/api/doxygen/search/files_0.js       |   32 +-
 docs/reference/api/doxygen/search/files_1.js       |   26 +-
 docs/reference/api/doxygen/search/files_10.js      |    2 +-
 docs/reference/api/doxygen/search/files_11.js      |    8 +-
 docs/reference/api/doxygen/search/files_12.js      |    6 +-
 docs/reference/api/doxygen/search/files_2.js       |   22 +-
 docs/reference/api/doxygen/search/files_3.js       |   32 +-
 docs/reference/api/doxygen/search/files_4.js       |   24 +-
 docs/reference/api/doxygen/search/files_5.js       |   20 +-
 docs/reference/api/doxygen/search/files_6.js       |   12 +-
 docs/reference/api/doxygen/search/files_7.js       |   26 +-
 docs/reference/api/doxygen/search/files_8.js       |    8 +-
 docs/reference/api/doxygen/search/files_9.js       |   32 +-
 docs/reference/api/doxygen/search/files_a.js       |   10 +-
 docs/reference/api/doxygen/search/files_b.js       |   16 +-
 docs/reference/api/doxygen/search/files_c.js       |   26 +-
 docs/reference/api/doxygen/search/files_d.js       |   26 +-
 docs/reference/api/doxygen/search/files_e.js       |   48 +-
 docs/reference/api/doxygen/search/files_f.js       |   38 +-
 docs/reference/api/doxygen/search/functions_0.js   |   12 +-
 docs/reference/api/doxygen/search/functions_1.js   |  294 ++---
 docs/reference/api/doxygen/search/functions_10.js  |  260 ++---
 docs/reference/api/doxygen/search/functions_11.js  |    8 +-
 docs/reference/api/doxygen/search/functions_12.js  |  288 ++---
 docs/reference/api/doxygen/search/functions_13.js  |  488 ++++----
 docs/reference/api/doxygen/search/functions_14.js  |  492 ++++----
 docs/reference/api/doxygen/search/functions_15.js  |  118 +-
 docs/reference/api/doxygen/search/functions_16.js  |  124 +-
 docs/reference/api/doxygen/search/functions_17.js  |   62 +-
 docs/reference/api/doxygen/search/functions_18.js  |    2 +-
 docs/reference/api/doxygen/search/functions_19.js  |  118 +-
 docs/reference/api/doxygen/search/functions_2.js   |  126 +-
 docs/reference/api/doxygen/search/functions_3.js   |  340 +++---
 docs/reference/api/doxygen/search/functions_4.js   |  200 ++--
 docs/reference/api/doxygen/search/functions_5.js   |  132 +--
 docs/reference/api/doxygen/search/functions_6.js   |  282 ++---
 docs/reference/api/doxygen/search/functions_7.js   |  274 ++---
 docs/reference/api/doxygen/search/functions_8.js   |   44 +-
 docs/reference/api/doxygen/search/functions_9.js   |  388 +++----
 docs/reference/api/doxygen/search/functions_a.js   |    8 +-
 docs/reference/api/doxygen/search/functions_b.js   |    4 +-
 docs/reference/api/doxygen/search/functions_c.js   |  176 +--
 docs/reference/api/doxygen/search/functions_d.js   |  220 ++--
 docs/reference/api/doxygen/search/functions_e.js   |   96 +-
 docs/reference/api/doxygen/search/functions_f.js   |  144 +--
 docs/reference/api/doxygen/search/namespaces_0.js  |    4 +-
 docs/reference/api/doxygen/search/namespaces_1.js  |   78 +-
 docs/reference/api/doxygen/search/related_0.js     |    2 +-
 docs/reference/api/doxygen/search/related_1.js     |   10 +-
 docs/reference/api/doxygen/search/related_10.js    |    8 +-
 docs/reference/api/doxygen/search/related_11.js    |   10 +-
 docs/reference/api/doxygen/search/related_2.js     |    2 +-
 docs/reference/api/doxygen/search/related_3.js     |   12 +-
 docs/reference/api/doxygen/search/related_4.js     |    8 +-
 docs/reference/api/doxygen/search/related_5.js     |    2 +-
 docs/reference/api/doxygen/search/related_6.js     |    6 +-
 docs/reference/api/doxygen/search/related_7.js     |    8 +-
 docs/reference/api/doxygen/search/related_8.js     |    2 +-
 docs/reference/api/doxygen/search/related_9.js     |   14 +-
 docs/reference/api/doxygen/search/related_a.js     |    2 +-
 docs/reference/api/doxygen/search/related_b.js     |   22 +-
 docs/reference/api/doxygen/search/related_c.js     |    2 +-
 docs/reference/api/doxygen/search/related_d.js     |   10 +-
 docs/reference/api/doxygen/search/related_e.js     |    8 +-
 docs/reference/api/doxygen/search/related_f.js     |   24 +-
 docs/reference/api/doxygen/search/typedefs_0.js    |    4 +-
 docs/reference/api/doxygen/search/typedefs_1.js    |    6 +-
 docs/reference/api/doxygen/search/typedefs_10.js   |    2 +-
 docs/reference/api/doxygen/search/typedefs_11.js   |    2 +-
 docs/reference/api/doxygen/search/typedefs_2.js    |   10 +-
 docs/reference/api/doxygen/search/typedefs_3.js    |    8 +-
 docs/reference/api/doxygen/search/typedefs_4.js    |   10 +-
 docs/reference/api/doxygen/search/typedefs_5.js    |  138 +--
 docs/reference/api/doxygen/search/typedefs_6.js    |    8 +-
 docs/reference/api/doxygen/search/typedefs_7.js    |   18 +-
 docs/reference/api/doxygen/search/typedefs_8.js    |    6 +-
 docs/reference/api/doxygen/search/typedefs_9.js    |    2 +-
 docs/reference/api/doxygen/search/typedefs_a.js    |   14 +-
 docs/reference/api/doxygen/search/typedefs_b.js    |    6 +-
 docs/reference/api/doxygen/search/typedefs_c.js    |   22 +-
 docs/reference/api/doxygen/search/typedefs_d.js    |   18 +-
 docs/reference/api/doxygen/search/typedefs_e.js    |   20 +-
 docs/reference/api/doxygen/search/typedefs_f.js    |  120 +-
 docs/reference/api/doxygen/search/variables_0.js   |   18 +-
 docs/reference/api/doxygen/search/variables_1.js   |  110 +-
 docs/reference/api/doxygen/search/variables_10.js  |  138 +--
 docs/reference/api/doxygen/search/variables_11.js  |  218 ++--
 docs/reference/api/doxygen/search/variables_12.js  |  142 +--
 docs/reference/api/doxygen/search/variables_13.js  |   14 +-
 docs/reference/api/doxygen/search/variables_14.js  |   72 +-
 docs/reference/api/doxygen/search/variables_15.js  |   30 +-
 docs/reference/api/doxygen/search/variables_16.js  |    2 +-
 docs/reference/api/doxygen/search/variables_2.js   |   82 +-
 docs/reference/api/doxygen/search/variables_3.js   |  156 +--
 docs/reference/api/doxygen/search/variables_4.js   |  124 +-
 docs/reference/api/doxygen/search/variables_5.js   |   68 +-
 docs/reference/api/doxygen/search/variables_6.js   |  154 +--
 docs/reference/api/doxygen/search/variables_7.js   |   20 +-
 docs/reference/api/doxygen/search/variables_8.js   |   22 +-
 docs/reference/api/doxygen/search/variables_9.js   |  148 +--
 docs/reference/api/doxygen/search/variables_a.js   |  156 +--
 docs/reference/api/doxygen/search/variables_b.js   |   54 +-
 docs/reference/api/doxygen/search/variables_c.js   |  128 +--
 docs/reference/api/doxygen/search/variables_d.js   |   80 +-
 docs/reference/api/doxygen/search/variables_e.js   |   66 +-
 docs/reference/api/doxygen/search/variables_f.js   |  130 +--
 docs/reference/api/python/auto_scheduler.html      |    4 +-
 docs/reference/api/python/tir.html                 |   99 +-
 .../api/typedoc/classes/bytestreamreader.html      |   12 +-
 .../api/typedoc/classes/cachedcallstack.html       |   34 +-
 docs/reference/api/typedoc/classes/dldatatype.html |   12 +-
 docs/reference/api/typedoc/classes/dldevice.html   |   10 +-
 .../reference/api/typedoc/classes/environment.html |   12 +-
 docs/reference/api/typedoc/classes/ffilibrary.html |   20 +-
 docs/reference/api/typedoc/classes/instance.html   |   58 +-
 docs/reference/api/typedoc/classes/memory.html     |   34 +-
 docs/reference/api/typedoc/classes/module.html     |   10 +-
 docs/reference/api/typedoc/classes/ndarray.html    |   22 +-
 .../api/typedoc/classes/packedfunccell.html        |    6 +-
 docs/reference/api/typedoc/classes/rpcserver.html  |   14 +-
 .../api/typedoc/classes/runtimecontext.html        |   22 +-
 docs/reference/api/typedoc/classes/scalar.html     |    6 +-
 docs/reference/api/typedoc/classes/tvmarray.html   |   16 +-
 docs/reference/api/typedoc/classes/tvmobject.html  |   12 +-
 .../api/typedoc/classes/webgpucontext.html         |   12 +-
 docs/reference/api/typedoc/enums/argtypecode.html  |   30 +-
 .../api/typedoc/enums/aynccallbackcode.html        |    4 +-
 .../api/typedoc/enums/dldatatypecode.html          |    8 +-
 .../api/typedoc/enums/rpcserverstate.html          |   12 +-
 docs/reference/api/typedoc/enums/sizeof.html       |   18 +-
 docs/reference/api/typedoc/index.html              |  124 +-
 .../api/typedoc/interfaces/disposable.html         |    2 +-
 .../api/typedoc/interfaces/functioninfo.html       |    6 +-
 .../api/typedoc/interfaces/libraryprovider.html    |    4 +-
 docs/searchindex.js                                |    2 +-
 .../vta/tutorials/autotvm/sg_execution_times.html  |    6 +-
 .../tutorials/frontend/deploy_classification.html  |    4 +-
 .../vta/tutorials/frontend/deploy_detection.html   |    4 +-
 .../vta/tutorials/frontend/sg_execution_times.html |    6 +-
 .../vta/tutorials/optimize/sg_execution_times.html |    6 +-
 docs/topic/vta/tutorials/sg_execution_times.html   |    6 +-
 docs/tutorial/auto_scheduler_matmul_x86.html       |    4 +-
 docs/tutorial/autotvm_matmul_x86.html              |   20 +-
 docs/tutorial/autotvm_relay_x86.html               |  265 ++---
 docs/tutorial/cross_compilation_and_rpc.html       |    2 +-
 docs/tutorial/intro_topi.html                      |    2 +-
 docs/tutorial/sg_execution_times.html              |   22 +-
 docs/tutorial/tensor_expr_get_started.html         |   43 +-
 313 files changed, 8795 insertions(+), 8632 deletions(-)

diff --git a/docs/_sources/how_to/compile_models/from_darknet.rst.txt b/docs/_sources/how_to/compile_models/from_darknet.rst.txt
index 4f727bec40..d7fb9b6cc9 100644
--- a/docs/_sources/how_to/compile_models/from_darknet.rst.txt
+++ b/docs/_sources/how_to/compile_models/from_darknet.rst.txt
@@ -318,7 +318,7 @@ The process is no different from other examples.
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  35.915 seconds)
+   **Total running time of the script:** ( 1 minutes  40.381 seconds)
 
 
 .. _sphx_glr_download_how_to_compile_models_from_darknet.py:
diff --git a/docs/_sources/how_to/compile_models/from_mxnet.rst.txt b/docs/_sources/how_to/compile_models/from_mxnet.rst.txt
index a7963fcb73..6f921cb8aa 100644
--- a/docs/_sources/how_to/compile_models/from_mxnet.rst.txt
+++ b/docs/_sources/how_to/compile_models/from_mxnet.rst.txt
@@ -116,7 +116,7 @@ In this section, we download a pretrained imagenet model and classify an image.
 
  .. code-block:: none
 
-    Downloading /workspace/.mxnet/models/resnet18_v1-a0666292.zip17646e96-21e3-45f5-a7c7-e146bc6e3097 from https://apache-mxnet.s3-accelerate.dualstack.amazonaws.com/gluon/models/resnet18_v1-a0666292.zip...
+    Downloading /workspace/.mxnet/models/resnet18_v1-a0666292.zip41746734-69fb-47b4-9b36-5e213da0153d from https://apache-mxnet.s3-accelerate.dualstack.amazonaws.com/gluon/models/resnet18_v1-a0666292.zip...
     x (1, 3, 224, 224)
 
 
diff --git a/docs/_sources/how_to/compile_models/from_oneflow.rst.txt b/docs/_sources/how_to/compile_models/from_oneflow.rst.txt
index c8af191eb7..ea6b93c046 100644
--- a/docs/_sources/how_to/compile_models/from_oneflow.rst.txt
+++ b/docs/_sources/how_to/compile_models/from_oneflow.rst.txt
@@ -121,7 +121,7 @@ Load a pretrained OneFlow model and save model
  .. code-block:: none
 
     Downloading: "https://oneflow-public.oss-cn-beijing.aliyuncs.com/model_zoo/flowvision/classification/ResNet/resnet18.zip" to /workspace/.oneflow/flowvision_cache/resnet18.zip
-
      0%|          | 0.00/41.5M [00:00<?, ?B/s]
     13%|#2        | 5.19M/41.5M [00:00<00:01, 32.5MB/s]
     20%|#9        | 8.29M/41.5M [00:00<00:01, 22.7MB/s]
     35%|###4      | 14.3M/41.5M [00:00<00:01, 27.8MB/s]
     41%|####      | 17.0M/41.5M [00:00<00:01, 21.3MB/s]
     54%|#####3    | 22.3M/41.5M [00:00<00:00, 24.4MB/s]
     60%|#####9    | 24.7M/41.5M [00:01<00:00, 20.3MB/s]
     77%|#######7  | 32.0M/41.5M [00:01<00:00, 25.7MB/s]
     92%|#########2| 38.3M/41.5M [00:01<00:00, 26.7MB/s]
    100%|##########| 41.5M/41.5M [00:01<00:00, 25.8MB/s]
+
      0%|          | 0.00/41.5M [00:00<?, ?B/s]
     15%|#5        | 6.33M/41.5M [00:00<00:00, 37.1MB/s]
     24%|##3       | 9.88M/41.5M [00:00<00:01, 31.2MB/s]
     39%|###8      | 16.0M/41.5M [00:00<00:00, 28.3MB/s]
     54%|#####3    | 22.3M/41.5M [00:00<00:00, 30.8MB/s]
     61%|######    | 25.3M/41.5M [00:00<00:00, 26.4MB/s]
     77%|#######7  | 32.0M/41.5M [00:01<00:00, 30.3MB/s]
     92%|#########2| 38.3M/41.5M [00:01<00:00, 33.2MB/s]
    100%|##########| 41.5M/41.5M [00:01<00:00, 30.1MB/s]
 
 
 
diff --git a/docs/_sources/how_to/compile_models/from_paddle.rst.txt b/docs/_sources/how_to/compile_models/from_paddle.rst.txt
index 7a31db99f3..065a86b159 100644
--- a/docs/_sources/how_to/compile_models/from_paddle.rst.txt
+++ b/docs/_sources/how_to/compile_models/from_paddle.rst.txt
@@ -209,7 +209,7 @@ Look up prediction top 1 index in 1000 class synset.
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  0.682 seconds)
+   **Total running time of the script:** ( 1 minutes  5.884 seconds)
 
 
 .. _sphx_glr_download_how_to_compile_models_from_paddle.py:
diff --git a/docs/_sources/how_to/compile_models/from_pytorch.rst.txt b/docs/_sources/how_to/compile_models/from_pytorch.rst.txt
index 33e8c8668e..1bdb4cc2cc 100644
--- a/docs/_sources/how_to/compile_models/from_pytorch.rst.txt
+++ b/docs/_sources/how_to/compile_models/from_pytorch.rst.txt
@@ -101,7 +101,7 @@ Load a pretrained PyTorch model
     /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torchvision/models/_utils.py:223: UserWarning: Arguments other than a weight enum or `None` for 'weights' are deprecated since 0.13 and may be removed in the future. The current behavior is equivalent to passing `weights=ResNet18_Weights.IMAGENET1K_V1`. You can also use `weights=ResNet18_Weights.DEFAULT` to get the most up-to-date weights.
       warnings.warn(msg)
     Downloading: "https://download.pytorch.org/models/resnet18-f37072fd.pth" to /workspace/.cache/torch/hub/checkpoints/resnet18-f37072fd.pth
-
      0%|          | 0.00/44.7M [00:00<?, ?B/s]
     14%|#4        | 6.30M/44.7M [00:00<00:01, 38.1MB/s]
     22%|##2       | 9.95M/44.7M [00:00<00:01, 30.1MB/s]
     32%|###2      | 14.3M/44.7M [00:00<00:01, 17.3MB/s]
     37%|###6      | 16.3M/44.7M [00:00<00:01, 17.8MB/s]
     54%|#####3    | 24.0M/44.7M [00:01<00:00, 27.9MB/s]
     68%|######7   | 30.3M/44.7M [00:01<00:00, 34.3MB/s]
     76%|#######6  | 34.1M/44.7M [00:01<00:00, 28.0MB/s]
     86%|########5 | 38.3M/44.7M [00:01<00:00, 30.7MB/s]
     93%|#########3| 41.6M/44.7M [00:01<00:00, 30.8MB/s]
    100%|##########| 44.7M/44.7M [00:01<00:00, 27.5MB/s]
+
      0%|          | 0.00/44.7M [00:00<?, ?B/s]
     18%|#7        | 7.99M/44.7M [00:00<00:00, 41.9MB/s]
     32%|###2      | 14.3M/44.7M [00:00<00:00, 33.7MB/s]
     44%|####3     | 19.5M/44.7M [00:00<00:00, 39.4MB/s]
     54%|#####3    | 24.0M/44.7M [00:00<00:00, 34.8MB/s]
     62%|######1   | 27.5M/44.7M [00:00<00:00, 35.4MB/s]
     70%|######9   | 31.1M/44.7M [00:01<00:00, 20.6MB/s]
     76%|#######5  | 33.8M/44.7M [00:01<00:00, 19.2MB/s]
     86%|########5 | 38.3M/44.7M [00:01<00:00, 18.3MB/s]
     90%|######### | 40.4M/44.7M [00:01<00:00, 16.6MB/s]
    100%|##########| 44.7M/44.7M [00:01<00:00, 25.3MB/s]
 
 
 
diff --git a/docs/_sources/how_to/compile_models/from_tensorflow.rst.txt b/docs/_sources/how_to/compile_models/from_tensorflow.rst.txt
index e8c47859f1..934a7090e3 100644
--- a/docs/_sources/how_to/compile_models/from_tensorflow.rst.txt
+++ b/docs/_sources/how_to/compile_models/from_tensorflow.rst.txt
@@ -430,7 +430,7 @@ Run the corresponding model on tensorflow
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  35.338 seconds)
+   **Total running time of the script:** ( 1 minutes  40.612 seconds)
 
 
 .. _sphx_glr_download_how_to_compile_models_from_tensorflow.py:
diff --git a/docs/_sources/how_to/compile_models/sg_execution_times.rst.txt b/docs/_sources/how_to/compile_models/sg_execution_times.rst.txt
index 3265e114be..c06c335d00 100644
--- a/docs/_sources/how_to/compile_models/sg_execution_times.rst.txt
+++ b/docs/_sources/how_to/compile_models/sg_execution_times.rst.txt
@@ -5,26 +5,26 @@
 
 Computation times
 =================
-**07:24.936** total execution time for **how_to_compile_models** files:
+**07:43.783** total execution time for **how_to_compile_models** files:
 
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_darknet.py` (``from_darknet.py``)       | 01:35.915 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_tensorflow.py` (``from_tensorflow.py``) | 01:40.612 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_tensorflow.py` (``from_tensorflow.py``) | 01:35.338 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_darknet.py` (``from_darknet.py``)       | 01:40.381 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_paddle.py` (``from_paddle.py``)         | 01:00.682 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_paddle.py` (``from_paddle.py``)         | 01:05.884 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_oneflow.py` (``from_oneflow.py``)       | 00:40.969 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_oneflow.py` (``from_oneflow.py``)       | 00:43.618 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_coreml.py` (``from_coreml.py``)         | 00:38.956 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_coreml.py` (``from_coreml.py``)         | 00:38.495 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_mxnet.py` (``from_mxnet.py``)           | 00:36.065 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_mxnet.py` (``from_mxnet.py``)           | 00:36.043 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_keras.py` (``from_keras.py``)           | 00:32.093 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_keras.py` (``from_keras.py``)           | 00:30.868 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_pytorch.py` (``from_pytorch.py``)       | 00:26.728 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_pytorch.py` (``from_pytorch.py``)       | 00:29.663 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_tflite.py` (``from_tflite.py``)         | 00:15.334 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_tflite.py` (``from_tflite.py``)         | 00:15.219 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_compile_models_from_onnx.py` (``from_onnx.py``)             | 00:02.858 | 0.0 MB |
+| :ref:`sphx_glr_how_to_compile_models_from_onnx.py` (``from_onnx.py``)             | 00:03.001 | 0.0 MB |
 +-----------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/how_to/deploy_models/deploy_model_on_adreno.rst.txt b/docs/_sources/how_to/deploy_models/deploy_model_on_adreno.rst.txt
index 19224f4992..9ee0972a5b 100644
--- a/docs/_sources/how_to/deploy_models/deploy_model_on_adreno.rst.txt
+++ b/docs/_sources/how_to/deploy_models/deploy_model_on_adreno.rst.txt
@@ -673,7 +673,7 @@ well as provides information about the model's performance
     Evaluate inference time cost...
     Execution time summary:
      mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)  
-     4092.5450    4093.1521    4095.6900    4089.4867      1.7542                  
+     4226.6122    4225.7568    4231.7694    4224.5615      2.0399                  
 
 
 
@@ -681,7 +681,7 @@ well as provides information about the model's performance
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  17.514 seconds)
+   **Total running time of the script:** ( 1 minutes  21.153 seconds)
 
 
 .. _sphx_glr_download_how_to_deploy_models_deploy_model_on_adreno.py:
diff --git a/docs/_sources/how_to/deploy_models/deploy_model_on_adreno_tvmc.rst.txt b/docs/_sources/how_to/deploy_models/deploy_model_on_adreno_tvmc.rst.txt
index ee52996d5f..2cd01f9011 100644
--- a/docs/_sources/how_to/deploy_models/deploy_model_on_adreno_tvmc.rst.txt
+++ b/docs/_sources/how_to/deploy_models/deploy_model_on_adreno_tvmc.rst.txt
@@ -127,7 +127,7 @@ Make a Keras Resnet50 Model
  .. code-block:: none
 
     Downloading data from https://storage.googleapis.com/tensorflow/keras-applications/resnet/resnet50_weights_tf_dim_ordering_tf_kernels.h5
-
         8192/102967424 [..............................] - ETA: 0s
      2105344/102967424 [..............................] - ETA: 2s
      6635520/102967424 [>.............................] - ETA: 2s
      8380416/102967424 [=>............................] - ETA: 3s
     16769024/102967424 [===>..........................] - ETA: 2s
     23412736/102967424 [=====>........................] - ETA: 1s
     25157632/102967424 [======>.......................] - ETA: 2s
     33546240/102967424 [========>.....................] - ETA: 1s
 
     39862272/102967424 [==========>...................] - ETA: 1s
     40189952/102967424 [==========>...................] - ETA: 1s
     41934848/102967424 [===========>..................] - ETA: 1s
     48234496/102967424 [=============>................] - ETA: 1s
     48578560/102967424 [=============>................] - ETA: 1s
     50323456/102967424 [=============>................] - ETA: 1s
     55230464/102967424 [===============>..............] - ETA: 1s
     56967168/102967424 [===============>..............] -
  ETA: 1s
     58712064/102967424 [================>.............] - ETA: 1s
     58851328/102967424 [================>.............] - ETA: 1s
     65355776/102967424 [==================>...........] - ETA: 1s
     67100672/102967424 [==================>...........] - ETA: 1s
     69296128/102967424 [===================>..........] - ETA: 1s
     73744384/102967424 [====================>.........] - ETA: 1s
     75489280/102967424 [====================>.........] - ETA: 1s
     82124800/102967424
  [======================>.......] - ETA: 0s
     83877888/102967424 [=======================>......] - ETA: 0s
     90521600/102967424 [=========================>....] - ETA: 0s
     92266496/102967424 [=========================>....] - ETA: 0s
     98910208/102967424 [===========================>..] - ETA: 0s
    100646912/102967424 [============================>.] - ETA: 0s
    102850560/102967424 [============================>.] - ETA: 0s
    102967424/102967424 [==============================] - 4s 0us/step
+
         8192/102967424 [..............................] - ETA: 0s
      2187264/102967424 [..............................] - ETA: 4s
      5439488/102967424 [>.............................] - ETA: 4s
      8380416/102967424 [=>............................] - ETA: 4s
     10575872/102967424 [==>...........................] - ETA: 7s
     16482304/102967424 [===>..........................] - ETA: 5s
     16769024/102967424 [===>..........................] - ETA: 6s
     21307392/102967424 [=====>........................] - ETA: 4s
 
     23412736/102967424 [=====>........................] - ETA: 4s
     25157632/102967424 [======>.......................] - ETA: 4s
     32743424/102967424 [========>.....................] - ETA: 3s
     33546240/102967424 [========>.....................] - ETA: 3s
     40189952/102967424 [==========>...................] - ETA: 2s
     41934848/102967424 [===========>..................] - ETA: 2s
     48578560/102967424 [=============>................] - ETA: 2s
     50323456/102967424 [=============>................] -
  ETA: 2s
     56967168/102967424 [===============>..............] - ETA: 1s
     58712064/102967424 [================>.............] - ETA: 1s
     62783488/102967424 [=================>............] - ETA: 1s
     67100672/102967424 [==================>...........] - ETA: 1s
     69296128/102967424 [===================>..........] - ETA: 1s
     73744384/102967424 [====================>.........] - ETA: 1s
     75489280/102967424 [====================>.........] - ETA: 1s
     77684736/102967424
  [=====================>........] - ETA: 0s
     82124800/102967424 [======================>.......] - ETA: 0s
     83877888/102967424 [=======================>......] - ETA: 0s
     88326144/102967424 [========================>.....] - ETA: 0s
     90521600/102967424 [=========================>....] - ETA: 0s
     92266496/102967424 [=========================>....] - ETA: 0s
     96501760/102967424 [===========================>..] - ETA: 0s
    100646912/102967424 [============================>.] - ETA: 0s
 
    102850560/102967424 [============================>.] - ETA: 0s
    102967424/102967424 [==============================] - 4s 0us/step
 
 
 
diff --git a/docs/_sources/how_to/deploy_models/deploy_model_on_android.rst.txt b/docs/_sources/how_to/deploy_models/deploy_model_on_android.rst.txt
index 51ab548639..16b30300c7 100644
--- a/docs/_sources/how_to/deploy_models/deploy_model_on_android.rst.txt
+++ b/docs/_sources/how_to/deploy_models/deploy_model_on_android.rst.txt
@@ -437,7 +437,7 @@ Execute on TVM
     Evaluate inference time cost...
     Execution time summary:
      mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)  
-      14.6126      14.7105      15.0219      14.0540       0.3691                  
+      15.6755      15.5348      16.2436      15.1414       0.3945                  
 
 
 
diff --git a/docs/_sources/how_to/deploy_models/deploy_object_detection_pytorch.rst.txt b/docs/_sources/how_to/deploy_models/deploy_object_detection_pytorch.rst.txt
index ce419ebf2f..55f20bc3fb 100644
--- a/docs/_sources/how_to/deploy_models/deploy_object_detection_pytorch.rst.txt
+++ b/docs/_sources/how_to/deploy_models/deploy_object_detection_pytorch.rst.txt
@@ -130,7 +130,7 @@ Load pre-trained maskrcnn from torchvision and do tracing
     /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torchvision/models/_utils.py:223: UserWarning: Arguments other than a weight enum or `None` for 'weights' are deprecated since 0.13 and may be removed in the future. The current behavior is equivalent to passing `weights=MaskRCNN_ResNet50_FPN_Weights.COCO_V1`. You can also use `weights=MaskRCNN_ResNet50_FPN_Weights.DEFAULT` to get the most up-to-date weights.
       warnings.warn(msg)
     Downloading: "https://download.pytorch.org/models/maskrcnn_resnet50_fpn_coco-bf2d0c1e.pth" to /workspace/.cache/torch/hub/checkpoints/maskrcnn_resnet50_fpn_coco-bf2d0c1e.pth
-
      0%|          | 0.00/170M [00:00<?, ?B/s]
      4%|3         | 6.30M/170M [00:00<00:09, 17.7MB/s]
      5%|4         | 7.99M/170M [00:00<00:11, 15.3MB/s]
      9%|9         | 16.0M/170M [00:00<00:06, 24.1MB/s]
     13%|#3        | 22.3M/170M [00:01<00:06, 22.9MB/s]
     14%|#4        | 24.5M/170M [00:01<00:07, 19.6MB/s]
     18%|#7        | 30.3M/170M [00:01<00:06, 21.6MB/s]
     19%|#9        | 32.3M/170M [00:01<00:08, 16.6MB/s]
     24%|##3       | 40.0M/170M [00:01<00:05, 24.3MB/s]
     27%|##7       | 46.3M/170M [00:02<00:04, 28.9MB/s]
     29%|##9       | 49.4M/170M [00:02<00:04, 25.5MB/s]
     33%|###2      | 56.0M/170M [00:02<00:04, 29.5MB/s]
     37%|###6      | 62.3M/170M [00:02<00:04, 25.2MB/s]
     38%|###8      | 65.0M/170M [00:02<00:04, 23.0MB/s]
     42%|####2     | 72.0M/170M [00:03<00:03, 28.5MB/s]
     46%|####6     | 78.6M/170M [00:03<00:02, 35.7MB/s]
     49%|####8     | 82.6M/170M [00:03<00:02, 36.0MB/s]
     52%|#####1    | 88.0M/170M [00:03<00:02, 36.1MB/
 s]
     56%|#####5    | 94.3M/170M [00:03<00:02, 32.7MB/s]
     58%|#####7    | 97.7M/170M [00:03<00:02, 27.6MB/s]
     61%|######1   | 104M/170M [00:04<00:02, 27.4MB/s] 
     66%|######5   | 112M/170M [00:04<00:01, 32.6MB/s]
     71%|#######   | 120M/170M [00:04<00:01, 30.7MB/s]
     74%|#######4  | 126M/170M [00:05<00:01, 24.8MB/s]
     76%|#######5  | 129M/170M [00:05<00:01, 23.0MB/s]
     80%|########  | 136M/170M [00:05<00:01, 27.0MB/s]
     85%|########4 | 144M/170M [00:05<00:00, 31.6MB/s]
     88%|########8 | 150M/170M [00:05<00:00, 28.5MB/s]
     90%|######### | 153M/170M [00:06<00:00, 27.0MB/s]
     94%|#########3| 159M/170M [00:06<00:00, 32.5MB/s]
     96%|#########5| 162M/170M [00:06<00:00, 28.5MB/s]
     99%|#########8| 168M/170M [00:06<00:00, 34.3MB/s]
    100%|##########| 170M/170M [00:06<00:00, 27.6MB/s]
+
      0%|          | 0.00/170M [00:00<?, ?B/s]
      4%|3         | 6.30M/170M [00:00<00:06, 25.8MB/s]
      5%|5         | 8.77M/170M [00:00<00:08, 19.0MB/s]
      8%|8         | 14.3M/170M [00:00<00:06, 25.8MB/s]
     10%|9         | 16.9M/170M [00:00<00:06, 25.6MB/s]
     13%|#3        | 22.3M/170M [00:00<00:05, 29.1MB/s]
     15%|#4        | 25.1M/170M [00:01<00:06, 25.1MB/s]
     18%|#7        | 30.3M/170M [00:01<00:04, 29.6MB/s]
     20%|#9        | 33.2M/170M [00:01<00:05, 26.6MB/s]
     24%|##3       | 40.0M/170M [00:01<00:03, 35.1MB/s]
     27%|##7       | 46.3M/170M [00:01<00:05, 24.6MB/s]
     29%|##8       | 49.2M/170M [00:01<00:05, 24.4MB/s]
     33%|###2      | 56.0M/170M [00:02<00:04, 26.3MB/s]
     38%|###7      | 64.0M/170M [00:02<00:03, 30.4MB/s]
     42%|####2     | 72.0M/170M [00:02<00:02, 34.4MB/s]
     46%|####6     | 78.3M/170M [00:02<00:02, 39.4MB/s]
     49%|####8     | 82.4M/170M [00:02<00:02, 37.2MB/s]
     51%|#####     | 86.3M/170M [00:03<00:02, 34.1MB/
 s]
     53%|#####2    | 89.7M/170M [00:03<00:02, 28.8MB/s]
     56%|#####5    | 94.3M/170M [00:03<00:02, 29.4MB/s]
     57%|#####7    | 97.2M/170M [00:03<00:03, 20.8MB/s]
     61%|######1   | 104M/170M [00:03<00:02, 26.9MB/s] 
     66%|######5   | 112M/170M [00:04<00:01, 32.7MB/s]
     70%|######9   | 118M/170M [00:04<00:01, 38.6MB/s]
     72%|#######2  | 123M/170M [00:04<00:01, 31.4MB/s]
     74%|#######4  | 126M/170M [00:04<00:01, 31.8MB/s]
     76%|#######6  | 130M/170M [00:04<00:01, 31.5MB/s]
     79%|#######9  | 134M/170M [00:04<00:01, 34.5MB/s]
     81%|########1 | 138M/170M [00:04<00:01, 26.4MB/s]
     85%|########4 | 144M/170M [00:05<00:00, 27.6MB/s]
     88%|########8 | 150M/170M [00:05<00:01, 20.1MB/s]
     90%|########9 | 153M/170M [00:05<00:00, 19.1MB/s]
     93%|#########3| 158M/170M [00:06<00:00, 18.0MB/s]
     94%|#########4| 160M/170M [00:06<00:00, 17.6MB/s]
     98%|#########7| 166M/170M [00:06<00:00, 19.6MB/s]
     99%|#########9| 169M/170M [00:06<00:00, 20.6MB/s]
     100%|##########| 170M/170M [00:06<00:00, 26.7MB/s]
     /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torch/nn/functional.py:3912: UserWarning: To copy construct from a tensor, it is recommended to use sourceTensor.clone().detach() or sourceTensor.clone().detach().requires_grad_(True), rather than torch.tensor(sourceTensor).
       (torch.floor((input.size(i + 2).float() * torch.tensor(scale_factors[i], dtype=torch.float32)).float()))
     /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torchvision/ops/boxes.py:157: UserWarning: To copy construct from a tensor, it is recommended to use sourceTensor.clone().detach() or sourceTensor.clone().detach().requires_grad_(True), rather than torch.tensor(sourceTensor).
@@ -295,7 +295,7 @@ Get boxes with score larger than 0.9
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 3 minutes  41.327 seconds)
+   **Total running time of the script:** ( 3 minutes  45.631 seconds)
 
 
 .. _sphx_glr_download_how_to_deploy_models_deploy_object_detection_pytorch.py:
diff --git a/docs/_sources/how_to/deploy_models/deploy_prequantized.rst.txt b/docs/_sources/how_to/deploy_models/deploy_prequantized.rst.txt
index ed179c6f74..f052313773 100644
--- a/docs/_sources/how_to/deploy_models/deploy_prequantized.rst.txt
+++ b/docs/_sources/how_to/deploy_models/deploy_prequantized.rst.txt
@@ -227,7 +227,7 @@ training. Other models require a full post training calibration.
     /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torchvision/models/_utils.py:223: UserWarning: Arguments other than a weight enum or `None` for 'weights' are deprecated since 0.13 and may be removed in the future. The current behavior is equivalent to passing `weights=MobileNet_V2_Weights.IMAGENET1K_V1`. You can also use `weights=MobileNet_V2_Weights.DEFAULT` to get the most up-to-date weights.
       warnings.warn(msg)
     Downloading: "https://download.pytorch.org/models/mobilenet_v2-b0353104.pth" to /workspace/.cache/torch/hub/checkpoints/mobilenet_v2-b0353104.pth
-
      0%|          | 0.00/13.6M [00:00<?, ?B/s]
     47%|####6     | 6.30M/13.6M [00:00<00:00, 29.6MB/s]
     67%|######7   | 9.13M/13.6M [00:00<00:00, 25.1MB/s]
     90%|########9 | 12.2M/13.6M [00:00<00:00, 22.4MB/s]
    100%|##########| 13.6M/13.6M [00:00<00:00, 26.1MB/s]
+
      0%|          | 0.00/13.6M [00:00<?, ?B/s]
     47%|####6     | 6.30M/13.6M [00:00<00:00, 48.7MB/s]
     81%|########  | 11.0M/13.6M [00:00<00:00, 40.9MB/s]
    100%|##########| 13.6M/13.6M [00:00<00:00, 36.2MB/s]
 
 
 
@@ -409,7 +409,7 @@ Here we give an example of how to measure performance of TVM compiled models.
 
     Execution time summary:
      mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)  
-      85.9054      85.8932      86.5949      85.5769       0.2234                  
+      89.2529      89.1979      90.4364      88.8128       0.2676                  
 
 
 
@@ -457,7 +457,7 @@ TODO
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  33.929 seconds)
+   **Total running time of the script:** ( 1 minutes  29.367 seconds)
 
 
 .. _sphx_glr_download_how_to_deploy_models_deploy_prequantized.py:
diff --git a/docs/_sources/how_to/deploy_models/deploy_prequantized_tflite.rst.txt b/docs/_sources/how_to/deploy_models/deploy_prequantized_tflite.rst.txt
index 8a4786b2df..dff8a6c4e6 100644
--- a/docs/_sources/how_to/deploy_models/deploy_prequantized_tflite.rst.txt
+++ b/docs/_sources/how_to/deploy_models/deploy_prequantized_tflite.rst.txt
@@ -423,7 +423,7 @@ Here we give an example of how to measure performance of TVM compiled models.
 
     Execution time summary:
      mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)  
-      101.5215     101.4163     106.9309     101.0890      0.6381                  
+      110.5237     110.4122     113.3033     109.9104      0.5416                  
 
 
 
diff --git a/docs/_sources/how_to/deploy_models/deploy_quantized.rst.txt b/docs/_sources/how_to/deploy_models/deploy_quantized.rst.txt
index 58b59063bc..ed995c4c36 100644
--- a/docs/_sources/how_to/deploy_models/deploy_quantized.rst.txt
+++ b/docs/_sources/how_to/deploy_models/deploy_quantized.rst.txt
@@ -257,7 +257,7 @@ We create a Relay VM to build and execute the model.
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 2 minutes  45.780 seconds)
+   **Total running time of the script:** ( 2 minutes  40.132 seconds)
 
 
 .. _sphx_glr_download_how_to_deploy_models_deploy_quantized.py:
diff --git a/docs/_sources/how_to/deploy_models/sg_execution_times.rst.txt b/docs/_sources/how_to/deploy_models/sg_execution_times.rst.txt
index c205efcf97..73449a6c9a 100644
--- a/docs/_sources/how_to/deploy_models/sg_execution_times.rst.txt
+++ b/docs/_sources/how_to/deploy_models/sg_execution_times.rst.txt
@@ -5,26 +5,26 @@
 
 Computation times
 =================
-**13:00.744** total execution time for **how_to_deploy_models** files:
+**12:59.170** total execution time for **how_to_deploy_models** files:
 
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_object_detection_pytorch.py` (``deploy_object_detection_pytorch.py``) | 03:41.327 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_object_detection_pytorch.py` (``deploy_object_detection_pytorch.py``) | 03:45.631 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_quantized.py` (``deploy_quantized.py``)                               | 02:45.780 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_quantized.py` (``deploy_quantized.py``)                               | 02:40.132 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_prequantized.py` (``deploy_prequantized.py``)                         | 01:33.929 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_prequantized.py` (``deploy_prequantized.py``)                         | 01:29.367 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_adreno.py` (``deploy_model_on_adreno.py``)                   | 01:17.514 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_adreno.py` (``deploy_model_on_adreno.py``)                   | 01:21.153 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_prequantized_tflite.py` (``deploy_prequantized_tflite.py``)           | 00:57.870 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_prequantized_tflite.py` (``deploy_prequantized_tflite.py``)           | 00:56.674 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_android.py` (``deploy_model_on_android.py``)                 | 00:53.113 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_adreno_tvmc.py` (``deploy_model_on_adreno_tvmc.py``)         | 00:52.441 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_adreno_tvmc.py` (``deploy_model_on_adreno_tvmc.py``)         | 00:49.680 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_android.py` (``deploy_model_on_android.py``)                 | 00:51.814 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_nano.py` (``deploy_model_on_nano.py``)                       | 00:32.236 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_nano.py` (``deploy_model_on_nano.py``)                       | 00:31.232 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_rasp.py` (``deploy_model_on_rasp.py``)                       | 00:29.290 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_model_on_rasp.py` (``deploy_model_on_rasp.py``)                       | 00:30.718 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_deploy_models_deploy_sparse.py` (``deploy_sparse.py``)                                     | 00:00.006 | 0.0 MB |
+| :ref:`sphx_glr_how_to_deploy_models_deploy_sparse.py` (``deploy_sparse.py``)                                     | 00:00.007 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/how_to/extend_tvm/bring_your_own_datatypes.rst.txt b/docs/_sources/how_to/extend_tvm/bring_your_own_datatypes.rst.txt
index 77e59161ff..7ce40aeb5e 100644
--- a/docs/_sources/how_to/extend_tvm/bring_your_own_datatypes.rst.txt
+++ b/docs/_sources/how_to/extend_tvm/bring_your_own_datatypes.rst.txt
@@ -463,7 +463,7 @@ First let us define two helper functions to get the mobilenet model and a cat im
 
  .. code-block:: none
 
-    Downloading /workspace/.mxnet/models/mobilenet0.25-9f83e440.zipc4be3ec8-6487-436d-afae-c1f8a88d9a8c from https://apache-mxnet.s3-accelerate.dualstack.amazonaws.com/gluon/models/mobilenet0.25-9f83e440.zip...
+    Downloading /workspace/.mxnet/models/mobilenet0.25-9f83e440.zip7e6d9e36-e5af-436f-bb0b-a1effd92ecd1 from https://apache-mxnet.s3-accelerate.dualstack.amazonaws.com/gluon/models/mobilenet0.25-9f83e440.zip...
 
 
 
diff --git a/docs/_sources/how_to/extend_tvm/sg_execution_times.rst.txt b/docs/_sources/how_to/extend_tvm/sg_execution_times.rst.txt
index cfc7ff797e..f45ac3a97d 100644
--- a/docs/_sources/how_to/extend_tvm/sg_execution_times.rst.txt
+++ b/docs/_sources/how_to/extend_tvm/sg_execution_times.rst.txt
@@ -5,14 +5,14 @@
 
 Computation times
 =================
-**00:58.795** total execution time for **how_to_extend_tvm** files:
+**01:00.288** total execution time for **how_to_extend_tvm** files:
 
 +-------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_extend_tvm_bring_your_own_datatypes.py` (``bring_your_own_datatypes.py``) | 00:54.911 | 0.0 MB |
+| :ref:`sphx_glr_how_to_extend_tvm_bring_your_own_datatypes.py` (``bring_your_own_datatypes.py``) | 00:56.237 | 0.0 MB |
 +-------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_extend_tvm_use_pass_instrument.py` (``use_pass_instrument.py``)           | 00:02.743 | 0.0 MB |
+| :ref:`sphx_glr_how_to_extend_tvm_use_pass_instrument.py` (``use_pass_instrument.py``)           | 00:02.813 | 0.0 MB |
 +-------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_extend_tvm_use_pass_infra.py` (``use_pass_infra.py``)                     | 00:01.135 | 0.0 MB |
+| :ref:`sphx_glr_how_to_extend_tvm_use_pass_infra.py` (``use_pass_infra.py``)                     | 00:01.230 | 0.0 MB |
 +-------------------------------------------------------------------------------------------------+-----------+--------+
 | :ref:`sphx_glr_how_to_extend_tvm_low_level_custom_pass.py` (``low_level_custom_pass.py``)       | 00:00.007 | 0.0 MB |
 +-------------------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/how_to/extend_tvm/use_pass_instrument.rst.txt b/docs/_sources/how_to/extend_tvm/use_pass_instrument.rst.txt
index e1a221a4a9..0d11c39fe4 100644
--- a/docs/_sources/how_to/extend_tvm/use_pass_instrument.rst.txt
+++ b/docs/_sources/how_to/extend_tvm/use_pass_instrument.rst.txt
@@ -220,10 +220,10 @@ profile the execution time of each passes.
  .. code-block:: none
 
     Printing results of timing profile...
-    InferType: 28277us [28277us] (48.70%; 48.70%)
-    FoldScaleAxis: 29785us [8us] (51.30%; 51.30%)
-            FoldConstant: 29777us [1706us] (51.29%; 99.97%)
-                    InferType: 28071us [28071us] (48.35%; 94.27%)
+    InferType: 24179us [24179us] (47.84%; 47.84%)
+    FoldScaleAxis: 26365us [10us] (52.16%; 52.16%)
+            FoldConstant: 26355us [1919us] (52.14%; 99.96%)
+                    InferType: 24436us [24436us] (48.35%; 92.72%)
 
 
 
@@ -262,10 +262,10 @@ Refer to following sections and :py:func:`tvm.instrument.pass_instrument` for th
  .. code-block:: none
 
     Printing results of timing profile...
-    InferType: 28183us [28183us] (49.20%; 49.20%)
-    FoldScaleAxis: 29101us [6us] (50.80%; 50.80%)
-            FoldConstant: 29096us [1603us] (50.79%; 99.98%)
-                    InferType: 27493us [27493us] (47.99%; 94.49%)
+    InferType: 23712us [23712us] (48.13%; 48.13%)
+    FoldScaleAxis: 25559us [9us] (51.87%; 51.87%)
+            FoldConstant: 25550us [1952us] (51.86%; 99.96%)
+                    InferType: 23598us [23598us] (47.89%; 92.36%)
 
 
 
diff --git a/docs/_sources/how_to/optimize_operators/opt_conv_cuda.rst.txt b/docs/_sources/how_to/optimize_operators/opt_conv_cuda.rst.txt
index 80826f9e1e..646974111a 100644
--- a/docs/_sources/how_to/optimize_operators/opt_conv_cuda.rst.txt
+++ b/docs/_sources/how_to/optimize_operators/opt_conv_cuda.rst.txt
@@ -331,7 +331,7 @@ latency of convolution.
 
  .. code-block:: none
 
-    Convolution: 53.540863 ms
+    Convolution: 53.533569 ms
 
 
 
diff --git a/docs/_sources/how_to/optimize_operators/opt_conv_tensorcore.rst.txt b/docs/_sources/how_to/optimize_operators/opt_conv_tensorcore.rst.txt
index 7f3e42ec94..f174e7410d 100644
--- a/docs/_sources/how_to/optimize_operators/opt_conv_tensorcore.rst.txt
+++ b/docs/_sources/how_to/optimize_operators/opt_conv_tensorcore.rst.txt
@@ -598,7 +598,7 @@ be able to run on our build server
 
  .. code-block:: none
 
-    conv2d with tensor core: 12.214067 ms
+    conv2d with tensor core: 11.981699 ms
 
 
 
diff --git a/docs/_sources/how_to/optimize_operators/opt_gemm.rst.txt b/docs/_sources/how_to/optimize_operators/opt_gemm.rst.txt
index 88326fd468..6ec8692446 100644
--- a/docs/_sources/how_to/optimize_operators/opt_gemm.rst.txt
+++ b/docs/_sources/how_to/optimize_operators/opt_gemm.rst.txt
@@ -134,8 +134,8 @@ Then we write a baseline implementation, the simplest way to write a matrix mult
 
  .. code-block:: none
 
-    Numpy running time: 0.013151
-    Baseline: 3.434385
+    Numpy running time: 0.018873
+    Baseline: 3.456170
 
 
 
@@ -227,7 +227,7 @@ fill 32 * 32 * sizeof(float) which is 4KB in the cache whose total size is 32KB
 
  .. code-block:: none
 
-    Opt1: 0.272166
+    Opt1: 0.303289
 
 
 
@@ -318,7 +318,7 @@ In this tutorial, we chose to vectorize the inner loop row data since it is cach
 
  .. code-block:: none
 
-    Opt2: 0.260652
+    Opt2: 0.278649
 
 
 
@@ -406,7 +406,7 @@ the access pattern for A matrix is more cache friendly.
 
  .. code-block:: none
 
-    Opt3: 0.105133
+    Opt3: 0.113383
 
 
 
@@ -523,7 +523,7 @@ flattening.
 
  .. code-block:: none
 
-    Opt4: 0.099163
+    Opt4: 0.106298
 
 
 
@@ -635,7 +635,7 @@ write to C when all the block results are ready.
 
  .. code-block:: none
 
-    Opt5: 0.091008
+    Opt5: 0.111809
 
 
 
@@ -748,7 +748,7 @@ Furthermore, we can also utilize multi-core processors to do the thread-level pa
 
  .. code-block:: none
 
-    Opt6: 0.108295
+    Opt6: 0.133281
 
 
 
diff --git a/docs/_sources/how_to/optimize_operators/sg_execution_times.rst.txt b/docs/_sources/how_to/optimize_operators/sg_execution_times.rst.txt
index 516f9dd6ed..44ce5b671a 100644
--- a/docs/_sources/how_to/optimize_operators/sg_execution_times.rst.txt
+++ b/docs/_sources/how_to/optimize_operators/sg_execution_times.rst.txt
@@ -5,12 +5,12 @@
 
 Computation times
 =================
-**00:31.192** total execution time for **how_to_optimize_operators** files:
+**00:34.412** total execution time for **how_to_optimize_operators** files:
 
 +-----------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_optimize_operators_opt_gemm.py` (``opt_gemm.py``)                       | 00:28.077 | 0.0 MB |
+| :ref:`sphx_glr_how_to_optimize_operators_opt_gemm.py` (``opt_gemm.py``)                       | 00:31.105 | 0.0 MB |
 +-----------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_optimize_operators_opt_conv_tensorcore.py` (``opt_conv_tensorcore.py``) | 00:01.924 | 0.0 MB |
+| :ref:`sphx_glr_how_to_optimize_operators_opt_conv_tensorcore.py` (``opt_conv_tensorcore.py``) | 00:02.065 | 0.0 MB |
 +-----------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_optimize_operators_opt_conv_cuda.py` (``opt_conv_cuda.py``)             | 00:01.190 | 0.0 MB |
+| :ref:`sphx_glr_how_to_optimize_operators_opt_conv_cuda.py` (``opt_conv_cuda.py``)             | 00:01.242 | 0.0 MB |
 +-----------------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/how_to/tune_with_autoscheduler/sg_execution_times.rst.txt b/docs/_sources/how_to/tune_with_autoscheduler/sg_execution_times.rst.txt
index 1689e340e9..929bdab3a5 100644
--- a/docs/_sources/how_to/tune_with_autoscheduler/sg_execution_times.rst.txt
+++ b/docs/_sources/how_to/tune_with_autoscheduler/sg_execution_times.rst.txt
@@ -5,18 +5,18 @@
 
 Computation times
 =================
-**03:42.640** total execution time for **how_to_tune_with_autoscheduler** files:
+**03:49.084** total execution time for **how_to_tune_with_autoscheduler** files:
 
 +----------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_network_x86.py` (``tune_network_x86.py``)             | 01:39.186 | 0.0 MB |
+| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_network_x86.py` (``tune_network_x86.py``)             | 01:42.394 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_network_cuda.py` (``tune_network_cuda.py``)           | 01:12.471 | 0.0 MB |
+| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_network_cuda.py` (``tune_network_cuda.py``)           | 01:14.710 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_network_mali.py` (``tune_network_mali.py``)           | 00:17.019 | 0.0 MB |
+| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_conv2d_layer_cuda.py` (``tune_conv2d_layer_cuda.py``) | 00:17.920 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_conv2d_layer_cuda.py` (``tune_conv2d_layer_cuda.py``) | 00:16.943 | 0.0 MB |
+| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_network_mali.py` (``tune_network_mali.py``)           | 00:17.098 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_network_arm.py` (``tune_network_arm.py``)             | 00:16.924 | 0.0 MB |
+| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_network_arm.py` (``tune_network_arm.py``)             | 00:16.856 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_sparse_x86.py` (``tune_sparse_x86.py``)               | 00:00.096 | 0.0 MB |
+| :ref:`sphx_glr_how_to_tune_with_autoscheduler_tune_sparse_x86.py` (``tune_sparse_x86.py``)               | 00:00.105 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/how_to/tune_with_autoscheduler/tune_conv2d_layer_cuda.rst.txt b/docs/_sources/how_to/tune_with_autoscheduler/tune_conv2d_layer_cuda.rst.txt
index dccd0383bc..1e01b2e9f6 100644
--- a/docs/_sources/how_to/tune_with_autoscheduler/tune_conv2d_layer_cuda.rst.txt
+++ b/docs/_sources/how_to/tune_with_autoscheduler/tune_conv2d_layer_cuda.rst.txt
@@ -766,7 +766,7 @@ We build the binary and check its correctness and performance.
 
  .. code-block:: none
 
-    Execution time of this operator: 0.351 ms
+    Execution time of this operator: 0.345 ms
 
 
 
diff --git a/docs/_sources/how_to/tune_with_autoscheduler/tune_network_cuda.rst.txt b/docs/_sources/how_to/tune_with_autoscheduler/tune_network_cuda.rst.txt
index 3e12e5f043..1b15b37a40 100644
--- a/docs/_sources/how_to/tune_with_autoscheduler/tune_network_cuda.rst.txt
+++ b/docs/_sources/how_to/tune_with_autoscheduler/tune_network_cuda.rst.txt
@@ -647,7 +647,7 @@ so we can read the log file and load the best schedules.
     Evaluate inference time cost...
     Execution time summary:
      mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)  
-       3.3167       3.3233       3.3250       3.3018       0.0105                  
+       3.2204       3.2154       3.2317       3.2143       0.0080                  
 
 
 
@@ -674,7 +674,7 @@ Other Tips
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  12.471 seconds)
+   **Total running time of the script:** ( 1 minutes  14.710 seconds)
 
 
 .. _sphx_glr_download_how_to_tune_with_autoscheduler_tune_network_cuda.py:
diff --git a/docs/_sources/how_to/tune_with_autoscheduler/tune_network_x86.rst.txt b/docs/_sources/how_to/tune_with_autoscheduler/tune_network_x86.rst.txt
index 65c69e590d..155ccff867 100644
--- a/docs/_sources/how_to/tune_with_autoscheduler/tune_network_x86.rst.txt
+++ b/docs/_sources/how_to/tune_with_autoscheduler/tune_network_x86.rst.txt
@@ -666,7 +666,7 @@ so we can read the log file and load the best schedules.
     Evaluate inference time cost...
     Execution time summary:
      mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)  
-      690.9678     690.9154     693.5477     688.4403      2.0854                  
+      769.6465     769.5562     772.0256     767.3577      1.9067                  
 
 
 
@@ -693,7 +693,7 @@ Other Tips
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  39.186 seconds)
+   **Total running time of the script:** ( 1 minutes  42.394 seconds)
 
 
 .. _sphx_glr_download_how_to_tune_with_autoscheduler_tune_network_x86.py:
diff --git a/docs/_sources/how_to/tune_with_autotvm/sg_execution_times.rst.txt b/docs/_sources/how_to/tune_with_autotvm/sg_execution_times.rst.txt
index 13d86a0a39..a2cb371f8f 100644
--- a/docs/_sources/how_to/tune_with_autotvm/sg_execution_times.rst.txt
+++ b/docs/_sources/how_to/tune_with_autotvm/sg_execution_times.rst.txt
@@ -5,14 +5,14 @@
 
 Computation times
 =================
-**00:22.638** total execution time for **how_to_tune_with_autotvm** files:
+**00:23.974** total execution time for **how_to_tune_with_autotvm** files:
 
 +--------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_tune_with_autotvm_tune_conv2d_cuda.py` (``tune_conv2d_cuda.py``)           | 00:22.603 | 0.0 MB |
+| :ref:`sphx_glr_how_to_tune_with_autotvm_tune_conv2d_cuda.py` (``tune_conv2d_cuda.py``)           | 00:23.935 | 0.0 MB |
 +--------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_tune_with_autotvm_tune_relay_x86.py` (``tune_relay_x86.py``)               | 00:00.019 | 0.0 MB |
+| :ref:`sphx_glr_how_to_tune_with_autotvm_tune_relay_x86.py` (``tune_relay_x86.py``)               | 00:00.023 | 0.0 MB |
 +--------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_tune_with_autotvm_tune_relay_cuda.py` (``tune_relay_cuda.py``)             | 00:00.005 | 0.0 MB |
+| :ref:`sphx_glr_how_to_tune_with_autotvm_tune_relay_cuda.py` (``tune_relay_cuda.py``)             | 00:00.006 | 0.0 MB |
 +--------------------------------------------------------------------------------------------------+-----------+--------+
 | :ref:`sphx_glr_how_to_tune_with_autotvm_tune_relay_mobile_gpu.py` (``tune_relay_mobile_gpu.py``) | 00:00.005 | 0.0 MB |
 +--------------------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/how_to/tune_with_autotvm/tune_conv2d_cuda.rst.txt b/docs/_sources/how_to/tune_with_autotvm/tune_conv2d_cuda.rst.txt
index 1adbc48b02..c267b8c981 100644
--- a/docs/_sources/how_to/tune_with_autotvm/tune_conv2d_cuda.rst.txt
+++ b/docs/_sources/how_to/tune_with_autotvm/tune_conv2d_cuda.rst.txt
@@ -326,7 +326,7 @@ and measure running time.
 
     Best config:
     ,None
-    Time cost of this operator: 0.037202
+    Time cost of this operator: 0.037195
 
 
 
diff --git a/docs/_sources/how_to/work_with_microtvm/micro_autotune.rst.txt b/docs/_sources/how_to/work_with_microtvm/micro_autotune.rst.txt
index 84643d434f..5bb79b4baa 100644
--- a/docs/_sources/how_to/work_with_microtvm/micro_autotune.rst.txt
+++ b/docs/_sources/how_to/work_with_microtvm/micro_autotune.rst.txt
@@ -360,10 +360,10 @@ Timing the untuned program
     ########## Build without Autotuning ##########
     Node Name                                     Ops                                           Time(us)  Time(%)  Shape              Inputs  Outputs  Measurements(us)  
     ---------                                     ---                                           --------  -------  -----              ------  -------  ----------------  
-    tvmgen_default_fused_nn_contrib_conv2d_NCHWc  tvmgen_default_fused_nn_contrib_conv2d_NCHWc  286.8     98.742   (1, 2, 10, 10, 3)  2       1        [286.8]           
-    tvmgen_default_fused_layout_transform_1       tvmgen_default_fused_layout_transform_1       2.759     0.95     (1, 6, 10, 10)     1       1        [2.759]           
-    tvmgen_default_fused_layout_transform         tvmgen_default_fused_layout_transform         0.895     0.308    (1, 1, 10, 10, 3)  1       1        [0.895]           
-    Total_time                                    -                                             290.454   -        -                  -       -        -                 
+    tvmgen_default_fused_nn_contrib_conv2d_NCHWc  tvmgen_default_fused_nn_contrib_conv2d_NCHWc  306.8     98.749   (1, 2, 10, 10, 3)  2       1        [306.8]           
+    tvmgen_default_fused_layout_transform_1       tvmgen_default_fused_layout_transform_1       2.897     0.933    (1, 6, 10, 10)     1       1        [2.897]           
+    tvmgen_default_fused_layout_transform         tvmgen_default_fused_layout_transform         0.991     0.319    (1, 1, 10, 10, 3)  1       1        [0.991]           
+    Total_time                                    -                                             310.688   -        -                  -       -        -                 
 
 
 
@@ -428,10 +428,10 @@ Timing the tuned program
     ########## Build with Autotuning ##########
     Node Name                                     Ops                                           Time(us)  Time(%)  Shape              Inputs  Outputs  Measurements(us)  
     ---------                                     ---                                           --------  -------  -----              ------  -------  ----------------  
-    tvmgen_default_fused_nn_contrib_conv2d_NCHWc  tvmgen_default_fused_nn_contrib_conv2d_NCHWc  178.6     98.59    (1, 6, 10, 10, 1)  2       1        [178.6]           
-    tvmgen_default_fused_layout_transform_1       tvmgen_default_fused_layout_transform_1       1.727     0.953    (1, 6, 10, 10)     1       1        [1.727]           
-    tvmgen_default_fused_layout_transform         tvmgen_default_fused_layout_transform         0.827     0.456    (1, 3, 10, 10, 1)  1       1        [0.827]           
-    Total_time                                    -                                             181.153   -        -                  -       -        -                 
+    tvmgen_default_fused_nn_contrib_conv2d_NCHWc  tvmgen_default_fused_nn_contrib_conv2d_NCHWc  102.3     97.488   (1, 6, 10, 10, 1)  2       1        [102.3]           
+    tvmgen_default_fused_layout_transform_1       tvmgen_default_fused_layout_transform_1       1.789     1.704    (1, 6, 10, 10)     1       1        [1.789]           
+    tvmgen_default_fused_layout_transform         tvmgen_default_fused_layout_transform         0.847     0.807    (1, 3, 10, 10, 1)  1       1        [0.847]           
+    Total_time                                    -                                             104.936   -        -                  -       -        -                 
 
 
 
@@ -439,7 +439,7 @@ Timing the tuned program
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  20.890 seconds)
+   **Total running time of the script:** ( 1 minutes  30.806 seconds)
 
 
 .. _sphx_glr_download_how_to_work_with_microtvm_micro_autotune.py:
diff --git a/docs/_sources/how_to/work_with_microtvm/micro_pytorch.rst.txt b/docs/_sources/how_to/work_with_microtvm/micro_pytorch.rst.txt
index 91dde7fd9f..495d268ded 100644
--- a/docs/_sources/how_to/work_with_microtvm/micro_pytorch.rst.txt
+++ b/docs/_sources/how_to/work_with_microtvm/micro_pytorch.rst.txt
@@ -118,7 +118,7 @@ download a cat image and preprocess it to use as the model input.
     /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torch/ao/quantization/utils.py:310: UserWarning: must run observer before calling calculate_qparams. Returning default values.
       warnings.warn(
     Downloading: "https://download.pytorch.org/models/quantized/mobilenet_v2_qnnpack_37f702c5.pth" to /workspace/.cache/torch/hub/checkpoints/mobilenet_v2_qnnpack_37f702c5.pth
-
      0%|          | 0.00/3.42M [00:00<?, ?B/s]
     61%|######    | 2.09M/3.42M [00:00<00:00, 11.3MB/s]
    100%|##########| 3.42M/3.42M [00:00<00:00, 18.0MB/s]
+
      0%|          | 0.00/3.42M [00:00<?, ?B/s]
     61%|######    | 2.09M/3.42M [00:00<00:00, 18.9MB/s]
    100%|##########| 3.42M/3.42M [00:00<00:00, 29.4MB/s]
     /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torch/_utils.py:314: UserWarning: TypedStorage is deprecated. It will be removed in the future and UntypedStorage will be the only storage class. This should only matter to you if you are using storages directly.  To access UntypedStorage directly, use tensor.untyped_storage() instead of tensor.storage()
       device=storage.device,
     /workspace/python/tvm/relay/frontend/pytorch_utils.py:47: DeprecationWarning: distutils Version classes are deprecated. Use packaging.version instead.
@@ -326,7 +326,7 @@ Look up prediction top 1 index in 1000 class synset.
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  27.363 seconds)
+   **Total running time of the script:** ( 1 minutes  30.035 seconds)
 
 
 .. _sphx_glr_download_how_to_work_with_microtvm_micro_pytorch.py:
diff --git a/docs/_sources/how_to/work_with_microtvm/micro_train.rst.txt b/docs/_sources/how_to/work_with_microtvm/micro_train.rst.txt
index 66460673b7..55a17366a8 100644
--- a/docs/_sources/how_to/work_with_microtvm/micro_train.rst.txt
+++ b/docs/_sources/how_to/work_with_microtvm/micro_train.rst.txt
@@ -217,7 +217,7 @@ take about **2 minutes** to download the Stanford Cars, while COCO 2017 validati
  .. code-block:: none
 
 
-    '/tmp/tmp_0qunqxw/images/random'
+    '/tmp/tmplxzd5gho/images/random'
 
 
 
@@ -317,8 +317,8 @@ objects to other stuff? We can display some examples from our datasets using ``m
 
  .. code-block:: none
 
-    /tmp/tmp_0qunqxw/images/target contains 8144 images
-    /tmp/tmp_0qunqxw/images/random contains 5000 images
+    /tmp/tmplxzd5gho/images/target contains 8144 images
+    /tmp/tmplxzd5gho/images/random contains 5000 images
 
 
 
@@ -493,13 +493,13 @@ the time on our validation set).
  .. code-block:: none
 
     Epoch 1/3
-    328/328 - 39s - loss: 0.2417 - accuracy: 0.9198 - val_loss: 0.1307 - val_accuracy: 0.9551 - 39s/epoch - 118ms/step
+    328/328 - 41s - loss: 0.2237 - accuracy: 0.9251 - val_loss: 0.1102 - val_accuracy: 0.9645 - 41s/epoch - 125ms/step
     Epoch 2/3
-    328/328 - 33s - loss: 0.1071 - accuracy: 0.9621 - val_loss: 0.1189 - val_accuracy: 0.9566 - 33s/epoch - 102ms/step
+    328/328 - 36s - loss: 0.0990 - accuracy: 0.9637 - val_loss: 0.1431 - val_accuracy: 0.9460 - 36s/epoch - 109ms/step
     Epoch 3/3
-    328/328 - 33s - loss: 0.0668 - accuracy: 0.9736 - val_loss: 0.1067 - val_accuracy: 0.9668 - 33s/epoch - 101ms/step
+    328/328 - 36s - loss: 0.0616 - accuracy: 0.9759 - val_loss: 0.0979 - val_accuracy: 0.9709 - 36s/epoch - 109ms/step
 
-    <keras.callbacks.History object at 0x7f8657660b50>
+    <keras.callbacks.History object at 0x7f5c6de48310>
 
 
 
@@ -860,7 +860,7 @@ Arduino tutorial for how to do that `on GitHub <https://github.com/guberti/tvm-a
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 5 minutes  25.738 seconds)
+   **Total running time of the script:** ( 4 minutes  56.104 seconds)
 
 
 .. _sphx_glr_download_how_to_work_with_microtvm_micro_train.py:
diff --git a/docs/_sources/how_to/work_with_microtvm/sg_execution_times.rst.txt b/docs/_sources/how_to/work_with_microtvm/sg_execution_times.rst.txt
index 8b62467a2c..86292b0bba 100644
--- a/docs/_sources/how_to/work_with_microtvm/sg_execution_times.rst.txt
+++ b/docs/_sources/how_to/work_with_microtvm/sg_execution_times.rst.txt
@@ -5,20 +5,20 @@
 
 Computation times
 =================
-**08:43.153** total execution time for **how_to_work_with_microtvm** files:
+**08:27.635** total execution time for **how_to_work_with_microtvm** files:
 
 +-----------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_microtvm_micro_train.py` (``micro_train.py``)           | 05:25.738 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_microtvm_micro_train.py` (``micro_train.py``)           | 04:56.104 | 0.0 MB |
 +-----------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_microtvm_micro_pytorch.py` (``micro_pytorch.py``)       | 01:27.363 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_microtvm_micro_autotune.py` (``micro_autotune.py``)     | 01:30.806 | 0.0 MB |
 +-----------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_microtvm_micro_autotune.py` (``micro_autotune.py``)     | 01:20.890 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_microtvm_micro_pytorch.py` (``micro_pytorch.py``)       | 01:30.035 | 0.0 MB |
 +-----------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_microtvm_micro_aot.py` (``micro_aot.py``)               | 00:11.566 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_microtvm_micro_aot.py` (``micro_aot.py``)               | 00:12.602 | 0.0 MB |
 +-----------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_microtvm_micro_custom_ide.py` (``micro_custom_ide.py``) | 00:09.403 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_microtvm_micro_tflite.py` (``micro_tflite.py``)         | 00:09.203 | 0.0 MB |
 +-----------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_microtvm_micro_tflite.py` (``micro_tflite.py``)         | 00:08.194 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_microtvm_micro_custom_ide.py` (``micro_custom_ide.py``) | 00:08.884 | 0.0 MB |
 +-----------------------------------------------------------------------------------------+-----------+--------+
 | :ref:`sphx_glr_how_to_work_with_microtvm_micro_ethosu.py` (``micro_ethosu.py``)         | 00:00.000 | 0.0 MB |
 +-----------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/how_to/work_with_relay/sg_execution_times.rst.txt b/docs/_sources/how_to/work_with_relay/sg_execution_times.rst.txt
index 8efb5b3ab7..d636411698 100644
--- a/docs/_sources/how_to/work_with_relay/sg_execution_times.rst.txt
+++ b/docs/_sources/how_to/work_with_relay/sg_execution_times.rst.txt
@@ -5,14 +5,14 @@
 
 Computation times
 =================
-**00:38.447** total execution time for **how_to_work_with_relay** files:
+**00:40.969** total execution time for **how_to_work_with_relay** files:
 
 +----------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_relay_using_pipeline_executor.py` (``using_pipeline_executor.py``) | 00:33.321 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_relay_using_pipeline_executor.py` (``using_pipeline_executor.py``) | 00:35.584 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_relay_using_external_lib.py` (``using_external_lib.py``)           | 00:03.276 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_relay_using_external_lib.py` (``using_external_lib.py``)           | 00:03.408 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_relay_build_gcn.py` (``build_gcn.py``)                             | 00:01.844 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_relay_build_gcn.py` (``build_gcn.py``)                             | 00:01.971 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------+-----------+--------+
 | :ref:`sphx_glr_how_to_work_with_relay_using_relay_viz.py` (``using_relay_viz.py``)                 | 00:00.006 | 0.0 MB |
 +----------------------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/how_to/work_with_schedules/intrin_math.rst.txt b/docs/_sources/how_to/work_with_schedules/intrin_math.rst.txt
index f538944f08..a8d8780bec 100644
--- a/docs/_sources/how_to/work_with_schedules/intrin_math.rst.txt
+++ b/docs/_sources/how_to/work_with_schedules/intrin_math.rst.txt
@@ -281,7 +281,7 @@ The following example customizes CUDA lowering rule for :code:`exp`.
  .. code-block:: none
 
 
-    <function my_cuda_math_rule at 0x7f86ace6dee0>
+    <function my_cuda_math_rule at 0x7f5fa5805d30>
 
 
 
diff --git a/docs/_sources/how_to/work_with_schedules/sg_execution_times.rst.txt b/docs/_sources/how_to/work_with_schedules/sg_execution_times.rst.txt
index 2fdd8552d2..b5b9526794 100644
--- a/docs/_sources/how_to/work_with_schedules/sg_execution_times.rst.txt
+++ b/docs/_sources/how_to/work_with_schedules/sg_execution_times.rst.txt
@@ -5,22 +5,22 @@
 
 Computation times
 =================
-**00:06.424** total execution time for **how_to_work_with_schedules** files:
+**00:09.370** total execution time for **how_to_work_with_schedules** files:
 
 +------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_schedules_intrin_math.py` (``intrin_math.py``)                 | 00:03.300 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_schedules_intrin_math.py` (``intrin_math.py``)                 | 00:05.908 | 0.0 MB |
 +------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_schedules_tensorize.py` (``tensorize.py``)                     | 00:01.365 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_schedules_tensorize.py` (``tensorize.py``)                     | 00:01.593 | 0.0 MB |
 +------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_schedules_reduction.py` (``reduction.py``)                     | 00:00.734 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_schedules_reduction.py` (``reduction.py``)                     | 00:00.792 | 0.0 MB |
 +------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_schedules_scan.py` (``scan.py``)                               | 00:00.728 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_schedules_scan.py` (``scan.py``)                               | 00:00.764 | 0.0 MB |
 +------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_schedules_extern_op.py` (``extern_op.py``)                     | 00:00.112 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_schedules_extern_op.py` (``extern_op.py``)                     | 00:00.122 | 0.0 MB |
 +------------------------------------------------------------------------------------------------+-----------+--------+
 | :ref:`sphx_glr_how_to_work_with_schedules_tedd.py` (``tedd.py``)                               | 00:00.087 | 0.0 MB |
 +------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_how_to_work_with_schedules_schedule_primitives.py` (``schedule_primitives.py``) | 00:00.065 | 0.0 MB |
+| :ref:`sphx_glr_how_to_work_with_schedules_schedule_primitives.py` (``schedule_primitives.py``) | 00:00.071 | 0.0 MB |
 +------------------------------------------------------------------------------------------------+-----------+--------+
 | :ref:`sphx_glr_how_to_work_with_schedules_tuple_inputs.py` (``tuple_inputs.py``)               | 00:00.033 | 0.0 MB |
 +------------------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/topic/vta/tutorials/autotvm/sg_execution_times.rst.txt b/docs/_sources/topic/vta/tutorials/autotvm/sg_execution_times.rst.txt
index cfc9a900ed..31fb6d65dd 100644
--- a/docs/_sources/topic/vta/tutorials/autotvm/sg_execution_times.rst.txt
+++ b/docs/_sources/topic/vta/tutorials/autotvm/sg_execution_times.rst.txt
@@ -5,10 +5,10 @@
 
 Computation times
 =================
-**00:34.080** total execution time for **topic_vta_tutorials_autotvm** files:
+**00:36.745** total execution time for **topic_vta_tutorials_autotvm** files:
 
 +---------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_topic_vta_tutorials_autotvm_tune_relay_vta.py` (``tune_relay_vta.py``) | 00:34.073 | 0.0 MB |
+| :ref:`sphx_glr_topic_vta_tutorials_autotvm_tune_relay_vta.py` (``tune_relay_vta.py``) | 00:36.737 | 0.0 MB |
 +---------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_topic_vta_tutorials_autotvm_tune_alu_vta.py` (``tune_alu_vta.py``)     | 00:00.007 | 0.0 MB |
+| :ref:`sphx_glr_topic_vta_tutorials_autotvm_tune_alu_vta.py` (``tune_alu_vta.py``)     | 00:00.008 | 0.0 MB |
 +---------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/topic/vta/tutorials/frontend/deploy_classification.rst.txt b/docs/_sources/topic/vta/tutorials/frontend/deploy_classification.rst.txt
index 40a8668152..284f42148a 100644
--- a/docs/_sources/topic/vta/tutorials/frontend/deploy_classification.rst.txt
+++ b/docs/_sources/topic/vta/tutorials/frontend/deploy_classification.rst.txt
@@ -293,7 +293,7 @@ The compilation steps are:
       warnings.warn(
     /workspace/vta/tutorials/frontend/deploy_classification.py:212: DeprecationWarning: legacy graph executor behavior of producing json / lib / params will be removed in the next release. Please see documents of tvm.contrib.graph_executor.GraphModule for the  new recommended usage.
       graph, lib, params = relay.build(
-    resnet18_v1 inference graph built in 38.36s!
+    resnet18_v1 inference graph built in 39.14s!
 
 
 
@@ -416,7 +416,7 @@ and an input test image.
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  4.240 seconds)
+   **Total running time of the script:** ( 1 minutes  6.589 seconds)
 
 
 .. _sphx_glr_download_topic_vta_tutorials_frontend_deploy_classification.py:
diff --git a/docs/_sources/topic/vta/tutorials/frontend/deploy_detection.rst.txt b/docs/_sources/topic/vta/tutorials/frontend/deploy_detection.rst.txt
index 91db9c0812..73049a515d 100644
--- a/docs/_sources/topic/vta/tutorials/frontend/deploy_detection.rst.txt
+++ b/docs/_sources/topic/vta/tutorials/frontend/deploy_detection.rst.txt
@@ -337,7 +337,7 @@ The compilation steps are:
 
     /workspace/python/tvm/relay/build_module.py:345: DeprecationWarning: Please use input parameter mod (tvm.IRModule) instead of deprecated parameter mod (tvm.relay.function.Function)
       warnings.warn(
-    yolov3-tiny inference graph built in 23.74s!
+    yolov3-tiny inference graph built in 26.94s!
 
 
 
@@ -447,7 +447,7 @@ Download test image
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  5.415 seconds)
+   **Total running time of the script:** ( 1 minutes  10.747 seconds)
 
 
 .. _sphx_glr_download_topic_vta_tutorials_frontend_deploy_detection.py:
diff --git a/docs/_sources/topic/vta/tutorials/frontend/sg_execution_times.rst.txt b/docs/_sources/topic/vta/tutorials/frontend/sg_execution_times.rst.txt
index bae41a47fc..3725d23688 100644
--- a/docs/_sources/topic/vta/tutorials/frontend/sg_execution_times.rst.txt
+++ b/docs/_sources/topic/vta/tutorials/frontend/sg_execution_times.rst.txt
@@ -5,10 +5,10 @@
 
 Computation times
 =================
-**02:09.655** total execution time for **topic_vta_tutorials_frontend** files:
+**02:17.336** total execution time for **topic_vta_tutorials_frontend** files:
 
 +------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_topic_vta_tutorials_frontend_deploy_detection.py` (``deploy_detection.py``)           | 01:05.415 | 0.0 MB |
+| :ref:`sphx_glr_topic_vta_tutorials_frontend_deploy_detection.py` (``deploy_detection.py``)           | 01:10.747 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_topic_vta_tutorials_frontend_deploy_classification.py` (``deploy_classification.py``) | 01:04.240 | 0.0 MB |
+| :ref:`sphx_glr_topic_vta_tutorials_frontend_deploy_classification.py` (``deploy_classification.py``) | 01:06.589 | 0.0 MB |
 +------------------------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/topic/vta/tutorials/optimize/sg_execution_times.rst.txt b/docs/_sources/topic/vta/tutorials/optimize/sg_execution_times.rst.txt
index 9f9ece0b09..a739b02605 100644
--- a/docs/_sources/topic/vta/tutorials/optimize/sg_execution_times.rst.txt
+++ b/docs/_sources/topic/vta/tutorials/optimize/sg_execution_times.rst.txt
@@ -5,10 +5,10 @@
 
 Computation times
 =================
-**00:03.383** total execution time for **topic_vta_tutorials_optimize** files:
+**00:03.486** total execution time for **topic_vta_tutorials_optimize** files:
 
 +--------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_topic_vta_tutorials_optimize_convolution_opt.py` (``convolution_opt.py``)         | 00:02.847 | 0.0 MB |
+| :ref:`sphx_glr_topic_vta_tutorials_optimize_convolution_opt.py` (``convolution_opt.py``)         | 00:02.925 | 0.0 MB |
 +--------------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_topic_vta_tutorials_optimize_matrix_multiply_opt.py` (``matrix_multiply_opt.py``) | 00:00.536 | 0.0 MB |
+| :ref:`sphx_glr_topic_vta_tutorials_optimize_matrix_multiply_opt.py` (``matrix_multiply_opt.py``) | 00:00.561 | 0.0 MB |
 +--------------------------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/topic/vta/tutorials/sg_execution_times.rst.txt b/docs/_sources/topic/vta/tutorials/sg_execution_times.rst.txt
index fc62d3bcc4..ecfec83506 100644
--- a/docs/_sources/topic/vta/tutorials/sg_execution_times.rst.txt
+++ b/docs/_sources/topic/vta/tutorials/sg_execution_times.rst.txt
@@ -5,10 +5,10 @@
 
 Computation times
 =================
-**00:00.929** total execution time for **topic_vta_tutorials** files:
+**00:00.979** total execution time for **topic_vta_tutorials** files:
 
 +---------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_topic_vta_tutorials_matrix_multiply.py` (``matrix_multiply.py``) | 00:00.484 | 0.0 MB |
+| :ref:`sphx_glr_topic_vta_tutorials_matrix_multiply.py` (``matrix_multiply.py``) | 00:00.501 | 0.0 MB |
 +---------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_topic_vta_tutorials_vta_get_started.py` (``vta_get_started.py``) | 00:00.445 | 0.0 MB |
+| :ref:`sphx_glr_topic_vta_tutorials_vta_get_started.py` (``vta_get_started.py``) | 00:00.478 | 0.0 MB |
 +---------------------------------------------------------------------------------+-----------+--------+
diff --git a/docs/_sources/tutorial/auto_scheduler_matmul_x86.rst.txt b/docs/_sources/tutorial/auto_scheduler_matmul_x86.rst.txt
index 025cddca23..ef6ce81794 100644
--- a/docs/_sources/tutorial/auto_scheduler_matmul_x86.rst.txt
+++ b/docs/_sources/tutorial/auto_scheduler_matmul_x86.rst.txt
@@ -318,7 +318,7 @@ We build the binary and check its correctness and performance.
 
  .. code-block:: none
 
-    Execution time of this operator: 90.033 ms
+    Execution time of this operator: 92.979 ms
 
 
 
@@ -434,7 +434,7 @@ operations.
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 1 minutes  29.654 seconds)
+   **Total running time of the script:** ( 1 minutes  31.470 seconds)
 
 
 .. _sphx_glr_download_tutorial_auto_scheduler_matmul_x86.py:
diff --git a/docs/_sources/tutorial/autotvm_matmul_x86.rst.txt b/docs/_sources/tutorial/autotvm_matmul_x86.rst.txt
index 22ec572821..405ea8eb8c 100644
--- a/docs/_sources/tutorial/autotvm_matmul_x86.rst.txt
+++ b/docs/_sources/tutorial/autotvm_matmul_x86.rst.txt
@@ -454,16 +454,16 @@ reduce variance, we take 5 measurements and average them.
     waiting for device...
     device available
     Get devices for measurement successfully!
-    No: 1   GFLOPS: 13.86/13.86     result: MeasureResult(costs=(0.0193648238,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.5804708003997803, timestamp=1693961060.4047377)       [('tile_y', [-1, 4]), ('tile_x', [-1, 256])],None,82
-    No: 2   GFLOPS: 3.88/13.86      result: MeasureResult(costs=(0.06924999000000001,), error_no=MeasureErrorNo.NO_ERROR, all_cost=1.3617298603057861, timestamp=1693961061.7605069)        [('tile_y', [-1, 256]), ('tile_x', [-1, 8])],None,38
-    No: 3   GFLOPS: 16.40/16.40     result: MeasureResult(costs=(0.0163699298,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.5313351154327393, timestamp=1693961062.2817705)       [('tile_y', [-1, 16]), ('tile_x', [-1, 128])],None,74
-    No: 4   GFLOPS: 2.17/16.40      result: MeasureResult(costs=(0.12373622499999999,), error_no=MeasureErrorNo.NO_ERROR, all_cost=2.2309348583221436, timestamp=1693961064.513505) [('tile_y', [-1, 256]), ('tile_x', [-1, 4])],None,28
-    No: 5   GFLOPS: 0.54/16.40      result: MeasureResult(costs=(0.4968747066,), error_no=MeasureErrorNo.NO_ERROR, all_cost=8.197357654571533, timestamp=1693961072.8483644)        [('tile_y', [-1, 256]), ('tile_x', [-1, 1])],None,8
-    No: 6   GFLOPS: 15.09/16.40     result: MeasureResult(costs=(0.0177895522,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.5515284538269043, timestamp=1693961073.3935084)       [('tile_y', [-1, 32]), ('tile_x', [-1, 128])],None,75
-    No: 7   GFLOPS: 10.77/16.40     result: MeasureResult(costs=(0.024918125800000003,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.6635656356811523, timestamp=1693961074.0438883)       [('tile_y', [-1, 4]), ('tile_x', [-1, 64])],None,62
-    No: 8   GFLOPS: 10.59/16.40     result: MeasureResult(costs=(0.025358720600000002,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.6476759910583496, timestamp=1693961074.7042491)       [('tile_y', [-1, 512]), ('tile_x', [-1, 512])],None,99
-    No: 9   GFLOPS: 10.12/16.40     result: MeasureResult(costs=(0.026528426799999998,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.6390197277069092, timestamp=1693961075.4628134)       [('tile_y', [-1, 2]), ('tile_x', [-1, 32])],None,51
-    No: 10  GFLOPS: 9.53/16.40      result: MeasureResult(costs=(0.028161515200000004,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.6700632572174072, timestamp=1693961076.163478)        [('tile_y', [-1, 4]), ('tile_x', [-1, 32])],None,52
+    No: 1   GFLOPS: 3.86/3.86       result: MeasureResult(costs=(0.0695766918,), error_no=MeasureErrorNo.NO_ERROR, all_cost=1.3735086917877197, timestamp=1693974418.6847994)       [('tile_y', [-1, 4]), ('tile_x', [-1, 2])],None,12
+    No: 2   GFLOPS: 9.80/9.80       result: MeasureResult(costs=(0.027394060799999998,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.7422659397125244, timestamp=1693974419.3860452)       [('tile_y', [-1, 8]), ('tile_x', [-1, 32])],None,53
+    No: 3   GFLOPS: 10.56/10.56     result: MeasureResult(costs=(0.025427741800000004,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.7331914901733398, timestamp=1693974420.0637538)       [('tile_y', [-1, 4]), ('tile_x', [-1, 128])],None,72
+    No: 4   GFLOPS: 6.01/10.56      result: MeasureResult(costs=(0.0446292504,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.9882223606109619, timestamp=1693974421.0526104)       [('tile_y', [-1, 2]), ('tile_x', [-1, 4])],None,21
+    No: 5   GFLOPS: 9.01/10.56      result: MeasureResult(costs=(0.029803669799999998,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.7994725704193115, timestamp=1693974421.9655125)       [('tile_y', [-1, 1]), ('tile_x', [-1, 128])],None,70
+    No: 6   GFLOPS: 2.84/10.56      result: MeasureResult(costs=(0.0945712948,), error_no=MeasureErrorNo.NO_ERROR, all_cost=1.7866551876068115, timestamp=1693974423.748699)        [('tile_y', [-1, 16]), ('tile_x', [-1, 2])],None,14
+    No: 7   GFLOPS: 13.35/13.35     result: MeasureResult(costs=(0.020113997800000002,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.6360998153686523, timestamp=1693974424.3398163)       [('tile_y', [-1, 128]), ('tile_x', [-1, 64])],None,67
+    No: 8   GFLOPS: 9.25/13.35      result: MeasureResult(costs=(0.029031607000000004,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.7610952854156494, timestamp=1693974425.0742834)       [('tile_y', [-1, 4]), ('tile_x', [-1, 8])],None,32
+    No: 9   GFLOPS: 8.65/13.35      result: MeasureResult(costs=(0.031029916400000003,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.744321346282959, timestamp=1693974426.0084727)        [('tile_y', [-1, 128]), ('tile_x', [-1, 16])],None,47
+    No: 10  GFLOPS: 11.27/13.35     result: MeasureResult(costs=(0.0238120542,), error_no=MeasureErrorNo.NO_ERROR, all_cost=0.7014443874359131, timestamp=1693974426.6625073)       [('tile_y', [-1, 256]), ('tile_x', [-1, 128])],None,78
 
 
 
diff --git a/docs/_sources/tutorial/autotvm_relay_x86.rst.txt b/docs/_sources/tutorial/autotvm_relay_x86.rst.txt
index 3080fca6f6..ccc91269ee 100644
--- a/docs/_sources/tutorial/autotvm_relay_x86.rst.txt
+++ b/docs/_sources/tutorial/autotvm_relay_x86.rst.txt
@@ -311,7 +311,7 @@ standard deviation.
 
  .. code-block:: none
 
-    {'mean': 471.39287814017734, 'median': 471.04911804999574, 'std': 1.482229179311615}
+    {'mean': 500.2079998700174, 'median': 500.5208697000853, 'std': 2.6157824955518025}
 
 
 
@@ -582,32 +582,31 @@ the tuning data to.
 
  .. code-block:: none
 
-
    [Task  1/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  1/25]  Current/Best:   19.08/  22.01 GFLOPS | Progress: (4/20) | 8.26 s
    [Task  1/25]  Current/Best:   15.88/  22.01 GFLOPS | Progress: (8/20) | 11.94 s
    [Task  1/25]  Current/Best:    6.24/  22.01 GFLOPS | Progress: (12/20) | 15.76 s
    [Task  1/25]  Current/Best:   24.47/  24.47 GFLOPS | Progress: (16/20) | 17.92 s
    [Task  1/25]  Current/Best:   17.69/  24.47 GFLOPS | Progress: (20/20) | 22.64 s Done.
-
    [Task  2/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  2/25]  Current/Best:    6.30/  14.98 GFLOPS | Progress: (4/20) | 4.40 s
    [Task  2/25]  Current/Best:   17.10/  17.10 GFLOPS | Progress: (8/20) | 6.05 s
    [Task  2/25]  Current/Best:   13.77/  21.66 GFLOPS | Progress: (12/20) | 7.68 s
    [Task  2/25]  Current/Best:    7.11/  21.66 GFLOPS | Progress: (16/20) | 9.25 s
    [Task  2/25]  Current/Best:   14.20/  21.66 GFLOPS | Progress: (20/20) | 10.84 s Done.
-
    [Task  3/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  3/25]  Current/Best:    6.45/  18.36 GFLOPS | Progress: (4/20) | 5.07 s
    [Task  3/25]  Current/Best:   15.34/  18.36 GFLOPS | Progress: (8/20) | 8.79 s
    [Task  3/25]  Current/Best:   16.21/  18.67 GFLOPS | Progress: (12/20) | 11.22 s
    [Task  3/25]  Current/Best:    7.11/  18.67 GFLOPS | Progress: (16/20) | 14.16 s
    [Task  3/25]  Current/Best:    5.79/  20.36 GFLOPS | Progress: (20/20) | 16.61 s Done.
-
    [Task  4/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  4/25]  Current/Best:   18.82/  18.82 GFLOPS | Progress: (4/20) | 7.29 s
    [Task  4/25]  Current/Best:   17.53/  22.86 GFLOPS | Progress: (8/20) | 8.85 s
    [Task  4/25]  Current/Best:    3.48/  22.86 GFLOPS | Progress: (12/20) | 14.92 s
    [Task  4/25]  Current/Best:   12.96/  22.86 GFLOPS | Progress: (16/20) | 16.81 s
    [Task  4/25]  Current/Best:    6.16/  22.86 GFLOPS | Progress: (20/20) | 18.93 s Done.
-
    [Task  5/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  5/25]  Current/Best:    3.86/  24.02 GFLOPS | Progress: (4/20) | 4.57 s
    [Task  5/25]  Current/Best:   13.39/  24.02 GFLOPS | Progress: (8/20) | 6.89 s
    [Task  5/25]  Current/Best:    5.63/  24.02 GFLOPS | Progress: (12/20) | 8.82 s
    [Task  5/25]  Current/Best:   12.61/  24.02 GFLOPS | Progress: (16/20) | 10.84 s
    [Task  5/25]  Current/Best:   12.94/  24.02 GFLOPS | Progress: (20/20) | 13.18 s Done.
-
    [Task  6/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  6/25]  Current/Best:    5.80/  18.46 GFLOPS | Progress: (4/20) | 5.41 s
    [Task  6/25]  Current/Best:    5.07/  18.46 GFLOPS | Progress: (8/20) | 8.31 s
    [Task  6/25]  Current/Best:   13.82/  18.46 GFLOPS | Progress: (12/20) | 11.09 s
    [Task  6/25]  Current/Best:    3.71/  18.46 GFLOPS | Progress: (16/20) | 14.47 s
    [Task  6/25]  Current/Best:   14.80/  19.68 GFLOPS | Progress: (20/20) | 17.90 s Done.
-
    [Task  7/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  7/25]  Current/Best:   17.96/  17.96 GFLOPS | Progress: (4/20) | 5.46 s
    [Task  7/25]  Current/Best:   17.56/  19.40 GFLOPS | Progress: (8/20) | 8.32 s
    [Task  7/25]  Current/Best:   14.05/  19.40 GFLOPS | Progress: (12/20) | 11.11 s
    [Task  7/25]  Current/Best:    7.59/  23.30 GFLOPS | Progress: (16/20) | 14.40 s
    [Task  7/25]  Current/Best:   13.34/  23.30 GFLOPS | Progress: (20/20) | 17.06 s Done.
-
    [Task  8/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  8/25]  Current/Best:   17.30/  17.30 GFLOPS | Progress: (4/20) | 5.76 s
    [Task  8/25]  Current/Best:   10.26/  17.30 GFLOPS | Progress: (8/20) | 9.90 s
    [Task  8/25]  Current/Best:    2.95/  17.30 GFLOPS | Progress: (12/20) | 13.39 s
    [Task  8/25]  Current/Best:    9.72/  17.30 GFLOPS | Progress: (16/20) | 15.67 s
    [Task  8/25]  Current/Best:   11.43/  17.30 GFLOPS | Progress: (20/20) | 18.99 s Done.
-
    [Task  9/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  9/25]  Current/Best:   12.67/  19.05 GFLOPS | Progress: (4/20) | 5.68 s
    [Task  9/25]  Current/Best:   19.15/  24.49 GFLOPS | Progress: (8/20) | 7.38 s
    [Task  9/25]  Current/Best:    7.23/  24.49 GFLOPS | Progress: (12/20) | 9.34 s
    [Task  9/25]  Current/Best:    7.49/  24.49 GFLOPS | Progress: (16/20) | 15.18 s
    [Task  9/25]  Current/Best:   15.68/  24.49 GFLOPS | Progress: (20/20) | 25.86 s Done.
-
    [Task 10/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 10/25]  Current/Best:   22.77/  22.77 GFLOPS | Progress: (4/20) | 4.29 s
    [Task 10/25]  Current/Best:   12.29/  22.77 GFLOPS | Progress: (8/20) | 5.87 s
    [Task 10/25]  Current/Best:   12.66/  22.77 GFLOPS | Progress: (12/20) | 9.04 s
    [Task 10/25]  Current/Best:   11.71/  22.77 GFLOPS | Progress: (16/20) | 11.38 s
    [Task 10/25]  Current/Best:    8.17/  22.77 GFLOPS | Progress: (20/20) | 13.81 s Done.
-
    [Task 11/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 11/25]  Current/Best:   21.22/  21.22 GFLOPS | Progress: (4/20) | 4.77 s
    [Task 11/25]  Current/Best:   23.67/  23.67 GFLOPS | Progress: (8/20) | 6.76 s
    [Task 11/25]  Current/Best:   22.78/  23.67 GFLOPS | Progress: (12/20) | 9.81 s
    [Task 11/25]  Current/Best:   19.67/  23.67 GFLOPS | Progress: (16/20) | 12.09 s
    [Task 11/25]  Current/Best:   18.53/  23.67 GFLOPS | Progress: (20/20) | 14.40 s Done.
-
    [Task 12/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 12/25]  Current/Best:   13.64/  16.83 GFLOPS | Progress: (4/20) | 5.92 s
    [Task 12/25]  Current/Best:    8.70/  18.21 GFLOPS | Progress: (8/20) | 13.49 s
    [Task 12/25]  Current/Best:   17.14/  18.21 GFLOPS | Progress: (12/20) | 16.29 s
    [Task 12/25]  Current/Best:   12.46/  18.21 GFLOPS | Progress: (16/20) | 18.83 s
    [Task 12/25]  Current/Best:    7.22/  18.21 GFLOPS | Progress: (20/20) | 21.55 s Done.
-
    [Task 13/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 13/25]  Current/Best:   17.56/  17.56 GFLOPS | Progress: (4/20) | 5.56 s
    [Task 13/25]  Current/Best:    7.74/  24.40 GFLOPS | Progress: (8/20) | 7.95 s
    [Task 13/25]  Current/Best:   22.67/  24.40 GFLOPS | Progress: (12/20) | 10.86 s
    [Task 13/25]  Current/Best:   22.62/  24.40 GFLOPS | Progress: (16/20) | 13.70 s
    [Task 13/25]  Current/Best:   23.28/  24.40 GFLOPS | Progress: (20/20) | 17.12 s Done.
-
    [Task 14/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 14/25]  Current/Best:   12.17/  15.15 GFLOPS | Progress: (4/20) | 8.97 s
    [Task 14/25]  Current/Best:    3.87/  21.94 GFLOPS | Progress: (8/20) | 11.53 s
    [Task 14/25]  Current/Best:   16.48/  21.94 GFLOPS | Progress: (12/20) | 14.75 s
    [Task 14/25]  Current/Best:    8.78/  21.94 GFLOPS | Progress: (16/20) | 19.09 s
    [Task 14/25]  Current/Best:    8.61/  21.94 GFLOPS | Progress: (20/20) | 25.19 s Done.
-
    [Task 15/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 15/25]  Current/Best:   11.83/  23.25 GFLOPS | Progress: (4/20) | 10.63 s
    [Task 15/25]  Current/Best:   13.07/  23.25 GFLOPS | Progress: (8/20) | 21.73 s
    [Task 15/25]  Current/Best:   13.04/  23.25 GFLOPS | Progress: (12/20) | 25.57 s
    [Task 15/25]  Current/Best:   23.52/  23.52 GFLOPS | Progress: (16/20) | 32.01 s
    [Task 15/25]  Current/Best:   19.20/  23.52 GFLOPS | Progress: (20/20) | 35.04 s Done.
-
    [Task 16/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 16/25]  Current/Best:   22.26/  22.26 GFLOPS | Progress: (4/20) | 4.49 s
    [Task 16/25]  Current/Best:   14.56/  22.26 GFLOPS | Progress: (8/20) | 6.23 s
    [Task 16/25]  Current/Best:   11.90/  22.26 GFLOPS | Progress: (12/20) | 8.02 s
    [Task 16/25]  Current/Best:    7.78/  22.26 GFLOPS | Progress: (16/20) | 10.29 s
    [Task 16/25]  Current/Best:   14.74/  22.26 GFLOPS | Progress: (20/20) | 12.29 s Done.
-
    [Task 17/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 17/25]  Current/Best:   16.84/  23.80 GFLOPS | Progress: (4/20) | 6.78 s
    [Task 17/25]  Current/Best:   11.69/  23.80 GFLOPS | Progress: (8/20) | 9.67 s
    [Task 17/25]  Current/Best:   18.66/  23.80 GFLOPS | Progress: (12/20) | 12.45 s
    [Task 17/25]  Current/Best:   13.19/  23.80 GFLOPS | Progress: (16/20) | 16.31 s
    [Task 17/25]  Current/Best:   13.33/  24.24 GFLOPS | Progress: (20/20) | 18.68 s Done.
-
    [Task 18/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 18/25]  Current/Best:    8.22/   8.78 GFLOPS | Progress: (4/20) | 9.48 s
    [Task 18/25]  Current/Best:   17.16/  19.46 GFLOPS | Progress: (8/20) | 11.84 s
    [Task 18/25]  Current/Best:   19.79/  19.79 GFLOPS | Progress: (12/20) | 15.96 s
    [Task 18/25]  Current/Best:   16.98/  20.45 GFLOPS | Progress: (16/20) | 18.62 s
    [Task 18/25]  Current/Best:   19.56/  20.45 GFLOPS | Progress: (20/20) | 22.24 s Done.
-
    [Task 19/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 19/25]  Current/Best:    8.31/  13.68 GFLOPS | Progress: (4/20) | 5.80 s
    [Task 19/25]  Current/Best:    9.86/  21.43 GFLOPS | Progress: (8/20) | 10.07 s
    [Task 19/25]  Current/Best:    6.60/  21.43 GFLOPS | Progress: (12/20) | 15.78 s
    [Task 19/25]  Current/Best:    9.43/  21.43 GFLOPS | Progress: (16/20) | 19.71 s
    [Task 19/25]  Current/Best:   14.40/  21.43 GFLOPS | Progress: (20/20) | 24.03 s Done.
-
    [Task 20/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 20/25]  Current/Best:   13.56/  13.56 GFLOPS | Progress: (4/20) | 10.26 s
    [Task 20/25]  Current/Best:    6.50/  18.86 GFLOPS | Progress: (8/20) | 20.11 s
    [Task 20/25]  Current/Best:    3.70/  18.86 GFLOPS | Progress: (12/20) | 22.87 s
    [Task 20/25]  Current/Best:    9.82/  18.86 GFLOPS | Progress: (16/20) | 29.07 s
    [Task 20/25]  Current/Best:   19.97/  19.97 GFLOPS | Progress: (20/20) | 40.43 s
    [Task 21/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 21/25]  Current/Best:    9.92/  20.11 GFLOPS | Progress: (4/20) | 7.36 s
    [Task 21/25]  Current/Best:    8.67/  22.88 GFLOPS | Progress: (8/20) | 16.08 s
    [Task 21/25]  Current/Best:    5.56/  22.88 GFLOPS | Progress: (12/20) | 23.63 s
    [Task 21/25]  Current/Best:   20.26/  22.88 GFLOPS | Progress: (16/20) | 31.89 s
    [Task 21/25]  Current/Best:    5.92/  22.88 GFLOPS | Progress: (20
 /20) | 43.21 s
    [Task 22/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 22/25]  Current/Best:    9.87/  21.52 GFLOPS | Progress: (4/20) | 6.41 s
    [Task 22/25]  Current/Best:    2.87/  21.52 GFLOPS | Progress: (8/20) | 9.14 s
    [Task 22/25]  Current/Best:    6.80/  21.52 GFLOPS | Progress: (12/20) | 12.15 s
    [Task 22/25]  Current/Best:    7.17/  21.52 GFLOPS | Progress: (16/20) | 15.12 s
    [Task 22/25]  Current/Best:   10.04/  21.79 GFLOPS | Progress: (20/20) | 18.03 s Done.
-
    [Task 23/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 23/25]  Current/Best:    5.73/  24.35 GFLOPS | Progress: (4/20) | 4.99 s
    [Task 23/25]  Current/Best:   11.48/  24.35 GFLOPS | Progress: (8/20) | 7.79 s
    [Task 23/25]  Current/Best:   20.67/  24.35 GFLOPS | Progress: (12/20) | 10.90 s
    [Task 23/25]  Current/Best:    9.69/  24.35 GFLOPS | Progress: (16/20) | 15.16 s
    [Task 23/25]  Current/Best:   20.78/  24.35 GFLOPS | Progress: (20/20) | 18.74 s Done.
-
    [Task 24/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 24/25]  Current/Best:    6.82/   7.63 GFLOPS | Progress: (4/20) | 4.84 s
    [Task 24/25]  Current/Best:   10.03/  10.03 GFLOPS | Progress: (8/20) | 15.85 s
    [Task 24/25]  Current/Best:    3.86/  10.03 GFLOPS | Progress: (12/20) | 26.84 s
    [Task 24/25]  Current/Best:    4.45/  10.03 GFLOPS | Progress: (16/20) | 37.82 s Done.
+
    [Task  1/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  1/25]  Current/Best:   19.79/  20.83 GFLOPS | Progress: (4/20) | 10.46 s
    [Task  1/25]  Current/Best:   13.18/  20.83 GFLOPS | Progress: (8/20) | 12.92 s
    [Task  1/25]  Current/Best:   15.14/  20.83 GFLOPS | Progress: (12/20) | 15.81 s
    [Task  1/25]  Current/Best:   19.49/  24.01 GFLOPS | Progress: (16/20) | 17.91 s
    [Task  1/25]  Current/Best:   17.81/  24.01 GFLOPS | Progress: (20/20) | 21.68 s Done.
+
    [Task  2/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  2/25]  Current/Best:   19.63/  22.16 GFLOPS | Progress: (4/20) | 4.25 s
    [Task  2/25]  Current/Best:   15.17/  22.16 GFLOPS | Progress: (8/20) | 5.87 s
    [Task  2/25]  Current/Best:   14.12/  22.16 GFLOPS | Progress: (12/20) | 7.40 s
    [Task  2/25]  Current/Best:   15.06/  22.16 GFLOPS | Progress: (16/20) | 9.07 s
    [Task  2/25]  Current/Best:   12.12/  22.16 GFLOPS | Progress: (20/20) | 11.98 s Done.
+
    [Task  3/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  3/25]  Current/Best:   14.07/  20.19 GFLOPS | Progress: (4/20) | 5.00 s
    [Task  3/25]  Current/Best:   12.47/  24.03 GFLOPS | Progress: (8/20) | 7.19 s
    [Task  3/25]  Current/Best:   12.69/  24.03 GFLOPS | Progress: (12/20) | 9.79 s
    [Task  3/25]  Current/Best:   20.51/  24.03 GFLOPS | Progress: (16/20) | 11.80 s
    [Task  3/25]  Current/Best:   22.59/  24.03 GFLOPS | Progress: (20/20) | 14.30 s Done.
+
    [Task  4/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  4/25]  Current/Best:    5.23/  18.03 GFLOPS | Progress: (4/20) | 4.74 s
    [Task  4/25]  Current/Best:   14.30/  19.80 GFLOPS | Progress: (8/20) | 6.79 s
    [Task  4/25]  Current/Best:   11.34/  19.80 GFLOPS | Progress: (12/20) | 10.03 s
    [Task  4/25]  Current/Best:   16.22/  19.80 GFLOPS | Progress: (16/20) | 12.13 s
    [Task  4/25]  Current/Best:    6.17/  22.00 GFLOPS | Progress: (20/20) | 14.34 s Done.
+
    [Task  5/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  5/25]  Current/Best:    5.32/  17.92 GFLOPS | Progress: (4/20) | 4.99 s
    [Task  5/25]  Current/Best:    6.12/  17.92 GFLOPS | Progress: (8/20) | 7.21 s
    [Task  5/25]  Current/Best:   17.77/  17.92 GFLOPS | Progress: (12/20) | 9.25 s
    [Task  5/25]  Current/Best:   19.35/  21.81 GFLOPS | Progress: (16/20) | 11.18 s
    [Task  5/25]  Current/Best:   13.61/  22.12 GFLOPS | Progress: (20/20) | 13.43 s Done.
+
    [Task  6/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  6/25]  Current/Best:   11.00/  17.72 GFLOPS | Progress: (4/20) | 5.39 s
    [Task  6/25]  Current/Best:   12.66/  21.77 GFLOPS | Progress: (8/20) | 8.42 s
    [Task  6/25]  Current/Best:   15.15/  21.77 GFLOPS | Progress: (12/20) | 11.71 s
    [Task  6/25]  Current/Best:    7.56/  21.77 GFLOPS | Progress: (16/20) | 14.07 s
    [Task  6/25]  Current/Best:   20.74/  21.77 GFLOPS | Progress: (20/20) | 16.44 s Done.
+
    [Task  7/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  7/25]  Current/Best:   16.45/  17.43 GFLOPS | Progress: (4/20) | 5.40 s
    [Task  7/25]  Current/Best:   21.42/  21.42 GFLOPS | Progress: (8/20) | 7.62 s
    [Task  7/25]  Current/Best:   18.64/  21.42 GFLOPS | Progress: (12/20) | 9.73 s
    [Task  7/25]  Current/Best:    3.08/  21.42 GFLOPS | Progress: (16/20) | 12.90 s
    [Task  7/25]  Current/Best:   20.08/  21.42 GFLOPS | Progress: (20/20) | 14.95 s Done.
+
    [Task  8/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  8/25]  Current/Best:   10.36/  15.01 GFLOPS | Progress: (4/20) | 5.90 s
    [Task  8/25]  Current/Best:   13.42/  15.01 GFLOPS | Progress: (8/20) | 9.55 s
    [Task  8/25]  Current/Best:   12.93/  15.01 GFLOPS | Progress: (12/20) | 12.16 s
    [Task  8/25]  Current/Best:    2.88/  15.01 GFLOPS | Progress: (16/20) | 15.76 s
    [Task  8/25]  Current/Best:    9.58/  16.26 GFLOPS | Progress: (20/20) | 18.15 s Done.
+
    [Task  9/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task  9/25]  Current/Best:    7.24/   7.79 GFLOPS | Progress: (4/20) | 9.72 s
    [Task  9/25]  Current/Best:   19.48/  19.48 GFLOPS | Progress: (8/20) | 12.28 s
    [Task  9/25]  Current/Best:   17.97/  19.48 GFLOPS | Progress: (12/20) | 14.10 s
    [Task  9/25]  Current/Best:   14.09/  19.48 GFLOPS | Progress: (16/20) | 16.92 s
    [Task  9/25]  Current/Best:   18.15/  20.34 GFLOPS | Progress: (20/20) | 18.58 s Done.
+
    [Task 10/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 10/25]  Current/Best:   12.81/  13.48 GFLOPS | Progress: (4/20) | 4.98 s
    [Task 10/25]  Current/Best:   19.35/  19.35 GFLOPS | Progress: (8/20) | 6.79 s
    [Task 10/25]  Current/Best:   19.06/  19.35 GFLOPS | Progress: (12/20) | 10.82 s
    [Task 10/25]  Current/Best:   20.25/  20.25 GFLOPS | Progress: (16/20) | 12.55 s
    [Task 10/25]  Current/Best:    2.95/  20.25 GFLOPS | Progress: (20/20) | 14.53 s Done.
+
    [Task 11/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 11/25]  Current/Best:   19.85/  19.85 GFLOPS | Progress: (4/20) | 5.12 s
    [Task 11/25]  Current/Best:   12.90/  21.30 GFLOPS | Progress: (8/20) | 8.56 s
    [Task 11/25]  Current/Best:   15.11/  22.13 GFLOPS | Progress: (12/20) | 10.75 s
    [Task 11/25]  Current/Best:   23.70/  23.70 GFLOPS | Progress: (16/20) | 13.03 s
    [Task 11/25]  Current/Best:   11.06/  23.70 GFLOPS | Progress: (20/20) | 15.49 s Done.
+
    [Task 12/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 12/25]  Current/Best:   13.73/  13.73 GFLOPS | Progress: (4/20) | 7.38 s
    [Task 12/25]  Current/Best:   21.28/  21.28 GFLOPS | Progress: (8/20) | 9.24 s
    [Task 12/25]  Current/Best:   15.68/  21.28 GFLOPS | Progress: (12/20) | 13.39 s
    [Task 12/25]  Current/Best:   15.90/  22.06 GFLOPS | Progress: (16/20) | 15.46 s
    [Task 12/25]  Current/Best:    5.51/  22.06 GFLOPS | Progress: (20/20) | 21.43 s Done.
+
    [Task 13/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 13/25]  Current/Best:    4.64/  21.39 GFLOPS | Progress: (4/20) | 5.65 s
    [Task 13/25]  Current/Best:   17.89/  21.39 GFLOPS | Progress: (8/20) | 8.89 s
    [Task 13/25]  Current/Best:   16.59/  21.82 GFLOPS | Progress: (12/20) | 11.10 s
    [Task 13/25]  Current/Best:    4.59/  21.82 GFLOPS | Progress: (16/20) | 15.23 s
    [Task 13/25]  Current/Best:   10.44/  21.82 GFLOPS | Progress: (20/20) | 18.05 s Done.
+
    [Task 14/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 14/25]  Current/Best:   10.34/  10.34 GFLOPS | Progress: (4/20) | 14.48 s
    [Task 14/25]  Current/Best:    6.59/  17.28 GFLOPS | Progress: (8/20) | 25.07 s
    [Task 14/25]  Current/Best:    3.92/  21.38 GFLOPS | Progress: (12/20) | 31.60 s
    [Task 14/25]  Current/Best:   19.33/  21.38 GFLOPS | Progress: (16/20) | 39.16 s
    [Task 14/25]  Current/Best:   15.05/  21.38 GFLOPS | Progress: (20/20) | 41.42 s Done.
+
    [Task 15/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 15/25]  Current/Best:   20.01/  20.01 GFLOPS | Progress: (4/20) | 7.08 s
    [Task 15/25]  Current/Best:    4.98/  20.01 GFLOPS | Progress: (8/20) | 14.06 s
    [Task 15/25]  Current/Best:    9.87/  20.01 GFLOPS | Progress: (12/20) | 16.57 s
    [Task 15/25]  Current/Best:   15.76/  20.01 GFLOPS | Progress: (16/20) | 25.37 s
    [Task 15/25]  Current/Best:   17.43/  20.01 GFLOPS | Progress: (20/20) | 35.73 s Done.
+
    [Task 16/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 16/25]  Current/Best:   16.32/  16.32 GFLOPS | Progress: (4/20) | 6.45 s
    [Task 16/25]  Current/Best:    5.52/  18.60 GFLOPS | Progress: (8/20) | 8.18 s
    [Task 16/25]  Current/Best:   13.92/  18.61 GFLOPS | Progress: (12/20) | 10.09 s
    [Task 16/25]  Current/Best:   12.28/  20.18 GFLOPS | Progress: (16/20) | 11.98 s
    [Task 16/25]  Current/Best:   10.81/  20.18 GFLOPS | Progress: (20/20) | 14.91 s Done.
+
    [Task 17/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 17/25]  Current/Best:   12.54/  12.54 GFLOPS | Progress: (4/20) | 5.90 s
    [Task 17/25]  Current/Best:   21.58/  22.18 GFLOPS | Progress: (8/20) | 8.46 s
    [Task 17/25]  Current/Best:   19.67/  22.34 GFLOPS | Progress: (12/20) | 10.60 s
    [Task 17/25]  Current/Best:   11.99/  22.66 GFLOPS | Progress: (16/20) | 13.72 s
    [Task 17/25]  Current/Best:   19.29/  22.66 GFLOPS | Progress: (20/20) | 17.42 s Done.
+
    [Task 18/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 18/25]  Current/Best:   10.63/  14.77 GFLOPS | Progress: (4/20) | 5.45 s
    [Task 18/25]  Current/Best:   16.31/  16.31 GFLOPS | Progress: (8/20) | 8.16 s
    [Task 18/25]  Current/Best:    9.06/  16.46 GFLOPS | Progress: (12/20) | 14.62 s
    [Task 18/25]  Current/Best:   11.83/  17.49 GFLOPS | Progress: (16/20) | 17.06 s
    [Task 18/25]  Current/Best:   15.58/  18.18 GFLOPS | Progress: (20/20) | 22.60 s Done.
+
    [Task 19/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 19/25]  Current/Best:   18.50/  20.59 GFLOPS | Progress: (4/20) | 7.75 s
    [Task 19/25]  Current/Best:   18.67/  20.74 GFLOPS | Progress: (8/20) | 12.07 s
    [Task 19/25]  Current/Best:    3.07/  20.74 GFLOPS | Progress: (12/20) | 16.31 s
    [Task 19/25]  Current/Best:    7.03/  20.74 GFLOPS | Progress: (16/20) | 20.37 s
    [Task 19/25]  Current/Best:   20.27/  20.74 GFLOPS | Progress: (20/20) | 23.50 s Done.
+
    [Task 20/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 20/25]  Current/Best:    9.75/  16.82 GFLOPS | Progress: (4/20) | 6.25 s
    [Task 20/25]  Current/Best:   21.74/  21.74 GFLOPS | Progress: (8/20) | 8.30 s
    [Task 20/25]  Current/Best:    4.72/  21.74 GFLOPS | Progress: (12/20) | 10.85 s
    [Task 20/25]  Current/Best:    2.45/  21.74 GFLOPS | Progress: (16/20) | 15.97 s
    [Task 20/25]  Current/Best:   11.34/  21.74 GFLOPS | Progress: (20/20) | 19.14 s Done.
+
    [Task 21/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 21/25]  Current/Best:    3.15/  15.35 GFLOPS | Progress: (4/20) | 14.34 s
    [Task 21/25]  Current/Best:   13.61/  19.61 GFLOPS | Progress: (8/20) | 17.46 s
    [Task 21/25]  Current/Best:    7.45/  19.61 GFLOPS | Progress: (12/20) | 19.49 s
    [Task 21/25]  Current/Best:   18.60/  19.81 GFLOPS | Progress: (16/20) | 26.66 s
    [Task 21/25]  Current/Best:    4.94/  22.01 GFLOPS | Progress: (20/20) | 37.87 s
    [Task 22/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 22/25]  Current/Best:   10.86/  13.74 GFLOPS | Progress: (4/20) | 6.57 s
    [Task 22/25]  Current/Best:   18.14/  21.06 GFLOPS | Progress: (8/20) | 11.16 s
    [Task 22/25]  Current/Best:    5.41/  21.06 GFLOPS | Progress: (12/20) | 14.60 s
    [Task 22/25]  Current/Best:   10.48/  21.06 GFLOPS | Progress: (16/20) | 16.21 s
    [Task 22/25]  Current/Best:    9.82/  21.06 GFLOPS | Progress: (20
 /20) | 18.18 s Done.
+
    [Task 23/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 23/25]  Current/Best:   12.38/  20.54 GFLOPS | Progress: (4/20) | 6.12 s
    [Task 23/25]  Current/Best:   11.73/  22.81 GFLOPS | Progress: (8/20) | 8.48 s
    [Task 23/25]  Current/Best:   20.53/  22.81 GFLOPS | Progress: (12/20) | 11.21 s
    [Task 23/25]  Current/Best:   15.30/  22.81 GFLOPS | Progress: (16/20) | 16.26 s
    [Task 23/25]  Current/Best:   11.85/  22.81 GFLOPS | Progress: (20/20) | 19.42 s Done.
+
    [Task 24/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 24/25]  Current/Best:    3.24/   9.05 GFLOPS | Progress: (4/20) | 13.91 s
    [Task 24/25]  Current/Best:    3.24/   9.05 GFLOPS | Progress: (8/20) | 19.17 s
    [Task 24/25]  Current/Best:    3.04/   9.05 GFLOPS | Progress: (12/20) | 21.74 s
    [Task 24/25]  Current/Best:    1.17/  10.25 GFLOPS | Progress: (16/20) | 27.56 s
    [Task 24/25]  Current/Best:    2.27/  10.25 GFLOPS | Progress: (20/20) | 38.59 s
    [Task 25/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 25/25]  Current/Best:    1.55/   5.64 GFLOPS | Progress: (4/20) | 5.70 s Done.
      Done.
-
    [Task 24/25]  Current/Best:    5.13/  10.03 GFLOPS | Progress: (20/20) | 41.69 s Done.
-
    [Task 25/25]  Current/Best:    0.00/   0.00 GFLOPS | Progress: (0/20) | 0.00 s
    [Task 25/25]  Current/Best:   10.12/  10.12 GFLOPS | Progress: (4/20) | 6.83 s
    [Task 25/25]  Current/Best:    9.65/  10.12 GFLOPS | Progress: (8/20) | 13.59 s
    [Task 25/25]  Current/Best:    6.42/  10.12 GFLOPS | Progress: (12/20) | 17.16 s
    [Task 25/25]  Current/Best:    9.24/  10.12 GFLOPS | Progress: (16/20) | 18.57 s
    [Task 25/25]  Current/Best:    7.82/  10.12 GFLOPS | Progress: (20/20) | 20.77 s Done.
-
+
    [Task 25/25]  Current/Best:    5.71/   5.71 GFLOPS | Progress: (8/20) | 16.39 s
    [Task 25/25]  Current/Best:    5.74/   8.01 GFLOPS | Progress: (12/20) | 19.34 s
    [Task 25/25]  Current/Best:    6.13/   8.05 GFLOPS | Progress: (16/20) | 20.76 s
    [Task 25/25]  Current/Best:    7.18/   8.05 GFLOPS | Progress: (20/20) | 31.45 s
 
 
 
@@ -671,6 +670,12 @@ model using optimized operators to speed up our computations.
 
 
 
+.. rst-class:: sphx-glr-script-out
+
+ .. code-block:: none
+
+     Done.
+
 
 
 
@@ -761,8 +766,8 @@ improvement in comparing the optimized model to the unoptimized model.
 
  .. code-block:: none
 
-    optimized: {'mean': 361.2912728099036, 'median': 361.3333519497246, 'std': 0.698852307705526}
-    unoptimized: {'mean': 471.39287814017734, 'median': 471.04911804999574, 'std': 1.482229179311615}
+    optimized: {'mean': 399.0157751700099, 'median': 396.8820675999268, 'std': 3.6873322758412526}
+    unoptimized: {'mean': 500.2079998700174, 'median': 500.5208697000853, 'std': 2.6157824955518025}
 
 
 
@@ -785,7 +790,7 @@ profiling/benchmarking.
 
 .. rst-class:: sphx-glr-timing
 
-   **Total running time of the script:** ( 13 minutes  26.724 seconds)
+   **Total running time of the script:** ( 13 minutes  5.723 seconds)
 
 
 .. _sphx_glr_download_tutorial_autotvm_relay_x86.py:
diff --git a/docs/_sources/tutorial/cross_compilation_and_rpc.rst.txt b/docs/_sources/tutorial/cross_compilation_and_rpc.rst.txt
index 2dc94cc79a..23a3fd005e 100644
--- a/docs/_sources/tutorial/cross_compilation_and_rpc.rst.txt
+++ b/docs/_sources/tutorial/cross_compilation_and_rpc.rst.txt
@@ -274,7 +274,7 @@ device and returns the measured cost. Network overhead is excluded.
 
  .. code-block:: none
 
-    1.145e-07 secs/op
+    1.229e-07 secs/op
 
 
 
diff --git a/docs/_sources/tutorial/intro_topi.rst.txt b/docs/_sources/tutorial/intro_topi.rst.txt
index ac681b143a..1d66e352a6 100644
--- a/docs/_sources/tutorial/intro_topi.rst.txt
+++ b/docs/_sources/tutorial/intro_topi.rst.txt
@@ -270,7 +270,7 @@ As you can see, scheduled stages of computation have been accumulated and we can
 
  .. code-block:: none
 
-    [stage(a, placeholder(a, 0x618abc0)), stage(b, placeholder(b, 0x14d0f050)), stage(T_add, compute(T_add, body=[a[ax0, ax1, ax2] + b[ax1, ax2]], axis=[T.iter_var(ax0, T.Range(0, 100), "DataPar", ""), T.iter_var(ax1, T.Range(0, 10), "DataPar", ""), T.iter_var(ax2, T.Range(0, 10), "DataPar", "")], reduce_axis=[], tag=broadcast, attrs={})), stage(T_multiply, compute(T_multiply, body=[a[ax0, ax1, ax2] * b[ax1, ax2]], axis=[T.iter_var(ax0, T.Range(0, 100), "DataPar", ""), T.iter_var(ax1, T. [...]
+    [stage(a, placeholder(a, 0x1d4263b0)), stage(b, placeholder(b, 0x1004fb10)), stage(T_add, compute(T_add, body=[a[ax0, ax1, ax2] + b[ax1, ax2]], axis=[T.iter_var(ax0, T.Range(0, 100), "DataPar", ""), T.iter_var(ax1, T.Range(0, 10), "DataPar", ""), T.iter_var(ax2, T.Range(0, 10), "DataPar", "")], reduce_axis=[], tag=broadcast, attrs={})), stage(T_multiply, compute(T_multiply, body=[a[ax0, ax1, ax2] * b[ax1, ax2]], axis=[T.iter_var(ax0, T.Range(0, 100), "DataPar", ""), T.iter_var(ax1, T [...]
 
 
 
diff --git a/docs/_sources/tutorial/sg_execution_times.rst.txt b/docs/_sources/tutorial/sg_execution_times.rst.txt
index 3ae59a787a..70efcc9db3 100644
--- a/docs/_sources/tutorial/sg_execution_times.rst.txt
+++ b/docs/_sources/tutorial/sg_execution_times.rst.txt
@@ -5,31 +5,31 @@
 
 Computation times
 =================
-**16:58.115** total execution time for **tutorial** files:
+**16:40.593** total execution time for **tutorial** files:
 
 +------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_tutorial_autotvm_relay_x86.py` (``autotvm_relay_x86.py``)                 | 13:26.724 | 0.0 MB |
+| :ref:`sphx_glr_tutorial_autotvm_relay_x86.py` (``autotvm_relay_x86.py``)                 | 13:05.723 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_tutorial_auto_scheduler_matmul_x86.py` (``auto_scheduler_matmul_x86.py``) | 01:29.654 | 0.0 MB |
+| :ref:`sphx_glr_tutorial_auto_scheduler_matmul_x86.py` (``auto_scheduler_matmul_x86.py``) | 01:31.470 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_tutorial_tensor_expr_get_started.py` (``tensor_expr_get_started.py``)     | 00:57.231 | 0.0 MB |
+| :ref:`sphx_glr_tutorial_tensor_expr_get_started.py` (``tensor_expr_get_started.py``)     | 01:01.219 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_tutorial_relay_quick_start.py` (``relay_quick_start.py``)                 | 00:40.029 | 0.0 MB |
+| :ref:`sphx_glr_tutorial_relay_quick_start.py` (``relay_quick_start.py``)                 | 00:43.942 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_tutorial_autotvm_matmul_x86.py` (``autotvm_matmul_x86.py``)               | 00:22.526 | 0.0 MB |
+| :ref:`sphx_glr_tutorial_autotvm_matmul_x86.py` (``autotvm_matmul_x86.py``)               | 00:16.179 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_tutorial_intro_topi.py` (``intro_topi.py``)                               | 00:00.961 | 0.0 MB |
+| :ref:`sphx_glr_tutorial_intro_topi.py` (``intro_topi.py``)                               | 00:01.019 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_tutorial_tensor_ir_blitz_course.py` (``tensor_ir_blitz_course.py``)       | 00:00.810 | 0.0 MB |
+| :ref:`sphx_glr_tutorial_tensor_ir_blitz_course.py` (``tensor_ir_blitz_course.py``)       | 00:00.849 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_tutorial_cross_compilation_and_rpc.py` (``cross_compilation_and_rpc.py``) | 00:00.178 | 0.0 MB |
+| :ref:`sphx_glr_tutorial_cross_compilation_and_rpc.py` (``cross_compilation_and_rpc.py``) | 00:00.191 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
 | :ref:`sphx_glr_tutorial_uma.py` (``uma.py``)                                             | 00:00.000 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
-| :ref:`sphx_glr_tutorial_tvmc_command_line_driver.py` (``tvmc_command_line_driver.py``)   | 00:00.000 | 0.0 MB |
-+------------------------------------------------------------------------------------------+-----------+--------+
 | :ref:`sphx_glr_tutorial_tvmc_python.py` (``tvmc_python.py``)                             | 00:00.000 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
+| :ref:`sphx_glr_tutorial_tvmc_command_line_driver.py` (``tvmc_command_line_driver.py``)   | 00:00.000 | 0.0 MB |
++------------------------------------------------------------------------------------------+-----------+--------+
 | :ref:`sphx_glr_tutorial_introduction.py` (``introduction.py``)                           | 00:00.000 | 0.0 MB |
 +------------------------------------------------------------------------------------------+-----------+--------+
 | :ref:`sphx_glr_tutorial_install.py` (``install.py``)                                     | 00:00.000 | 0.0 MB |
diff --git a/docs/_sources/tutorial/tensor_expr_get_started.rst.txt b/docs/_sources/tutorial/tensor_expr_get_started.rst.txt
index 43b3dc4ab4..79192ef611 100644
--- a/docs/_sources/tutorial/tensor_expr_get_started.rst.txt
+++ b/docs/_sources/tutorial/tensor_expr_get_started.rst.txt
@@ -285,7 +285,7 @@ helper function to run a profile of the TVM generated code.
 
  .. code-block:: none
 
-    Numpy running time: 0.000006
+    Numpy running time: 0.000007
     naive: 0.000007
 
 
@@ -444,7 +444,7 @@ factor to be the number of threads on your CPU.
 
  .. code-block:: none
 
-    vector: 0.000037
+    vector: 0.000039
     # from tvm.script import ir as I
     # from tvm.script import tir as T
 
@@ -498,10 +498,10 @@ We can now compare the different schedules
  .. code-block:: none
 
                 Operator                  Timing             Performance
-                   numpy    5.527100001927465e-06                    1.0
-                   naive              6.6053e-06      1.1950751746298303
-                parallel              6.8971e-06      1.2478695875947197
-                  vector             3.69687e-05        6.68862513562409
+                   numpy    7.314300000871299e-06                    1.0
+                   naive              6.6505e-06       0.909246270895065
+                parallel              6.9526e-06      0.9505489245958995
+                  vector               3.933e-05       5.377137934636931
 
 
 
@@ -922,7 +922,7 @@ matrix multiplication.
 
  .. code-block:: none
 
-    Numpy running time: 0.013074
+    Numpy running time: 0.018572
 
 
 
@@ -980,7 +980,7 @@ optimizations.
 
  .. code-block:: none
 
-    none: 3.334419
+    none: 3.463247
 
 
 
@@ -1080,7 +1080,7 @@ schedule.
 
  .. code-block:: none
 
-    blocking: 0.278304
+    blocking: 0.309552
 
 
 
@@ -1164,7 +1164,7 @@ already cache friendly from our previous optimizations.
 
  .. code-block:: none
 
-    vectorization: 0.256253
+    vectorization: 0.295336
     # from tvm.script import ir as I
     # from tvm.script import tir as T
 
@@ -1230,7 +1230,7 @@ more cache friendly.
 
  .. code-block:: none
 
-    loop permutation: 0.104763
+    loop permutation: 0.113497
     # from tvm.script import ir as I
     # from tvm.script import tir as T
 
@@ -1321,7 +1321,7 @@ optimized schedule.
 
  .. code-block:: none
 
-    array packing: 0.100460
+    array packing: 0.105571
     # from tvm.script import ir as I
     # from tvm.script import tir as T
 
@@ -1404,7 +1404,7 @@ to `C` when all the block results are ready.
 
  .. code-block:: none
 
-    block caching: 0.090778
+    block caching: 0.111385
     # from tvm.script import ir as I
     # from tvm.script import tir as T
 
@@ -1478,7 +1478,7 @@ of thread-level parallelization.
 
  .. code-block:: none
 
-    parallelization: 0.107891
+    parallelization: 0.132365
     # from tvm.script import ir as I
     # from tvm.script import tir as T
 
@@ -1548,13 +1548,13 @@ working, we can compare the results.
  .. code-block:: none
 
                 Operator                  Timing             Performance
-                    none            3.3344188088                     1.0
-                blocking            0.2783042562     0.08346409739098037
-           vectorization            0.2562530792     0.07685089783074403
-        loop permutation            0.1047630952    0.031418697292468316
-           array packing            0.1004599743    0.030128181269512996
-           block caching            0.0907781206    0.027224570698924734
-         parallelization            0.1078907555     0.03235668993206886
+                    none      3.4632474448000004                     1.0
+                blocking            0.3095516891     0.08938191510536908
+           vectorization            0.2953357659     0.08527711941098548
+        loop permutation     0.11349727350000001     0.03277192153001195
+           array packing            0.1055709974    0.030483238371694414
+           block caching             0.111385404     0.03216212695608656
+         parallelization            0.1323645019     0.03821976454461628
 
 
 
@@ -1594,6 +1594,11 @@ operations with tunable parameters that allows you to automatically optimize
 the computation for specific platforms.
 
 
+.. rst-class:: sphx-glr-timing
+
+   **Total running time of the script:** ( 1 minutes  1.219 seconds)
+
+
 .. _sphx_glr_download_tutorial_tensor_expr_get_started.py:
 
 .. only:: html
diff --git a/docs/api/rust/help.html b/docs/api/rust/help.html
index 6efdf48682..fea1f9a908 100644
--- a/docs/api/rust/help.html
+++ b/docs/api/rust/help.html
@@ -1 +1 @@
-<!DOCTYPE html><html lang="en"><head><meta charset="utf-8"><meta name="viewport" content="width=device-width, initial-scale=1.0"><meta name="generator" content="rustdoc"><meta name="description" content="Documentation for Rustdoc"><title>Rustdoc help</title><link rel="preload" as="font" type="font/woff2" crossorigin href="./static.files/SourceSerif4-Regular-46f98efaafac5295.ttf.woff2"><link rel="preload" as="font" type="font/woff2" crossorigin href="./static.files/FiraSans-Regular-018c14 [...]
\ No newline at end of file
+<!DOCTYPE html><html lang="en"><head><meta charset="utf-8"><meta name="viewport" content="width=device-width, initial-scale=1.0"><meta name="generator" content="rustdoc"><meta name="description" content="Documentation for Rustdoc"><title>Rustdoc help</title><link rel="preload" as="font" type="font/woff2" crossorigin href="./static.files/SourceSerif4-Regular-46f98efaafac5295.ttf.woff2"><link rel="preload" as="font" type="font/woff2" crossorigin href="./static.files/FiraSans-Regular-018c14 [...]
\ No newline at end of file
diff --git a/docs/api/rust/settings.html b/docs/api/rust/settings.html
index 95bf831b71..1158e6d9f5 100644
--- a/docs/api/rust/settings.html
+++ b/docs/api/rust/settings.html
@@ -1 +1 @@
-<!DOCTYPE html><html lang="en"><head><meta charset="utf-8"><meta name="viewport" content="width=device-width, initial-scale=1.0"><meta name="generator" content="rustdoc"><meta name="description" content="Settings of Rustdoc"><title>Rustdoc settings</title><link rel="preload" as="font" type="font/woff2" crossorigin href="./static.files/SourceSerif4-Regular-46f98efaafac5295.ttf.woff2"><link rel="preload" as="font" type="font/woff2" crossorigin href="./static.files/FiraSans-Regular-018c141b [...]
\ No newline at end of file
+<!DOCTYPE html><html lang="en"><head><meta charset="utf-8"><meta name="viewport" content="width=device-width, initial-scale=1.0"><meta name="generator" content="rustdoc"><meta name="description" content="Settings of Rustdoc"><title>Rustdoc settings</title><link rel="preload" as="font" type="font/woff2" crossorigin href="./static.files/SourceSerif4-Regular-46f98efaafac5295.ttf.woff2"><link rel="preload" as="font" type="font/woff2" crossorigin href="./static.files/FiraSans-Regular-018c141b [...]
\ No newline at end of file
diff --git a/docs/commit_hash b/docs/commit_hash
index fb4f54dc1b..ceab6796b9 100644
--- a/docs/commit_hash
+++ b/docs/commit_hash
@@ -1 +1 @@
-04ee895d8d102ea5aedd6480e7d5f4093c54d27d
+d26fdcf3d1643bd477a05915cc45ba820031b735
diff --git a/docs/genindex.html b/docs/genindex.html
index ba7d5bb174..7d3b511b02 100644
--- a/docs/genindex.html
+++ b/docs/genindex.html
@@ -3405,10 +3405,10 @@
         <li><a href="reference/api/python/meta_schedule.html#tvm.meta_schedule.TuneContext.post_tuning">(tvm.meta_schedule.TuneContext method)</a>
 </li>
       </ul></li>
-  </ul></td>
-  <td style="width: 33%; vertical-align: top;"><ul>
       <li><a href="reference/api/python/meta_schedule.html#tvm.meta_schedule.Postproc">Postproc (class in tvm.meta_schedule)</a>
 </li>
+  </ul></td>
+  <td style="width: 33%; vertical-align: top;"><ul>
       <li><a href="reference/api/python/tir.html#tvm.tir.pow">pow() (in module tvm.tir)</a>
 </li>
       <li><a href="reference/api/python/te.html#tvm.te.power">power() (in module tvm.te)</a>
@@ -3490,12 +3490,16 @@
       <li><a href="reference/api/python/relay/vision.html#tvm.relay.vision.proposal">proposal() (in module tvm.relay.vision)</a>
 </li>
       <li><a href="reference/api/python/tir.html#tvm.tir.ptx_arrive_barrier">ptx_arrive_barrier() (in module tvm.tir)</a>
+</li>
+      <li><a href="reference/api/python/tir.html#tvm.tir.ptx_arrive_barrier_expect_tx">ptx_arrive_barrier_expect_tx() (in module tvm.tir)</a>
 </li>
       <li><a href="reference/api/python/tir.html#tvm.tir.ptx_commit_group">ptx_commit_group() (in module tvm.tir)</a>
 </li>
       <li><a href="reference/api/python/tir.html#tvm.tir.ptx_cp_async">ptx_cp_async() (in module tvm.tir)</a>
 </li>
       <li><a href="reference/api/python/tir.html#tvm.tir.ptx_cp_async_barrier">ptx_cp_async_barrier() (in module tvm.tir)</a>
+</li>
+      <li><a href="reference/api/python/tir.html#tvm.tir.ptx_cp_async_bulk">ptx_cp_async_bulk() (in module tvm.tir)</a>
 </li>
       <li><a href="reference/api/python/tir.html#tvm.tir.ptx_init_barrier_thread_count">ptx_init_barrier_thread_count() (in module tvm.tir)</a>
 </li>
diff --git a/docs/how_to/compile_models/from_darknet.html b/docs/how_to/compile_models/from_darknet.html
index ab30255b9a..b7ab5bce8f 100644
--- a/docs/how_to/compile_models/from_darknet.html
+++ b/docs/how_to/compile_models/from_darknet.html
@@ -600,7 +600,7 @@ class:[&#39;truck 0.9266&#39;] left:471 top:83 right:689 bottom:169
 class:[&#39;bicycle 0.9984&#39;] left:111 top:113 right:577 bottom:447
 </pre></div>
 </div>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  35.915 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  40.381 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-compile-models-from-darknet-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/7716f96385bd5abb6e822041e285be54/from_darknet.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">from_darknet.py</span></code></a></p>
diff --git a/docs/how_to/compile_models/from_mxnet.html b/docs/how_to/compile_models/from_mxnet.html
index 660ac8b534..c6f0be8b24 100644
--- a/docs/how_to/compile_models/from_mxnet.html
+++ b/docs/how_to/compile_models/from_mxnet.html
@@ -454,7 +454,7 @@
 <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;x&quot;</span><span class="p">,</span> <a href="https://docs.python.org/3/library/stdtypes.html#tuple" title="builtins.tuple" class="sphx-glr-backref-module-builtins sphx-glr-backref-type-py-class sphx-glr-backref-instance"><span class="n">x</span><span class="o">.</span><span class="n">shape</span></a><span class="p">)</span>
 </pre></div>
 </div>
-<img src="../../_images/sphx_glr_from_mxnet_001.png" srcset="../../_images/sphx_glr_from_mxnet_001.png" alt="from mxnet" class = "sphx-glr-single-img"/><div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Downloading /workspace/.mxnet/models/resnet18_v1-a0666292.zip17646e96-21e3-45f5-a7c7-e146bc6e3097 from https://apache-mxnet.s3-accelerate.dualstack.amazonaws.com/gluon/models/resnet18_v1-a0666292.zip...
+<img src="../../_images/sphx_glr_from_mxnet_001.png" srcset="../../_images/sphx_glr_from_mxnet_001.png" alt="from mxnet" class = "sphx-glr-single-img"/><div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Downloading /workspace/.mxnet/models/resnet18_v1-a0666292.zip41746734-69fb-47b4-9b36-5e213da0153d from https://apache-mxnet.s3-accelerate.dualstack.amazonaws.com/gluon/models/resnet18_v1-a0666292.zip...
 x (1, 3, 224, 224)
 </pre></div>
 </div>
diff --git a/docs/how_to/compile_models/from_oneflow.html b/docs/how_to/compile_models/from_oneflow.html
index 45cd1f7f11..02544bc43c 100644
--- a/docs/how_to/compile_models/from_oneflow.html
+++ b/docs/how_to/compile_models/from_oneflow.html
@@ -464,15 +464,14 @@ Deprecated in NumPy 1.20; for more details and guidance: https://numpy.org/devdo
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Downloading: &quot;https://oneflow-public.oss-cn-beijing.aliyuncs.com/model_zoo/flowvision/classification/ResNet/resnet18.zip&quot; to /workspace/.oneflow/flowvision_cache/resnet18.zip
 
   0%|          | 0.00/41.5M [00:00&lt;?, ?B/s]
- 13%|#2        | 5.19M/41.5M [00:00&lt;00:01, 32.5MB/s]
- 20%|#9        | 8.29M/41.5M [00:00&lt;00:01, 22.7MB/s]
- 35%|###4      | 14.3M/41.5M [00:00&lt;00:01, 27.8MB/s]
- 41%|####      | 17.0M/41.5M [00:00&lt;00:01, 21.3MB/s]
- 54%|#####3    | 22.3M/41.5M [00:00&lt;00:00, 24.4MB/s]
- 60%|#####9    | 24.7M/41.5M [00:01&lt;00:00, 20.3MB/s]
- 77%|#######7  | 32.0M/41.5M [00:01&lt;00:00, 25.7MB/s]
- 92%|#########2| 38.3M/41.5M [00:01&lt;00:00, 26.7MB/s]
-100%|##########| 41.5M/41.5M [00:01&lt;00:00, 25.8MB/s]
+ 15%|#5        | 6.33M/41.5M [00:00&lt;00:00, 37.1MB/s]
+ 24%|##3       | 9.88M/41.5M [00:00&lt;00:01, 31.2MB/s]
+ 39%|###8      | 16.0M/41.5M [00:00&lt;00:00, 28.3MB/s]
+ 54%|#####3    | 22.3M/41.5M [00:00&lt;00:00, 30.8MB/s]
+ 61%|######    | 25.3M/41.5M [00:00&lt;00:00, 26.4MB/s]
+ 77%|#######7  | 32.0M/41.5M [00:01&lt;00:00, 30.3MB/s]
+ 92%|#########2| 38.3M/41.5M [00:01&lt;00:00, 33.2MB/s]
+100%|##########| 41.5M/41.5M [00:01&lt;00:00, 30.1MB/s]
 </pre></div>
 </div>
 </div>
diff --git a/docs/how_to/compile_models/from_paddle.html b/docs/how_to/compile_models/from_paddle.html
index 3bc4b410af..4815156dc8 100644
--- a/docs/how_to/compile_models/from_paddle.html
+++ b/docs/how_to/compile_models/from_paddle.html
@@ -499,7 +499,7 @@ To begin, we’ll install PaddlePaddle&gt;=2.1.3:</p>
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>TVM prediction top-1 id: 282, class name:  282: &#39;tiger cat&#39;,
 </pre></div>
 </div>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  0.682 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  5.884 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-compile-models-from-paddle-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/16269b77359771348d507395692524cf/from_paddle.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">from_paddle.py</span></code></a></p>
diff --git a/docs/how_to/compile_models/from_pytorch.html b/docs/how_to/compile_models/from_pytorch.html
index 1a70e9a7ae..95134a17ab 100644
--- a/docs/how_to/compile_models/from_pytorch.html
+++ b/docs/how_to/compile_models/from_pytorch.html
@@ -447,16 +447,16 @@ be unstable.</p>
 Downloading: &quot;https://download.pytorch.org/models/resnet18-f37072fd.pth&quot; to /workspace/.cache/torch/hub/checkpoints/resnet18-f37072fd.pth
 
   0%|          | 0.00/44.7M [00:00&lt;?, ?B/s]
- 14%|#4        | 6.30M/44.7M [00:00&lt;00:01, 38.1MB/s]
- 22%|##2       | 9.95M/44.7M [00:00&lt;00:01, 30.1MB/s]
- 32%|###2      | 14.3M/44.7M [00:00&lt;00:01, 17.3MB/s]
- 37%|###6      | 16.3M/44.7M [00:00&lt;00:01, 17.8MB/s]
- 54%|#####3    | 24.0M/44.7M [00:01&lt;00:00, 27.9MB/s]
- 68%|######7   | 30.3M/44.7M [00:01&lt;00:00, 34.3MB/s]
- 76%|#######6  | 34.1M/44.7M [00:01&lt;00:00, 28.0MB/s]
- 86%|########5 | 38.3M/44.7M [00:01&lt;00:00, 30.7MB/s]
- 93%|#########3| 41.6M/44.7M [00:01&lt;00:00, 30.8MB/s]
-100%|##########| 44.7M/44.7M [00:01&lt;00:00, 27.5MB/s]
+ 18%|#7        | 7.99M/44.7M [00:00&lt;00:00, 41.9MB/s]
+ 32%|###2      | 14.3M/44.7M [00:00&lt;00:00, 33.7MB/s]
+ 44%|####3     | 19.5M/44.7M [00:00&lt;00:00, 39.4MB/s]
+ 54%|#####3    | 24.0M/44.7M [00:00&lt;00:00, 34.8MB/s]
+ 62%|######1   | 27.5M/44.7M [00:00&lt;00:00, 35.4MB/s]
+ 70%|######9   | 31.1M/44.7M [00:01&lt;00:00, 20.6MB/s]
+ 76%|#######5  | 33.8M/44.7M [00:01&lt;00:00, 19.2MB/s]
+ 86%|########5 | 38.3M/44.7M [00:01&lt;00:00, 18.3MB/s]
+ 90%|######### | 40.4M/44.7M [00:01&lt;00:00, 16.6MB/s]
+100%|##########| 44.7M/44.7M [00:01&lt;00:00, 25.3MB/s]
 </pre></div>
 </div>
 </div>
diff --git a/docs/how_to/compile_models/from_tensorflow.html b/docs/how_to/compile_models/from_tensorflow.html
index cdd60f6da5..15a8693ec0 100644
--- a/docs/how_to/compile_models/from_tensorflow.html
+++ b/docs/how_to/compile_models/from_tensorflow.html
@@ -667,7 +667,7 @@ banana (score = 0.00022)
 desk (score = 0.00019)
 </pre></div>
 </div>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  35.338 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  40.612 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-compile-models-from-tensorflow-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/7f1d3d1b878694c201c614c807cdebc8/from_tensorflow.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">from_tensorflow.py</span></code></a></p>
diff --git a/docs/how_to/compile_models/sg_execution_times.html b/docs/how_to/compile_models/sg_execution_times.html
index 3417a5e469..6daf8db062 100644
--- a/docs/how_to/compile_models/sg_execution_times.html
+++ b/docs/how_to/compile_models/sg_execution_times.html
@@ -355,7 +355,7 @@
             
   <div class="section" id="computation-times">
 <span id="sphx-glr-how-to-compile-models-sg-execution-times"></span><h1>Computation times<a class="headerlink" href="#computation-times" title="Permalink to this headline">¶</a></h1>
-<p><strong>07:24.936</strong> total execution time for <strong>how_to_compile_models</strong> files:</p>
+<p><strong>07:43.783</strong> total execution time for <strong>how_to_compile_models</strong> files:</p>
 <table class="docutils align-default">
 <colgroup>
 <col style="width: 81%" />
@@ -363,44 +363,44 @@
 <col style="width: 8%" />
 </colgroup>
 <tbody>
-<tr class="row-odd"><td><p><a class="reference internal" href="from_darknet.html#sphx-glr-how-to-compile-models-from-darknet-py"><span class="std std-ref">Compile YOLO-V2 and YOLO-V3 in DarkNet Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_darknet.py</span></code>)</p></td>
-<td><p>01:35.915</p></td>
+<tr class="row-odd"><td><p><a class="reference internal" href="from_tensorflow.html#sphx-glr-how-to-compile-models-from-tensorflow-py"><span class="std std-ref">Compile Tensorflow Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_tensorflow.py</span></code>)</p></td>
+<td><p>01:40.612</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
-<tr class="row-even"><td><p><a class="reference internal" href="from_tensorflow.html#sphx-glr-how-to-compile-models-from-tensorflow-py"><span class="std std-ref">Compile Tensorflow Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_tensorflow.py</span></code>)</p></td>
-<td><p>01:35.338</p></td>
+<tr class="row-even"><td><p><a class="reference internal" href="from_darknet.html#sphx-glr-how-to-compile-models-from-darknet-py"><span class="std std-ref">Compile YOLO-V2 and YOLO-V3 in DarkNet Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_darknet.py</span></code>)</p></td>
+<td><p>01:40.381</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="from_paddle.html#sphx-glr-how-to-compile-models-from-paddle-py"><span class="std std-ref">Compile PaddlePaddle Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_paddle.py</span></code>)</p></td>
-<td><p>01:00.682</p></td>
+<td><p>01:05.884</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="from_oneflow.html#sphx-glr-how-to-compile-models-from-oneflow-py"><span class="std std-ref">Compile OneFlow Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_oneflow.py</span></code>)</p></td>
-<td><p>00:40.969</p></td>
+<td><p>00:43.618</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="from_coreml.html#sphx-glr-how-to-compile-models-from-coreml-py"><span class="std std-ref">Compile CoreML Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_coreml.py</span></code>)</p></td>
-<td><p>00:38.956</p></td>
+<td><p>00:38.495</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="from_mxnet.html#sphx-glr-how-to-compile-models-from-mxnet-py"><span class="std std-ref">Compile MXNet Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_mxnet.py</span></code>)</p></td>
-<td><p>00:36.065</p></td>
+<td><p>00:36.043</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="from_keras.html#sphx-glr-how-to-compile-models-from-keras-py"><span class="std std-ref">Compile Keras Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_keras.py</span></code>)</p></td>
-<td><p>00:32.093</p></td>
+<td><p>00:30.868</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="from_pytorch.html#sphx-glr-how-to-compile-models-from-pytorch-py"><span class="std std-ref">Compile PyTorch Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_pytorch.py</span></code>)</p></td>
-<td><p>00:26.728</p></td>
+<td><p>00:29.663</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="from_tflite.html#sphx-glr-how-to-compile-models-from-tflite-py"><span class="std std-ref">Compile TFLite Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_tflite.py</span></code>)</p></td>
-<td><p>00:15.334</p></td>
+<td><p>00:15.219</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="from_onnx.html#sphx-glr-how-to-compile-models-from-onnx-py"><span class="std std-ref">Compile ONNX Models</span></a> (<code class="docutils literal notranslate"><span class="pre">from_onnx.py</span></code>)</p></td>
-<td><p>00:02.858</p></td>
+<td><p>00:03.001</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 </tbody>
diff --git a/docs/how_to/deploy_models/deploy_model_on_adreno.html b/docs/how_to/deploy_models/deploy_model_on_adreno.html
index 335665410b..7cd7ab8b92 100644
--- a/docs/how_to/deploy_models/deploy_model_on_adreno.html
+++ b/docs/how_to/deploy_models/deploy_model_on_adreno.html
@@ -845,10 +845,10 @@ Top5 predictions:
 Evaluate inference time cost...
 Execution time summary:
  mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)
- 4092.5450    4093.1521    4095.6900    4089.4867      1.7542
+ 4226.6122    4225.7568    4231.7694    4224.5615      2.0399
 </pre></div>
 </div>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  17.514 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  21.153 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-deploy-models-deploy-model-on-adreno-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/2387d8448da213eb625e6b3d916327d4/deploy_model_on_adreno.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">deploy_model_on_adreno.py</span></code></a></p>
diff --git a/docs/how_to/deploy_models/deploy_model_on_adreno_tvmc.html b/docs/how_to/deploy_models/deploy_model_on_adreno_tvmc.html
index 6ee190d34e..a060a25b19 100644
--- a/docs/how_to/deploy_models/deploy_model_on_adreno_tvmc.html
+++ b/docs/how_to/deploy_models/deploy_model_on_adreno_tvmc.html
@@ -453,33 +453,35 @@ to run this tutorial with a real device over rpc.</p>
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Downloading data from https://storage.googleapis.com/tensorflow/keras-applications/resnet/resnet50_weights_tf_dim_ordering_tf_kernels.h5
 
      8192/102967424 [..............................] - ETA: 0s
-  2105344/102967424 [..............................] - ETA: 2s
-  6635520/102967424 [&gt;.............................] - ETA: 2s
-  8380416/102967424 [=&gt;............................] - ETA: 3s
- 16769024/102967424 [===&gt;..........................] - ETA: 2s
- 23412736/102967424 [=====&gt;........................] - ETA: 1s
- 25157632/102967424 [======&gt;.......................] - ETA: 2s
- 33546240/102967424 [========&gt;.....................] - ETA: 1s
- 39862272/102967424 [==========&gt;...................] - ETA: 1s
- 40189952/102967424 [==========&gt;...................] - ETA: 1s
- 41934848/102967424 [===========&gt;..................] - ETA: 1s
- 48234496/102967424 [=============&gt;................] - ETA: 1s
- 48578560/102967424 [=============&gt;................] - ETA: 1s
- 50323456/102967424 [=============&gt;................] - ETA: 1s
- 55230464/102967424 [===============&gt;..............] - ETA: 1s
+  2187264/102967424 [..............................] - ETA: 4s
+  5439488/102967424 [&gt;.............................] - ETA: 4s
+  8380416/102967424 [=&gt;............................] - ETA: 4s
+ 10575872/102967424 [==&gt;...........................] - ETA: 7s
+ 16482304/102967424 [===&gt;..........................] - ETA: 5s
+ 16769024/102967424 [===&gt;..........................] - ETA: 6s
+ 21307392/102967424 [=====&gt;........................] - ETA: 4s
+ 23412736/102967424 [=====&gt;........................] - ETA: 4s
+ 25157632/102967424 [======&gt;.......................] - ETA: 4s
+ 32743424/102967424 [========&gt;.....................] - ETA: 3s
+ 33546240/102967424 [========&gt;.....................] - ETA: 3s
+ 40189952/102967424 [==========&gt;...................] - ETA: 2s
+ 41934848/102967424 [===========&gt;..................] - ETA: 2s
+ 48578560/102967424 [=============&gt;................] - ETA: 2s
+ 50323456/102967424 [=============&gt;................] - ETA: 2s
  56967168/102967424 [===============&gt;..............] - ETA: 1s
  58712064/102967424 [================&gt;.............] - ETA: 1s
- 58851328/102967424 [================&gt;.............] - ETA: 1s
- 65355776/102967424 [==================&gt;...........] - ETA: 1s
+ 62783488/102967424 [=================&gt;............] - ETA: 1s
  67100672/102967424 [==================&gt;...........] - ETA: 1s
  69296128/102967424 [===================&gt;..........] - ETA: 1s
  73744384/102967424 [====================&gt;.........] - ETA: 1s
  75489280/102967424 [====================&gt;.........] - ETA: 1s
+ 77684736/102967424 [=====================&gt;........] - ETA: 0s
  82124800/102967424 [======================&gt;.......] - ETA: 0s
  83877888/102967424 [=======================&gt;......] - ETA: 0s
+ 88326144/102967424 [========================&gt;.....] - ETA: 0s
  90521600/102967424 [=========================&gt;....] - ETA: 0s
  92266496/102967424 [=========================&gt;....] - ETA: 0s
- 98910208/102967424 [===========================&gt;..] - ETA: 0s
+ 96501760/102967424 [===========================&gt;..] - ETA: 0s
 100646912/102967424 [============================&gt;.] - ETA: 0s
 102850560/102967424 [============================&gt;.] - ETA: 0s
 102967424/102967424 [==============================] - 4s 0us/step
diff --git a/docs/how_to/deploy_models/deploy_model_on_android.html b/docs/how_to/deploy_models/deploy_model_on_android.html
index cac4a9607c..4dd4d835a6 100644
--- a/docs/how_to/deploy_models/deploy_model_on_android.html
+++ b/docs/how_to/deploy_models/deploy_model_on_android.html
@@ -677,7 +677,7 @@ to the remote android device.</p>
 Evaluate inference time cost...
 Execution time summary:
  mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)
-  14.6126      14.7105      15.0219      14.0540       0.3691
+  15.6755      15.5348      16.2436      15.1414       0.3945
 </pre></div>
 </div>
 </div>
diff --git a/docs/how_to/deploy_models/deploy_object_detection_pytorch.html b/docs/how_to/deploy_models/deploy_object_detection_pytorch.html
index 480d81972b..c3e9367d1a 100644
--- a/docs/how_to/deploy_models/deploy_object_detection_pytorch.html
+++ b/docs/how_to/deploy_models/deploy_object_detection_pytorch.html
@@ -469,38 +469,42 @@ be unstable.</p>
 Downloading: &quot;https://download.pytorch.org/models/maskrcnn_resnet50_fpn_coco-bf2d0c1e.pth&quot; to /workspace/.cache/torch/hub/checkpoints/maskrcnn_resnet50_fpn_coco-bf2d0c1e.pth
 
   0%|          | 0.00/170M [00:00&lt;?, ?B/s]
-  4%|3         | 6.30M/170M [00:00&lt;00:09, 17.7MB/s]
-  5%|4         | 7.99M/170M [00:00&lt;00:11, 15.3MB/s]
-  9%|9         | 16.0M/170M [00:00&lt;00:06, 24.1MB/s]
- 13%|#3        | 22.3M/170M [00:01&lt;00:06, 22.9MB/s]
- 14%|#4        | 24.5M/170M [00:01&lt;00:07, 19.6MB/s]
- 18%|#7        | 30.3M/170M [00:01&lt;00:06, 21.6MB/s]
- 19%|#9        | 32.3M/170M [00:01&lt;00:08, 16.6MB/s]
- 24%|##3       | 40.0M/170M [00:01&lt;00:05, 24.3MB/s]
- 27%|##7       | 46.3M/170M [00:02&lt;00:04, 28.9MB/s]
- 29%|##9       | 49.4M/170M [00:02&lt;00:04, 25.5MB/s]
- 33%|###2      | 56.0M/170M [00:02&lt;00:04, 29.5MB/s]
- 37%|###6      | 62.3M/170M [00:02&lt;00:04, 25.2MB/s]
- 38%|###8      | 65.0M/170M [00:02&lt;00:04, 23.0MB/s]
- 42%|####2     | 72.0M/170M [00:03&lt;00:03, 28.5MB/s]
- 46%|####6     | 78.6M/170M [00:03&lt;00:02, 35.7MB/s]
- 49%|####8     | 82.6M/170M [00:03&lt;00:02, 36.0MB/s]
- 52%|#####1    | 88.0M/170M [00:03&lt;00:02, 36.1MB/s]
- 56%|#####5    | 94.3M/170M [00:03&lt;00:02, 32.7MB/s]
- 58%|#####7    | 97.7M/170M [00:03&lt;00:02, 27.6MB/s]
- 61%|######1   | 104M/170M [00:04&lt;00:02, 27.4MB/s]
- 66%|######5   | 112M/170M [00:04&lt;00:01, 32.6MB/s]
- 71%|#######   | 120M/170M [00:04&lt;00:01, 30.7MB/s]
- 74%|#######4  | 126M/170M [00:05&lt;00:01, 24.8MB/s]
- 76%|#######5  | 129M/170M [00:05&lt;00:01, 23.0MB/s]
- 80%|########  | 136M/170M [00:05&lt;00:01, 27.0MB/s]
- 85%|########4 | 144M/170M [00:05&lt;00:00, 31.6MB/s]
- 88%|########8 | 150M/170M [00:05&lt;00:00, 28.5MB/s]
- 90%|######### | 153M/170M [00:06&lt;00:00, 27.0MB/s]
- 94%|#########3| 159M/170M [00:06&lt;00:00, 32.5MB/s]
- 96%|#########5| 162M/170M [00:06&lt;00:00, 28.5MB/s]
- 99%|#########8| 168M/170M [00:06&lt;00:00, 34.3MB/s]
-100%|##########| 170M/170M [00:06&lt;00:00, 27.6MB/s]
+  4%|3         | 6.30M/170M [00:00&lt;00:06, 25.8MB/s]
+  5%|5         | 8.77M/170M [00:00&lt;00:08, 19.0MB/s]
+  8%|8         | 14.3M/170M [00:00&lt;00:06, 25.8MB/s]
+ 10%|9         | 16.9M/170M [00:00&lt;00:06, 25.6MB/s]
+ 13%|#3        | 22.3M/170M [00:00&lt;00:05, 29.1MB/s]
+ 15%|#4        | 25.1M/170M [00:01&lt;00:06, 25.1MB/s]
+ 18%|#7        | 30.3M/170M [00:01&lt;00:04, 29.6MB/s]
+ 20%|#9        | 33.2M/170M [00:01&lt;00:05, 26.6MB/s]
+ 24%|##3       | 40.0M/170M [00:01&lt;00:03, 35.1MB/s]
+ 27%|##7       | 46.3M/170M [00:01&lt;00:05, 24.6MB/s]
+ 29%|##8       | 49.2M/170M [00:01&lt;00:05, 24.4MB/s]
+ 33%|###2      | 56.0M/170M [00:02&lt;00:04, 26.3MB/s]
+ 38%|###7      | 64.0M/170M [00:02&lt;00:03, 30.4MB/s]
+ 42%|####2     | 72.0M/170M [00:02&lt;00:02, 34.4MB/s]
+ 46%|####6     | 78.3M/170M [00:02&lt;00:02, 39.4MB/s]
+ 49%|####8     | 82.4M/170M [00:02&lt;00:02, 37.2MB/s]
+ 51%|#####     | 86.3M/170M [00:03&lt;00:02, 34.1MB/s]
+ 53%|#####2    | 89.7M/170M [00:03&lt;00:02, 28.8MB/s]
+ 56%|#####5    | 94.3M/170M [00:03&lt;00:02, 29.4MB/s]
+ 57%|#####7    | 97.2M/170M [00:03&lt;00:03, 20.8MB/s]
+ 61%|######1   | 104M/170M [00:03&lt;00:02, 26.9MB/s]
+ 66%|######5   | 112M/170M [00:04&lt;00:01, 32.7MB/s]
+ 70%|######9   | 118M/170M [00:04&lt;00:01, 38.6MB/s]
+ 72%|#######2  | 123M/170M [00:04&lt;00:01, 31.4MB/s]
+ 74%|#######4  | 126M/170M [00:04&lt;00:01, 31.8MB/s]
+ 76%|#######6  | 130M/170M [00:04&lt;00:01, 31.5MB/s]
+ 79%|#######9  | 134M/170M [00:04&lt;00:01, 34.5MB/s]
+ 81%|########1 | 138M/170M [00:04&lt;00:01, 26.4MB/s]
+ 85%|########4 | 144M/170M [00:05&lt;00:00, 27.6MB/s]
+ 88%|########8 | 150M/170M [00:05&lt;00:01, 20.1MB/s]
+ 90%|########9 | 153M/170M [00:05&lt;00:00, 19.1MB/s]
+ 93%|#########3| 158M/170M [00:06&lt;00:00, 18.0MB/s]
+ 94%|#########4| 160M/170M [00:06&lt;00:00, 17.6MB/s]
+ 98%|#########7| 166M/170M [00:06&lt;00:00, 19.6MB/s]
+ 99%|#########9| 169M/170M [00:06&lt;00:00, 20.6MB/s]
+100%|##########| 170M/170M [00:06&lt;00:00, 26.7MB/s]
 /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torch/nn/functional.py:3912: UserWarning: To copy construct from a tensor, it is recommended to use sourceTensor.clone().detach() or sourceTensor.clone().detach().requires_grad_(True), rather than torch.tensor(sourceTensor).
   (torch.floor((input.size(i + 2).float() * torch.tensor(scale_factors[i], dtype=torch.float32)).float()))
 /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torchvision/ops/boxes.py:157: UserWarning: To copy construct from a tensor, it is recommended to use sourceTensor.clone().detach() or sourceTensor.clone().detach().requires_grad_(True), rather than torch.tensor(sourceTensor).
@@ -594,7 +598,7 @@ torchvision rcnn models.</p>
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Get 9 valid boxes
 </pre></div>
 </div>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 3 minutes  41.327 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 3 minutes  45.631 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-deploy-models-deploy-object-detection-pytorch-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/7795da4b258c8feff986668b95ef57ad/deploy_object_detection_pytorch.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">deploy_object_detection_pytorch.py</span></code></a></p>
diff --git a/docs/how_to/deploy_models/deploy_prequantized.html b/docs/how_to/deploy_models/deploy_prequantized.html
index b7b1325753..af63a14099 100644
--- a/docs/how_to/deploy_models/deploy_prequantized.html
+++ b/docs/how_to/deploy_models/deploy_prequantized.html
@@ -510,10 +510,9 @@ training. Other models require a full post training calibration.</p>
 Downloading: &quot;https://download.pytorch.org/models/mobilenet_v2-b0353104.pth&quot; to /workspace/.cache/torch/hub/checkpoints/mobilenet_v2-b0353104.pth
 
   0%|          | 0.00/13.6M [00:00&lt;?, ?B/s]
- 47%|####6     | 6.30M/13.6M [00:00&lt;00:00, 29.6MB/s]
- 67%|######7   | 9.13M/13.6M [00:00&lt;00:00, 25.1MB/s]
- 90%|########9 | 12.2M/13.6M [00:00&lt;00:00, 22.4MB/s]
-100%|##########| 13.6M/13.6M [00:00&lt;00:00, 26.1MB/s]
+ 47%|####6     | 6.30M/13.6M [00:00&lt;00:00, 48.7MB/s]
+ 81%|########  | 11.0M/13.6M [00:00&lt;00:00, 40.9MB/s]
+100%|##########| 13.6M/13.6M [00:00&lt;00:00, 36.2MB/s]
 </pre></div>
 </div>
 </div>
@@ -604,7 +603,7 @@ output values are identical out of 1000 outputs from mobilenet v2.</p>
 </div>
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Execution time summary:
  mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)
-  85.9054      85.8932      86.5949      85.5769       0.2234
+  89.2529      89.1979      90.4364      88.8128       0.2676
 </pre></div>
 </div>
 <div class="admonition note">
@@ -643,7 +642,7 @@ This includes support for the VNNI 8 bit dot product instruction (CascadeLake or
 <div class="section" id="deploy-a-quantized-tflite-model">
 <h2>Deploy a quantized TFLite Model<a class="headerlink" href="#deploy-a-quantized-tflite-model" title="Permalink to this headline">¶</a></h2>
 <p>TODO</p>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  33.929 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  29.367 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-deploy-models-deploy-prequantized-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/fb8217c13f4351224c6cf3aacf1a87fc/deploy_prequantized.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">deploy_prequantized.py</span></code></a></p>
diff --git a/docs/how_to/deploy_models/deploy_prequantized_tflite.html b/docs/how_to/deploy_models/deploy_prequantized_tflite.html
index 6489a86f96..03b069c6e3 100644
--- a/docs/how_to/deploy_models/deploy_prequantized_tflite.html
+++ b/docs/how_to/deploy_models/deploy_prequantized_tflite.html
@@ -595,7 +595,7 @@ TFLite Top-5 labels: [387 102 386 341 349]
 </div>
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Execution time summary:
  mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)
-  101.5215     101.4163     106.9309     101.0890      0.6381
+  110.5237     110.4122     113.3033     109.9104      0.5416
 </pre></div>
 </div>
 <div class="admonition note">
diff --git a/docs/how_to/deploy_models/deploy_quantized.html b/docs/how_to/deploy_models/deploy_quantized.html
index b07fee78cd..5a5f32486f 100644
--- a/docs/how_to/deploy_models/deploy_quantized.html
+++ b/docs/how_to/deploy_models/deploy_quantized.html
@@ -536,7 +536,7 @@ for calibration. But the accuracy might be impacted.</p>
   warnings.warn(
 </pre></div>
 </div>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 2 minutes  45.780 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 2 minutes  40.132 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-deploy-models-deploy-quantized-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/7810ecf51bfc05f7d5e8a400ac3e815d/deploy_quantized.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">deploy_quantized.py</span></code></a></p>
diff --git a/docs/how_to/deploy_models/sg_execution_times.html b/docs/how_to/deploy_models/sg_execution_times.html
index 6a91873b1f..6c8a5c5291 100644
--- a/docs/how_to/deploy_models/sg_execution_times.html
+++ b/docs/how_to/deploy_models/sg_execution_times.html
@@ -355,7 +355,7 @@
             
   <div class="section" id="computation-times">
 <span id="sphx-glr-how-to-deploy-models-sg-execution-times"></span><h1>Computation times<a class="headerlink" href="#computation-times" title="Permalink to this headline">¶</a></h1>
-<p><strong>13:00.744</strong> total execution time for <strong>how_to_deploy_models</strong> files:</p>
+<p><strong>12:59.170</strong> total execution time for <strong>how_to_deploy_models</strong> files:</p>
 <table class="docutils align-default">
 <colgroup>
 <col style="width: 86%" />
@@ -364,43 +364,43 @@
 </colgroup>
 <tbody>
 <tr class="row-odd"><td><p><a class="reference internal" href="deploy_object_detection_pytorch.html#sphx-glr-how-to-deploy-models-deploy-object-detection-pytorch-py"><span class="std std-ref">Compile PyTorch Object Detection Models</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_object_detection_pytorch.py</span></code>)</p></td>
-<td><p>03:41.327</p></td>
+<td><p>03:45.631</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="deploy_quantized.html#sphx-glr-how-to-deploy-models-deploy-quantized-py"><span class="std std-ref">Deploy a Quantized Model on Cuda</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_quantized.py</span></code>)</p></td>
-<td><p>02:45.780</p></td>
+<td><p>02:40.132</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="deploy_prequantized.html#sphx-glr-how-to-deploy-models-deploy-prequantized-py"><span class="std std-ref">Deploy a Framework-prequantized Model with TVM</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_prequantized.py</span></code>)</p></td>
-<td><p>01:33.929</p></td>
+<td><p>01:29.367</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="deploy_model_on_adreno.html#sphx-glr-how-to-deploy-models-deploy-model-on-adreno-py"><span class="std std-ref">Deploy the Pretrained Model on Adrenoâ„¢</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_model_on_adreno.py</span></code>)</p></td>
-<td><p>01:17.514</p></td>
+<td><p>01:21.153</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="deploy_prequantized_tflite.html#sphx-glr-how-to-deploy-models-deploy-prequantized-tflite-py"><span class="std std-ref">Deploy a Framework-prequantized Model with TVM - Part 3 (TFLite)</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_prequantized_tflite.py</span></code>)</p></td>
-<td><p>00:57.870</p></td>
+<td><p>00:56.674</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
-<tr class="row-even"><td><p><a class="reference internal" href="deploy_model_on_android.html#sphx-glr-how-to-deploy-models-deploy-model-on-android-py"><span class="std std-ref">Deploy the Pretrained Model on Android</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_model_on_android.py</span></code>)</p></td>
-<td><p>00:53.113</p></td>
+<tr class="row-even"><td><p><a class="reference internal" href="deploy_model_on_adreno_tvmc.html#sphx-glr-how-to-deploy-models-deploy-model-on-adreno-tvmc-py"><span class="std std-ref">Deploy the Pretrained Model on Adrenoâ„¢ with tvmc Interface</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_model_on_adreno_tvmc.py</span></code>)</p></td>
+<td><p>00:52.441</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
-<tr class="row-odd"><td><p><a class="reference internal" href="deploy_model_on_adreno_tvmc.html#sphx-glr-how-to-deploy-models-deploy-model-on-adreno-tvmc-py"><span class="std std-ref">Deploy the Pretrained Model on Adrenoâ„¢ with tvmc Interface</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_model_on_adreno_tvmc.py</span></code>)</p></td>
-<td><p>00:49.680</p></td>
+<tr class="row-odd"><td><p><a class="reference internal" href="deploy_model_on_android.html#sphx-glr-how-to-deploy-models-deploy-model-on-android-py"><span class="std std-ref">Deploy the Pretrained Model on Android</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_model_on_android.py</span></code>)</p></td>
+<td><p>00:51.814</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="deploy_model_on_nano.html#sphx-glr-how-to-deploy-models-deploy-model-on-nano-py"><span class="std std-ref">Deploy the Pretrained Model on Jetson Nano</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_model_on_nano.py</span></code>)</p></td>
-<td><p>00:32.236</p></td>
+<td><p>00:31.232</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="deploy_model_on_rasp.html#sphx-glr-how-to-deploy-models-deploy-model-on-rasp-py"><span class="std std-ref">Deploy the Pretrained Model on Raspberry Pi</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_model_on_rasp.py</span></code>)</p></td>
-<td><p>00:29.290</p></td>
+<td><p>00:30.718</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="deploy_sparse.html#sphx-glr-how-to-deploy-models-deploy-sparse-py"><span class="std std-ref">Deploy a Hugging Face Pruned Model on CPU</span></a> (<code class="docutils literal notranslate"><span class="pre">deploy_sparse.py</span></code>)</p></td>
-<td><p>00:00.006</p></td>
+<td><p>00:00.007</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 </tbody>
diff --git a/docs/how_to/extend_tvm/bring_your_own_datatypes.html b/docs/how_to/extend_tvm/bring_your_own_datatypes.html
index 93bb2ef26c..4d9bddc20f 100644
--- a/docs/how_to/extend_tvm/bring_your_own_datatypes.html
+++ b/docs/how_to/extend_tvm/bring_your_own_datatypes.html
@@ -634,7 +634,7 @@ In this alpha state of the Bring Your Own Datatypes framework, we have not imple
 <span class="n">module</span><span class="p">,</span> <a href="https://docs.python.org/3/library/stdtypes.html#dict" title="builtins.dict" class="sphx-glr-backref-module-builtins sphx-glr-backref-type-py-class sphx-glr-backref-instance"><span class="n">params</span></a> <span class="o">=</span> <span class="n">get_mobilenet</span><span class="p">()</span>
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Downloading /workspace/.mxnet/models/mobilenet0.25-9f83e440.zipc4be3ec8-6487-436d-afae-c1f8a88d9a8c from https://apache-mxnet.s3-accelerate.dualstack.amazonaws.com/gluon/models/mobilenet0.25-9f83e440.zip...
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Downloading /workspace/.mxnet/models/mobilenet0.25-9f83e440.zip7e6d9e36-e5af-436f-bb0b-a1effd92ecd1 from https://apache-mxnet.s3-accelerate.dualstack.amazonaws.com/gluon/models/mobilenet0.25-9f83e440.zip...
 </pre></div>
 </div>
 <p>It’s easy to execute MobileNet with native TVM:</p>
diff --git a/docs/how_to/extend_tvm/sg_execution_times.html b/docs/how_to/extend_tvm/sg_execution_times.html
index e1dcf993df..81629f252f 100644
--- a/docs/how_to/extend_tvm/sg_execution_times.html
+++ b/docs/how_to/extend_tvm/sg_execution_times.html
@@ -355,7 +355,7 @@
             
   <div class="section" id="computation-times">
 <span id="sphx-glr-how-to-extend-tvm-sg-execution-times"></span><h1>Computation times<a class="headerlink" href="#computation-times" title="Permalink to this headline">¶</a></h1>
-<p><strong>00:58.795</strong> total execution time for <strong>how_to_extend_tvm</strong> files:</p>
+<p><strong>01:00.288</strong> total execution time for <strong>how_to_extend_tvm</strong> files:</p>
 <table class="docutils align-default">
 <colgroup>
 <col style="width: 84%" />
@@ -364,15 +364,15 @@
 </colgroup>
 <tbody>
 <tr class="row-odd"><td><p><a class="reference internal" href="bring_your_own_datatypes.html#sphx-glr-how-to-extend-tvm-bring-your-own-datatypes-py"><span class="std std-ref">Bring Your Own Datatypes to TVM</span></a> (<code class="docutils literal notranslate"><span class="pre">bring_your_own_datatypes.py</span></code>)</p></td>
-<td><p>00:54.911</p></td>
+<td><p>00:56.237</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="use_pass_instrument.html#sphx-glr-how-to-extend-tvm-use-pass-instrument-py"><span class="std std-ref">How to Use TVM Pass Instrument</span></a> (<code class="docutils literal notranslate"><span class="pre">use_pass_instrument.py</span></code>)</p></td>
-<td><p>00:02.743</p></td>
+<td><p>00:02.813</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="use_pass_infra.html#sphx-glr-how-to-extend-tvm-use-pass-infra-py"><span class="std std-ref">How to Use TVM Pass Infra</span></a> (<code class="docutils literal notranslate"><span class="pre">use_pass_infra.py</span></code>)</p></td>
-<td><p>00:01.135</p></td>
+<td><p>00:01.230</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="low_level_custom_pass.html#sphx-glr-how-to-extend-tvm-low-level-custom-pass-py"><span class="std std-ref">Writing a Customized Pass</span></a> (<code class="docutils literal notranslate"><span class="pre">low_level_custom_pass.py</span></code>)</p></td>
diff --git a/docs/how_to/extend_tvm/use_pass_instrument.html b/docs/how_to/extend_tvm/use_pass_instrument.html
index 5e7102fc3f..87d5eb4f0a 100644
--- a/docs/how_to/extend_tvm/use_pass_instrument.html
+++ b/docs/how_to/extend_tvm/use_pass_instrument.html
@@ -541,10 +541,10 @@ profile the execution time of each passes.</p>
 </pre></div>
 </div>
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Printing results of timing profile...
-InferType: 28277us [28277us] (48.70%; 48.70%)
-FoldScaleAxis: 29785us [8us] (51.30%; 51.30%)
-        FoldConstant: 29777us [1706us] (51.29%; 99.97%)
-                InferType: 28071us [28071us] (48.35%; 94.27%)
+InferType: 24179us [24179us] (47.84%; 47.84%)
+FoldScaleAxis: 26365us [10us] (52.16%; 52.16%)
+        FoldConstant: 26355us [1919us] (52.14%; 99.96%)
+                InferType: 24436us [24436us] (48.35%; 92.72%)
 </pre></div>
 </div>
 </div>
@@ -566,10 +566,10 @@ Refer to following sections and <a class="reference internal" href="../../refere
 </pre></div>
 </div>
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Printing results of timing profile...
-InferType: 28183us [28183us] (49.20%; 49.20%)
-FoldScaleAxis: 29101us [6us] (50.80%; 50.80%)
-        FoldConstant: 29096us [1603us] (50.79%; 99.98%)
-                InferType: 27493us [27493us] (47.99%; 94.49%)
+InferType: 23712us [23712us] (48.13%; 48.13%)
+FoldScaleAxis: 25559us [9us] (51.87%; 51.87%)
+        FoldConstant: 25550us [1952us] (51.86%; 99.96%)
+                InferType: 23598us [23598us] (47.89%; 92.36%)
 </pre></div>
 </div>
 <p>Register empty list to clear existing instruments.</p>
diff --git a/docs/how_to/optimize_operators/opt_conv_cuda.html b/docs/how_to/optimize_operators/opt_conv_cuda.html
index c102ae25f3..43b5771000 100644
--- a/docs/how_to/optimize_operators/opt_conv_cuda.html
+++ b/docs/how_to/optimize_operators/opt_conv_cuda.html
@@ -590,7 +590,7 @@ latency of convolution.</p>
 <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;Convolution: </span><span class="si">%f</span><span class="s2"> ms&quot;</span> <span class="o">%</span> <span class="p">(</span><span class="n">evaluator</span><span class="p">(</span><span class="n">a</span><span class="p">,</span> <span class="n">w</span><span class="p">,</span> <span class="n">b</span><span class="p">)</span><span class="o">.</span><span class="n">mean</span> <span class="o">*</span> <span cl [...]
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Convolution: 53.540863 ms
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Convolution: 53.533569 ms
 </pre></div>
 </div>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-optimize-operators-opt-conv-cuda-py">
diff --git a/docs/how_to/optimize_operators/opt_conv_tensorcore.html b/docs/how_to/optimize_operators/opt_conv_tensorcore.html
index 88c24ce261..93d51f604e 100644
--- a/docs/how_to/optimize_operators/opt_conv_tensorcore.html
+++ b/docs/how_to/optimize_operators/opt_conv_tensorcore.html
@@ -872,7 +872,7 @@ be able to run on our build server</p>
     <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;conv2d with tensor core: </span><span class="si">%f</span><span class="s2"> ms&quot;</span> <span class="o">%</span> <span class="p">(</span><span class="n">evaluator</span><span class="p">(</span><span class="n">a</span><span class="p">,</span> <span class="n">w</span><span class="p">,</span> <span class="n">c</span><span class="p">)</span><span class="o">.</span><span class="n">mean</span> <span class="o">* [...]
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>conv2d with tensor core: 12.214067 ms
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>conv2d with tensor core: 11.981699 ms
 </pre></div>
 </div>
 </div>
diff --git a/docs/how_to/optimize_operators/opt_gemm.html b/docs/how_to/optimize_operators/opt_gemm.html
index 72833fe5a7..958773d210 100644
--- a/docs/how_to/optimize_operators/opt_gemm.html
+++ b/docs/how_to/optimize_operators/opt_gemm.html
@@ -487,8 +487,8 @@ Then we write a baseline implementation, the simplest way to write a matrix mult
 <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;Baseline: </span><span class="si">%f</span><span class="s2">&quot;</span> <span class="o">%</span> <span class="n">evaluator</span><span class="p">(</span><span class="n">a</span><span class="p">,</span> <span class="n">b</span><span class="p">,</span> <span class="n">c</span><span class="p">)</span><span class="o">.</span><span class="n">mean</span><span class="p">)</span>
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Numpy running time: 0.013151
-Baseline: 3.434385
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Numpy running time: 0.018873
+Baseline: 3.456170
 </pre></div>
 </div>
 <p>In TVM, we can always inspect lower level IR to debug or optimize our schedule.
@@ -547,7 +547,7 @@ fill 32 * 32 * sizeof(float) which is 4KB in the cache whose total size is 32KB
 <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;Opt1: </span><span class="si">%f</span><span class="s2">&quot;</span> <span class="o">%</span> <span class="n">evaluator</span><span class="p">(</span><span class="n">a</span><span class="p">,</span> <span class="n">b</span><span class="p">,</span> <span class="n">c</span><span class="p">)</span><span class="o">.</span><span class="n">mean</span><span class="p">)</span>
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt1: 0.272166
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt1: 0.303289
 </pre></div>
 </div>
 <p>Here is the generated IR after blocking.</p>
@@ -604,7 +604,7 @@ vastly.</p>
 <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;Opt2: </span><span class="si">%f</span><span class="s2">&quot;</span> <span class="o">%</span> <span class="n">evaluator</span><span class="p">(</span><span class="n">a</span><span class="p">,</span> <span class="n">b</span><span class="p">,</span> <span class="n">c</span><span class="p">)</span><span class="o">.</span><span class="n">mean</span><span class="p">)</span>
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt2: 0.260652
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt2: 0.278649
 </pre></div>
 </div>
 <p>Here is the generated IR after vectorization.</p>
@@ -659,7 +659,7 @@ the access pattern for A matrix is more cache friendly.</p>
 <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;Opt3: </span><span class="si">%f</span><span class="s2">&quot;</span> <span class="o">%</span> <span class="n">evaluator</span><span class="p">(</span><span class="n">a</span><span class="p">,</span> <span class="n">b</span><span class="p">,</span> <span class="n">c</span><span class="p">)</span><span class="o">.</span><span class="n">mean</span><span class="p">)</span>
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt3: 0.105133
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt3: 0.113383
 </pre></div>
 </div>
 <p>Here is the generated IR after loop permutation.</p>
@@ -736,7 +736,7 @@ flattening.</p>
 <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;Opt4: </span><span class="si">%f</span><span class="s2">&quot;</span> <span class="o">%</span> <span class="n">evaluator</span><span class="p">(</span><span class="n">a</span><span class="p">,</span> <span class="n">b</span><span class="p">,</span> <span class="n">c</span><span class="p">)</span><span class="o">.</span><span class="n">mean</span><span class="p">)</span>
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt4: 0.099163
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt4: 0.106298
 </pre></div>
 </div>
 <p>Here is the generated IR after array packing.</p>
@@ -814,7 +814,7 @@ write to C when all the block results are ready.</p>
 <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;Opt5: </span><span class="si">%f</span><span class="s2">&quot;</span> <span class="o">%</span> <span class="n">evaluator</span><span class="p">(</span><span class="n">a</span><span class="p">,</span> <span class="n">b</span><span class="p">,</span> <span class="n">c</span><span class="p">)</span><span class="o">.</span><span class="n">mean</span><span class="p">)</span>
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt5: 0.091008
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt5: 0.111809
 </pre></div>
 </div>
 <p>Here is the generated IR after blocking.</p>
@@ -894,7 +894,7 @@ class Module:
 <span class="nb">print</span><span class="p">(</span><span class="s2">&quot;Opt6: </span><span class="si">%f</span><span class="s2">&quot;</span> <span class="o">%</span> <span class="n">opt6_time</span><span class="p">)</span>
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt6: 0.108295
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Opt6: 0.133281
 </pre></div>
 </div>
 <p>Here is the generated IR after parallelization.</p>
diff --git a/docs/how_to/optimize_operators/sg_execution_times.html b/docs/how_to/optimize_operators/sg_execution_times.html
index ca0930234e..cd50dab3c5 100644
--- a/docs/how_to/optimize_operators/sg_execution_times.html
+++ b/docs/how_to/optimize_operators/sg_execution_times.html
@@ -355,7 +355,7 @@
             
   <div class="section" id="computation-times">
 <span id="sphx-glr-how-to-optimize-operators-sg-execution-times"></span><h1>Computation times<a class="headerlink" href="#computation-times" title="Permalink to this headline">¶</a></h1>
-<p><strong>00:31.192</strong> total execution time for <strong>how_to_optimize_operators</strong> files:</p>
+<p><strong>00:34.412</strong> total execution time for <strong>how_to_optimize_operators</strong> files:</p>
 <table class="docutils align-default">
 <colgroup>
 <col style="width: 83%" />
@@ -364,15 +364,15 @@
 </colgroup>
 <tbody>
 <tr class="row-odd"><td><p><a class="reference internal" href="opt_gemm.html#sphx-glr-how-to-optimize-operators-opt-gemm-py"><span class="std std-ref">How to optimize GEMM on CPU</span></a> (<code class="docutils literal notranslate"><span class="pre">opt_gemm.py</span></code>)</p></td>
-<td><p>00:28.077</p></td>
+<td><p>00:31.105</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="opt_conv_tensorcore.html#sphx-glr-how-to-optimize-operators-opt-conv-tensorcore-py"><span class="std std-ref">How to optimize convolution using TensorCores</span></a> (<code class="docutils literal notranslate"><span class="pre">opt_conv_tensorcore.py</span></code>)</p></td>
-<td><p>00:01.924</p></td>
+<td><p>00:02.065</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="opt_conv_cuda.html#sphx-glr-how-to-optimize-operators-opt-conv-cuda-py"><span class="std std-ref">How to optimize convolution on GPU</span></a> (<code class="docutils literal notranslate"><span class="pre">opt_conv_cuda.py</span></code>)</p></td>
-<td><p>00:01.190</p></td>
+<td><p>00:01.242</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 </tbody>
diff --git a/docs/how_to/tune_with_autoscheduler/sg_execution_times.html b/docs/how_to/tune_with_autoscheduler/sg_execution_times.html
index ec949014a2..8e5a0fa61b 100644
--- a/docs/how_to/tune_with_autoscheduler/sg_execution_times.html
+++ b/docs/how_to/tune_with_autoscheduler/sg_execution_times.html
@@ -355,7 +355,7 @@
             
   <div class="section" id="computation-times">
 <span id="sphx-glr-how-to-tune-with-autoscheduler-sg-execution-times"></span><h1>Computation times<a class="headerlink" href="#computation-times" title="Permalink to this headline">¶</a></h1>
-<p><strong>03:42.640</strong> total execution time for <strong>how_to_tune_with_autoscheduler</strong> files:</p>
+<p><strong>03:49.084</strong> total execution time for <strong>how_to_tune_with_autoscheduler</strong> files:</p>
 <table class="docutils align-default">
 <colgroup>
 <col style="width: 85%" />
@@ -364,27 +364,27 @@
 </colgroup>
 <tbody>
 <tr class="row-odd"><td><p><a class="reference internal" href="tune_network_x86.html#sphx-glr-how-to-tune-with-autoscheduler-tune-network-x86-py"><span class="std std-ref">Auto-scheduling a Neural Network for x86 CPU</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_network_x86.py</span></code>)</p></td>
-<td><p>01:39.186</p></td>
+<td><p>01:42.394</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="tune_network_cuda.html#sphx-glr-how-to-tune-with-autoscheduler-tune-network-cuda-py"><span class="std std-ref">Auto-scheduling a Neural Network for NVIDIA GPU</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_network_cuda.py</span></code>)</p></td>
-<td><p>01:12.471</p></td>
+<td><p>01:14.710</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
-<tr class="row-odd"><td><p><a class="reference internal" href="tune_network_mali.html#sphx-glr-how-to-tune-with-autoscheduler-tune-network-mali-py"><span class="std std-ref">Auto-scheduling a Neural Network for mali GPU</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_network_mali.py</span></code>)</p></td>
-<td><p>00:17.019</p></td>
+<tr class="row-odd"><td><p><a class="reference internal" href="tune_conv2d_layer_cuda.html#sphx-glr-how-to-tune-with-autoscheduler-tune-conv2d-layer-cuda-py"><span class="std std-ref">Auto-scheduling a Convolution Layer for GPU</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_conv2d_layer_cuda.py</span></code>)</p></td>
+<td><p>00:17.920</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
-<tr class="row-even"><td><p><a class="reference internal" href="tune_conv2d_layer_cuda.html#sphx-glr-how-to-tune-with-autoscheduler-tune-conv2d-layer-cuda-py"><span class="std std-ref">Auto-scheduling a Convolution Layer for GPU</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_conv2d_layer_cuda.py</span></code>)</p></td>
-<td><p>00:16.943</p></td>
+<tr class="row-even"><td><p><a class="reference internal" href="tune_network_mali.html#sphx-glr-how-to-tune-with-autoscheduler-tune-network-mali-py"><span class="std std-ref">Auto-scheduling a Neural Network for mali GPU</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_network_mali.py</span></code>)</p></td>
+<td><p>00:17.098</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="tune_network_arm.html#sphx-glr-how-to-tune-with-autoscheduler-tune-network-arm-py"><span class="std std-ref">Auto-scheduling a Neural Network for ARM CPU</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_network_arm.py</span></code>)</p></td>
-<td><p>00:16.924</p></td>
+<td><p>00:16.856</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="tune_sparse_x86.html#sphx-glr-how-to-tune-with-autoscheduler-tune-sparse-x86-py"><span class="std std-ref">Auto-scheduling Sparse Matrix Multiplication on CPU with Custom Sketch Rule</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_sparse_x86.py</span></code>)</p></td>
-<td><p>00:00.096</p></td>
+<td><p>00:00.105</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 </tbody>
diff --git a/docs/how_to/tune_with_autoscheduler/tune_conv2d_layer_cuda.html b/docs/how_to/tune_with_autoscheduler/tune_conv2d_layer_cuda.html
index 6d055c5b8f..abf702b150 100644
--- a/docs/how_to/tune_with_autoscheduler/tune_conv2d_layer_cuda.html
+++ b/docs/how_to/tune_with_autoscheduler/tune_conv2d_layer_cuda.html
@@ -1027,7 +1027,7 @@ class Module:
 <span class="p">)</span>
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Execution time of this operator: 0.351 ms
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Execution time of this operator: 0.345 ms
 </pre></div>
 </div>
 </div>
diff --git a/docs/how_to/tune_with_autoscheduler/tune_network_cuda.html b/docs/how_to/tune_with_autoscheduler/tune_network_cuda.html
index 59976b15f7..7bb638dd10 100644
--- a/docs/how_to/tune_with_autoscheduler/tune_network_cuda.html
+++ b/docs/how_to/tune_with_autoscheduler/tune_network_cuda.html
@@ -931,7 +931,7 @@ so we can read the log file and load the best schedules.</p>
 Evaluate inference time cost...
 Execution time summary:
  mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)
-   3.3167       3.3233       3.3250       3.3018       0.0105
+   3.2204       3.2154       3.2317       3.2143       0.0080
 </pre></div>
 </div>
 </div>
@@ -953,7 +953,7 @@ to learn how to use the RPC Tracker and RPC Server.
 To use the RPC Tracker in auto-scheduler, replace the runner in <code class="code docutils literal notranslate"><span class="pre">TuningOptions</span></code>
 with <a class="reference internal" href="../../reference/api/python/auto_scheduler.html#tvm.auto_scheduler.RPCRunner" title="tvm.auto_scheduler.RPCRunner"><code class="xref any py py-class docutils literal notranslate"><span class="pre">auto_scheduler.RPCRunner</span></code></a>.</p></li>
 </ol>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  12.471 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  14.710 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-tune-with-autoscheduler-tune-network-cuda-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/eafe360d52540634c9eea0fa89e804bd/tune_network_cuda.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">tune_network_cuda.py</span></code></a></p>
diff --git a/docs/how_to/tune_with_autoscheduler/tune_network_x86.html b/docs/how_to/tune_with_autoscheduler/tune_network_x86.html
index b94e7ba1a8..2d22febbe4 100644
--- a/docs/how_to/tune_with_autoscheduler/tune_network_x86.html
+++ b/docs/how_to/tune_with_autoscheduler/tune_network_x86.html
@@ -950,7 +950,7 @@ so we can read the log file and load the best schedules.</p>
 Evaluate inference time cost...
 Execution time summary:
  mean (ms)   median (ms)    max (ms)     min (ms)     std (ms)
-  690.9678     690.9154     693.5477     688.4403      2.0854
+  769.6465     769.5562     772.0256     767.3577      1.9067
 </pre></div>
 </div>
 </div>
@@ -972,7 +972,7 @@ to learn how to use the RPC Tracker and RPC Server.
 To use the RPC Tracker in auto-scheduler, replace the runner in <code class="code docutils literal notranslate"><span class="pre">TuningOptions</span></code>
 with <a class="reference internal" href="../../reference/api/python/auto_scheduler.html#tvm.auto_scheduler.RPCRunner" title="tvm.auto_scheduler.RPCRunner"><code class="xref any py py-class docutils literal notranslate"><span class="pre">auto_scheduler.RPCRunner</span></code></a>.</p></li>
 </ol>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  39.186 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  42.394 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-tune-with-autoscheduler-tune-network-x86-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/e416b94ca1090b0897c0f6e0df95b911/tune_network_x86.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">tune_network_x86.py</span></code></a></p>
diff --git a/docs/how_to/tune_with_autotvm/sg_execution_times.html b/docs/how_to/tune_with_autotvm/sg_execution_times.html
index 4e5149a914..7da8b25e1d 100644
--- a/docs/how_to/tune_with_autotvm/sg_execution_times.html
+++ b/docs/how_to/tune_with_autotvm/sg_execution_times.html
@@ -355,7 +355,7 @@
             
   <div class="section" id="computation-times">
 <span id="sphx-glr-how-to-tune-with-autotvm-sg-execution-times"></span><h1>Computation times<a class="headerlink" href="#computation-times" title="Permalink to this headline">¶</a></h1>
-<p><strong>00:22.638</strong> total execution time for <strong>how_to_tune_with_autotvm</strong> files:</p>
+<p><strong>00:23.974</strong> total execution time for <strong>how_to_tune_with_autotvm</strong> files:</p>
 <table class="docutils align-default">
 <colgroup>
 <col style="width: 84%" />
@@ -364,15 +364,15 @@
 </colgroup>
 <tbody>
 <tr class="row-odd"><td><p><a class="reference internal" href="tune_conv2d_cuda.html#sphx-glr-how-to-tune-with-autotvm-tune-conv2d-cuda-py"><span class="std std-ref">Tuning High Performance Convolution on NVIDIA GPUs</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_conv2d_cuda.py</span></code>)</p></td>
-<td><p>00:22.603</p></td>
+<td><p>00:23.935</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="tune_relay_x86.html#sphx-glr-how-to-tune-with-autotvm-tune-relay-x86-py"><span class="std std-ref">Auto-tuning a Convolutional Network for x86 CPU</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_relay_x86.py</span></code>)</p></td>
-<td><p>00:00.019</p></td>
+<td><p>00:00.023</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="tune_relay_cuda.html#sphx-glr-how-to-tune-with-autotvm-tune-relay-cuda-py"><span class="std std-ref">Auto-tuning a Convolutional Network for NVIDIA GPU</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_relay_cuda.py</span></code>)</p></td>
-<td><p>00:00.005</p></td>
+<td><p>00:00.006</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="tune_relay_mobile_gpu.html#sphx-glr-how-to-tune-with-autotvm-tune-relay-mobile-gpu-py"><span class="std std-ref">Auto-tuning a Convolutional Network for Mobile GPU</span></a> (<code class="docutils literal notranslate"><span class="pre">tune_relay_mobile_gpu.py</span></code>)</p></td>
diff --git a/docs/how_to/tune_with_autotvm/tune_conv2d_cuda.html b/docs/how_to/tune_with_autotvm/tune_conv2d_cuda.html
index 981ecc6605..b64f74193f 100644
--- a/docs/how_to/tune_with_autotvm/tune_conv2d_cuda.html
+++ b/docs/how_to/tune_with_autotvm/tune_conv2d_cuda.html
@@ -625,7 +625,7 @@ and measure running time.</p>
 
 Best config:
 ,None
-Time cost of this operator: 0.037202
+Time cost of this operator: 0.037195
 </pre></div>
 </div>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-tune-with-autotvm-tune-conv2d-cuda-py">
diff --git a/docs/how_to/work_with_microtvm/micro_autotune.html b/docs/how_to/work_with_microtvm/micro_autotune.html
index 6a674c105c..fcaf58a9c9 100644
--- a/docs/how_to/work_with_microtvm/micro_autotune.html
+++ b/docs/how_to/work_with_microtvm/micro_autotune.html
@@ -659,10 +659,10 @@ the tuned operator.</p>
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>########## Build without Autotuning ##########
 Node Name                                     Ops                                           Time(us)  Time(%)  Shape              Inputs  Outputs  Measurements(us)
 ---------                                     ---                                           --------  -------  -----              ------  -------  ----------------
-tvmgen_default_fused_nn_contrib_conv2d_NCHWc  tvmgen_default_fused_nn_contrib_conv2d_NCHWc  286.8     98.742   (1, 2, 10, 10, 3)  2       1        [286.8]
-tvmgen_default_fused_layout_transform_1       tvmgen_default_fused_layout_transform_1       2.759     0.95     (1, 6, 10, 10)     1       1        [2.759]
-tvmgen_default_fused_layout_transform         tvmgen_default_fused_layout_transform         0.895     0.308    (1, 1, 10, 10, 3)  1       1        [0.895]
-Total_time                                    -                                             290.454   -        -                  -       -        -
+tvmgen_default_fused_nn_contrib_conv2d_NCHWc  tvmgen_default_fused_nn_contrib_conv2d_NCHWc  306.8     98.749   (1, 2, 10, 10, 3)  2       1        [306.8]
+tvmgen_default_fused_layout_transform_1       tvmgen_default_fused_layout_transform_1       2.897     0.933    (1, 6, 10, 10)     1       1        [2.897]
+tvmgen_default_fused_layout_transform         tvmgen_default_fused_layout_transform         0.991     0.319    (1, 1, 10, 10, 3)  1       1        [0.991]
+Total_time                                    -                                             310.688   -        -                  -       -        -
 </pre></div>
 </div>
 </div>
@@ -714,13 +714,13 @@ Total_time                                    -
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>########## Build with Autotuning ##########
 Node Name                                     Ops                                           Time(us)  Time(%)  Shape              Inputs  Outputs  Measurements(us)
 ---------                                     ---                                           --------  -------  -----              ------  -------  ----------------
-tvmgen_default_fused_nn_contrib_conv2d_NCHWc  tvmgen_default_fused_nn_contrib_conv2d_NCHWc  178.6     98.59    (1, 6, 10, 10, 1)  2       1        [178.6]
-tvmgen_default_fused_layout_transform_1       tvmgen_default_fused_layout_transform_1       1.727     0.953    (1, 6, 10, 10)     1       1        [1.727]
-tvmgen_default_fused_layout_transform         tvmgen_default_fused_layout_transform         0.827     0.456    (1, 3, 10, 10, 1)  1       1        [0.827]
-Total_time                                    -                                             181.153   -        -                  -       -        -
+tvmgen_default_fused_nn_contrib_conv2d_NCHWc  tvmgen_default_fused_nn_contrib_conv2d_NCHWc  102.3     97.488   (1, 6, 10, 10, 1)  2       1        [102.3]
+tvmgen_default_fused_layout_transform_1       tvmgen_default_fused_layout_transform_1       1.789     1.704    (1, 6, 10, 10)     1       1        [1.789]
+tvmgen_default_fused_layout_transform         tvmgen_default_fused_layout_transform         0.847     0.807    (1, 3, 10, 10, 1)  1       1        [0.847]
+Total_time                                    -                                             104.936   -        -                  -       -        -
 </pre></div>
 </div>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  20.890 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  30.806 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-work-with-microtvm-micro-autotune-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/9ccca8fd489a1486ac71b55a55c320c5/micro_autotune.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">micro_autotune.py</span></code></a></p>
diff --git a/docs/how_to/work_with_microtvm/micro_pytorch.html b/docs/how_to/work_with_microtvm/micro_pytorch.html
index be17ad52b4..f0a5453416 100644
--- a/docs/how_to/work_with_microtvm/micro_pytorch.html
+++ b/docs/how_to/work_with_microtvm/micro_pytorch.html
@@ -470,8 +470,8 @@ download a cat image and preprocess it to use as the model input.</p>
 Downloading: &quot;https://download.pytorch.org/models/quantized/mobilenet_v2_qnnpack_37f702c5.pth&quot; to /workspace/.cache/torch/hub/checkpoints/mobilenet_v2_qnnpack_37f702c5.pth
 
   0%|          | 0.00/3.42M [00:00&lt;?, ?B/s]
- 61%|######    | 2.09M/3.42M [00:00&lt;00:00, 11.3MB/s]
-100%|##########| 3.42M/3.42M [00:00&lt;00:00, 18.0MB/s]
+ 61%|######    | 2.09M/3.42M [00:00&lt;00:00, 18.9MB/s]
+100%|##########| 3.42M/3.42M [00:00&lt;00:00, 29.4MB/s]
 /venv/apache-tvm-py3.8/lib/python3.8/site-packages/torch/_utils.py:314: UserWarning: TypedStorage is deprecated. It will be removed in the future and UntypedStorage will be the only storage class. This should only matter to you if you are using storages directly.  To access UntypedStorage directly, use tensor.untyped_storage() instead of tensor.storage()
   device=storage.device,
 /workspace/python/tvm/relay/frontend/pytorch_utils.py:47: DeprecationWarning: distutils Version classes are deprecated. Use packaging.version instead.
@@ -599,7 +599,7 @@ via the host <cite>main.cc`</cite> or if a Zephyr emulated board is selected as
 Torch top-1 id: 282, class name: tiger cat
 </pre></div>
 </div>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  27.363 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 1 minutes  30.035 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-work-with-microtvm-micro-pytorch-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/12b9ecc04c41abaa12022061771821d1/micro_pytorch.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">micro_pytorch.py</span></code></a></p>
diff --git a/docs/how_to/work_with_microtvm/micro_train.html b/docs/how_to/work_with_microtvm/micro_train.html
index 1c1742f587..c44f18af24 100644
--- a/docs/how_to/work_with_microtvm/micro_train.html
+++ b/docs/how_to/work_with_microtvm/micro_train.html
@@ -538,7 +538,7 @@ take about <strong>2 minutes</strong> to download the Stanford Cars, while COCO
 <a href="https://docs.python.org/3/library/shutil.html#shutil.move" title="shutil.move" class="sphx-glr-backref-module-shutil sphx-glr-backref-type-py-function"><span class="n">shutil</span><span class="o">.</span><span class="n">move</span></a><span class="p">(</span><span class="sa">f</span><span class="s2">&quot;</span><span class="si">{</span><a href="https://docs.python.org/3/library/stdtypes.html#str" title="builtins.str" class="sphx-glr-backref-module-builtins sphx-glr-backref-typ [...]
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>&#39;/tmp/tmp_0qunqxw/images/random&#39;
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>&#39;/tmp/tmplxzd5gho/images/random&#39;
 </pre></div>
 </div>
 </div>
@@ -598,8 +598,8 @@ objects to other stuff? We can display some examples from our datasets using <co
     <span class="n">plt</span><span class="o">.</span><span class="n">axis</span><span class="p">(</span><span class="s2">&quot;off&quot;</span><span class="p">)</span>
 </pre></div>
 </div>
-<img src="../../_images/sphx_glr_micro_train_001.png" srcset="../../_images/sphx_glr_micro_train_001.png" alt="[1.0, 0.0], [1.0, 0.0], [1.0, 0.0], [0.0, 1.0], [0.0, 1.0], [0.0, 1.0], [0.0, 1.0], [0.0, 1.0], [1.0, 0.0], [0.0, 1.0]" class = "sphx-glr-single-img"/><div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>/tmp/tmp_0qunqxw/images/target contains 8144 images
-/tmp/tmp_0qunqxw/images/random contains 5000 images
+<img src="../../_images/sphx_glr_micro_train_001.png" srcset="../../_images/sphx_glr_micro_train_001.png" alt="[1.0, 0.0], [1.0, 0.0], [1.0, 0.0], [0.0, 1.0], [0.0, 1.0], [0.0, 1.0], [0.0, 1.0], [0.0, 1.0], [1.0, 0.0], [0.0, 1.0]" class = "sphx-glr-single-img"/><div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>/tmp/tmplxzd5gho/images/target contains 8144 images
+/tmp/tmplxzd5gho/images/random contains 5000 images
 </pre></div>
 </div>
 </div>
@@ -711,13 +711,13 @@ the time on our validation set).</p>
 </pre></div>
 </div>
 <div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>Epoch 1/3
-328/328 - 39s - loss: 0.2417 - accuracy: 0.9198 - val_loss: 0.1307 - val_accuracy: 0.9551 - 39s/epoch - 118ms/step
+328/328 - 41s - loss: 0.2237 - accuracy: 0.9251 - val_loss: 0.1102 - val_accuracy: 0.9645 - 41s/epoch - 125ms/step
 Epoch 2/3
-328/328 - 33s - loss: 0.1071 - accuracy: 0.9621 - val_loss: 0.1189 - val_accuracy: 0.9566 - 33s/epoch - 102ms/step
+328/328 - 36s - loss: 0.0990 - accuracy: 0.9637 - val_loss: 0.1431 - val_accuracy: 0.9460 - 36s/epoch - 109ms/step
 Epoch 3/3
-328/328 - 33s - loss: 0.0668 - accuracy: 0.9736 - val_loss: 0.1067 - val_accuracy: 0.9668 - 33s/epoch - 101ms/step
+328/328 - 36s - loss: 0.0616 - accuracy: 0.9759 - val_loss: 0.0979 - val_accuracy: 0.9709 - 36s/epoch - 109ms/step
 
-&lt;keras.callbacks.History object at 0x7f8657660b50&gt;
+&lt;keras.callbacks.History object at 0x7f5c6de48310&gt;
 </pre></div>
 </div>
 </div>
@@ -981,7 +981,7 @@ as intended.</p>
 <p>From here, we could modify the model to read live images from the camera - we have another
 Arduino tutorial for how to do that <a class="reference external" href="https://github.com/guberti/tvm-arduino-demos/tree/master/examples/person_detection">on GitHub</a>. Alternatively, we could also
 <a class="reference external" href="https://tvm.apache.org/docs/how_to/work_with_microtvm/micro_autotune.html">use TVM’s autotuning capabilities</a> to dramatically improve the model’s performance.</p>
-<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 5 minutes  25.738 seconds)</p>
+<p class="sphx-glr-timing"><strong>Total running time of the script:</strong> ( 4 minutes  56.104 seconds)</p>
 <div class="sphx-glr-footer sphx-glr-footer-example docutils container" id="sphx-glr-download-how-to-work-with-microtvm-micro-train-py">
 <div class="sphx-glr-download sphx-glr-download-python docutils container">
 <p><a class="reference download internal" download="" href="../../_downloads/b52cec46baf4f78d6bcd94cbe269c8a6/micro_train.py"><code class="xref download docutils literal notranslate"><span class="pre">Download</span> <span class="pre">Python</span> <span class="pre">source</span> <span class="pre">code:</span> <span class="pre">micro_train.py</span></code></a></p>
diff --git a/docs/how_to/work_with_microtvm/sg_execution_times.html b/docs/how_to/work_with_microtvm/sg_execution_times.html
index 891dbad394..5cb899142f 100644
--- a/docs/how_to/work_with_microtvm/sg_execution_times.html
+++ b/docs/how_to/work_with_microtvm/sg_execution_times.html
@@ -355,7 +355,7 @@
             
   <div class="section" id="computation-times">
 <span id="sphx-glr-how-to-work-with-microtvm-sg-execution-times"></span><h1>Computation times<a class="headerlink" href="#computation-times" title="Permalink to this headline">¶</a></h1>
-<p><strong>08:43.153</strong> total execution time for <strong>how_to_work_with_microtvm</strong> files:</p>
+<p><strong>08:27.635</strong> total execution time for <strong>how_to_work_with_microtvm</strong> files:</p>
 <table class="docutils align-default">
 <colgroup>
 <col style="width: 82%" />
@@ -364,27 +364,27 @@
 </colgroup>
 <tbody>
 <tr class="row-odd"><td><p><a class="reference internal" href="micro_train.html#sphx-glr-how-to-work-with-microtvm-micro-train-py"><span class="std std-ref">5. Training Vision Models for microTVM on Arduino</span></a> (<code class="docutils literal notranslate"><span class="pre">micro_train.py</span></code>)</p></td>
-<td><p>05:25.738</p></td>
+<td><p>04:56.104</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
-<tr class="row-even"><td><p><a class="reference internal" href="micro_pytorch.html#sphx-glr-how-to-work-with-microtvm-micro-pytorch-py"><span class="std std-ref">4. microTVM PyTorch Tutorial</span></a> (<code class="docutils literal notranslate"><span class="pre">micro_pytorch.py</span></code>)</p></td>
-<td><p>01:27.363</p></td>
+<tr class="row-even"><td><p><a class="reference internal" href="micro_autotune.html#sphx-glr-how-to-work-with-microtvm-micro-autotune-py"><span class="std std-ref">6. Model Tuning with microTVM</span></a> (<code class="docutils literal notranslate"><span class="pre">micro_autotune.py</span></code>)</p></td>
+<td><p>01:30.806</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
-<tr class="row-odd"><td><p><a class="reference internal" href="micro_autotune.html#sphx-glr-how-to-work-with-microtvm-micro-autotune-py"><span class="std std-ref">6. Model Tuning with microTVM</span></a> (<code class="docutils literal notranslate"><span class="pre">micro_autotune.py</span></code>)</p></td>
-<td><p>01:20.890</p></td>
+<tr class="row-odd"><td><p><a class="reference internal" href="micro_pytorch.html#sphx-glr-how-to-work-with-microtvm-micro-pytorch-py"><span class="std std-ref">4. microTVM PyTorch Tutorial</span></a> (<code class="docutils literal notranslate"><span class="pre">micro_pytorch.py</span></code>)</p></td>
+<td><p>01:30.035</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="micro_aot.html#sphx-glr-how-to-work-with-microtvm-micro-aot-py"><span class="std std-ref">3. microTVM Ahead-of-Time (AOT) Compilation</span></a> (<code class="docutils literal notranslate"><span class="pre">micro_aot.py</span></code>)</p></td>
-<td><p>00:11.566</p></td>
+<td><p>00:12.602</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
-<tr class="row-odd"><td><p><a class="reference internal" href="micro_custom_ide.html#sphx-glr-how-to-work-with-microtvm-micro-custom-ide-py"><span class="std std-ref">9. Bring microTVM to your own development environment</span></a> (<code class="docutils literal notranslate"><span class="pre">micro_custom_ide.py</span></code>)</p></td>
-<td><p>00:09.403</p></td>
+<tr class="row-odd"><td><p><a class="reference internal" href="micro_tflite.html#sphx-glr-how-to-work-with-microtvm-micro-tflite-py"><span class="std std-ref">2. microTVM TFLite Tutorial</span></a> (<code class="docutils literal notranslate"><span class="pre">micro_tflite.py</span></code>)</p></td>
+<td><p>00:09.203</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
-<tr class="row-even"><td><p><a class="reference internal" href="micro_tflite.html#sphx-glr-how-to-work-with-microtvm-micro-tflite-py"><span class="std std-ref">2. microTVM TFLite Tutorial</span></a> (<code class="docutils literal notranslate"><span class="pre">micro_tflite.py</span></code>)</p></td>
-<td><p>00:08.194</p></td>
+<tr class="row-even"><td><p><a class="reference internal" href="micro_custom_ide.html#sphx-glr-how-to-work-with-microtvm-micro-custom-ide-py"><span class="std std-ref">9. Bring microTVM to your own development environment</span></a> (<code class="docutils literal notranslate"><span class="pre">micro_custom_ide.py</span></code>)</p></td>
+<td><p>00:08.884</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="micro_ethosu.html#sphx-glr-how-to-work-with-microtvm-micro-ethosu-py"><span class="std std-ref">7. Running TVM on bare metal Arm(R) Cortex(R)-M55 CPU and Ethos(TM)-U55 NPU with CMSIS-NN</span></a> (<code class="docutils literal notranslate"><span class="pre">micro_ethosu.py</span></code>)</p></td>
diff --git a/docs/how_to/work_with_relay/sg_execution_times.html b/docs/how_to/work_with_relay/sg_execution_times.html
index dc8394a4dd..20395d94d4 100644
--- a/docs/how_to/work_with_relay/sg_execution_times.html
+++ b/docs/how_to/work_with_relay/sg_execution_times.html
@@ -355,7 +355,7 @@
             
   <div class="section" id="computation-times">
 <span id="sphx-glr-how-to-work-with-relay-sg-execution-times"></span><h1>Computation times<a class="headerlink" href="#computation-times" title="Permalink to this headline">¶</a></h1>
-<p><strong>00:38.447</strong> total execution time for <strong>how_to_work_with_relay</strong> files:</p>
+<p><strong>00:40.969</strong> total execution time for <strong>how_to_work_with_relay</strong> files:</p>
 <table class="docutils align-default">
 <colgroup>
 <col style="width: 84%" />
@@ -364,15 +364,15 @@
 </colgroup>
 <tbody>
 <tr class="row-odd"><td><p><a class="reference internal" href="using_pipeline_executor.html#sphx-glr-how-to-work-with-relay-using-pipeline-executor-py"><span class="std std-ref">Using Pipeline Executor in Relay</span></a> (<code class="docutils literal notranslate"><span class="pre">using_pipeline_executor.py</span></code>)</p></td>
-<td><p>00:33.321</p></td>
+<td><p>00:35.584</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="using_external_lib.html#sphx-glr-how-to-work-with-relay-using-external-lib-py"><span class="std std-ref">Using External Libraries in Relay</span></a> (<code class="docutils literal notranslate"><span class="pre">using_external_lib.py</span></code>)</p></td>
-<td><p>00:03.276</p></td>
+<td><p>00:03.408</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="build_gcn.html#sphx-glr-how-to-work-with-relay-build-gcn-py"><span class="std std-ref">Building a Graph Convolutional Network</span></a> (<code class="docutils literal notranslate"><span class="pre">build_gcn.py</span></code>)</p></td>
-<td><p>00:01.844</p></td>
+<td><p>00:01.971</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="using_relay_viz.html#sphx-glr-how-to-work-with-relay-using-relay-viz-py"><span class="std std-ref">Use Relay Visualizer to Visualize Relay</span></a> (<code class="docutils literal notranslate"><span class="pre">using_relay_viz.py</span></code>)</p></td>
diff --git a/docs/how_to/work_with_schedules/intrin_math.html b/docs/how_to/work_with_schedules/intrin_math.html
index 76d7b9f0bd..b703ea5207 100644
--- a/docs/how_to/work_with_schedules/intrin_math.html
+++ b/docs/how_to/work_with_schedules/intrin_math.html
@@ -567,7 +567,7 @@ The following example customizes CUDA lowering rule for <code class="code docuti
 <a href="../../reference/api/python/ir.html#tvm.ir.register_intrin_lowering" title="tvm.ir.register_intrin_lowering" class="sphx-glr-backref-module-tvm-ir sphx-glr-backref-type-py-function"><span class="n">register_intrin_lowering</span></a><span class="p">(</span><span class="s2">&quot;tir.exp&quot;</span><span class="p">,</span> <span class="n">target</span><span class="o">=</span><span class="s2">&quot;cuda&quot;</span><span class="p">,</span> <span class="n">f</span><span class="o">= [...]
 </pre></div>
 </div>
-<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>&lt;function my_cuda_math_rule at 0x7f86ace6dee0&gt;
+<div class="sphx-glr-script-out highlight-none notranslate"><div class="highlight"><pre><span></span>&lt;function my_cuda_math_rule at 0x7f5fa5805d30&gt;
 </pre></div>
 </div>
 <p>Register the rule to TVM with override option to override existing rule.
diff --git a/docs/how_to/work_with_schedules/sg_execution_times.html b/docs/how_to/work_with_schedules/sg_execution_times.html
index 5ffe12e087..82f2838911 100644
--- a/docs/how_to/work_with_schedules/sg_execution_times.html
+++ b/docs/how_to/work_with_schedules/sg_execution_times.html
@@ -355,7 +355,7 @@
             
   <div class="section" id="computation-times">
 <span id="sphx-glr-how-to-work-with-schedules-sg-execution-times"></span><h1>Computation times<a class="headerlink" href="#computation-times" title="Permalink to this headline">¶</a></h1>
-<p><strong>00:06.424</strong> total execution time for <strong>how_to_work_with_schedules</strong> files:</p>
+<p><strong>00:09.370</strong> total execution time for <strong>how_to_work_with_schedules</strong> files:</p>
 <table class="docutils align-default">
 <colgroup>
 <col style="width: 83%" />
@@ -364,23 +364,23 @@
 </colgroup>
 <tbody>
 <tr class="row-odd"><td><p><a class="reference internal" href="intrin_math.html#sphx-glr-how-to-work-with-schedules-intrin-math-py"><span class="std std-ref">Intrinsics and Math Functions</span></a> (<code class="docutils literal notranslate"><span class="pre">intrin_math.py</span></code>)</p></td>
-<td><p>00:03.300</p></td>
+<td><p>00:05.908</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="tensorize.html#sphx-glr-how-to-work-with-schedules-tensorize-py"><span class="std std-ref">Use Tensorize to Leverage Hardware Intrinsics</span></a> (<code class="docutils literal notranslate"><span class="pre">tensorize.py</span></code>)</p></td>
-<td><p>00:01.365</p></td>
+<td><p>00:01.593</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="reduction.html#sphx-glr-how-to-work-with-schedules-reduction-py"><span class="std std-ref">Reduction</span></a> (<code class="docutils literal notranslate"><span class="pre">reduction.py</span></code>)</p></td>
-<td><p>00:00.734</p></td>
+<td><p>00:00.792</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="scan.html#sphx-glr-how-to-work-with-schedules-scan-py"><span class="std std-ref">Scan and Recurrent Kernel</span></a> (<code class="docutils literal notranslate"><span class="pre">scan.py</span></code>)</p></td>
-<td><p>00:00.728</p></td>
+<td><p>00:00.764</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="extern_op.html#sphx-glr-how-to-work-with-schedules-extern-op-py"><span class="std std-ref">External Tensor Functions</span></a> (<code class="docutils literal notranslate"><span class="pre">extern_op.py</span></code>)</p></td>
-<td><p>00:00.112</p></td>
+<td><p>00:00.122</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="tedd.html#sphx-glr-how-to-work-with-schedules-tedd-py"><span class="std std-ref">Use Tensor Expression Debug Display (TEDD) for Visualization</span></a> (<code class="docutils literal notranslate"><span class="pre">tedd.py</span></code>)</p></td>
@@ -388,7 +388,7 @@
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-odd"><td><p><a class="reference internal" href="schedule_primitives.html#sphx-glr-how-to-work-with-schedules-schedule-primitives-py"><span class="std std-ref">Schedule Primitives in TVM</span></a> (<code class="docutils literal notranslate"><span class="pre">schedule_primitives.py</span></code>)</p></td>
-<td><p>00:00.065</p></td>
+<td><p>00:00.071</p></td>
 <td><p>0.0 MB</p></td>
 </tr>
 <tr class="row-even"><td><p><a class="reference internal" href="tuple_inputs.html#sphx-glr-how-to-work-with-schedules-tuple-inputs-py"><span class="std std-ref">Compute and Reduce with Tuple Inputs</span></a> (<code class="docutils literal notranslate"><span class="pre">tuple_inputs.py</span></code>)</p></td>
diff --git a/docs/install/nnpack.html b/docs/install/nnpack.html
index e6565cbaae..f593cbe13b 100644
--- a/docs/install/nnpack.html
+++ b/docs/install/nnpack.html
@@ -244,7 +244,17 @@
               <p class="caption" role="heading"><span class="caption-text">Getting Started</span></p>
 <ul class="current">
 <li class="toctree-l1 current"><a class="reference internal" href="index.html">Installing TVM</a><ul class="current">
-<li class="toctree-l2"><a class="reference internal" href="from_source.html">Install from Source</a></li>
+<li class="toctree-l2 current"><a class="reference internal" href="from_source.html">Install from Source</a><ul class="current">
+<li class="toctree-l3"><a class="reference internal" href="from_source.html#developers-get-source-from-github">Developers: Get Source from Github</a></li>
+<li class="toctree-l3"><a class="reference internal" href="from_source.html#build-the-shared-library">Build the Shared Library</a></li>
+<li class="toctree-l3"><a class="reference internal" href="from_source.html#python-package-installation">Python Package Installation</a></li>
+<li class="toctree-l3 current"><a class="reference internal" href="from_source.html#install-contrib-libraries">Install Contrib Libraries</a><ul class="current">
+<li class="toctree-l4 current"><a class="current reference internal" href="#">NNPACK Contrib Installation</a></li>
+</ul>
+</li>
+<li class="toctree-l3"><a class="reference internal" href="from_source.html#enable-c-tests">Enable C++ Tests</a></li>
+</ul>
+</li>
 <li class="toctree-l2"><a class="reference internal" href="docker.html">Docker Images</a></li>
 <li class="toctree-l2 current"><a class="current reference internal" href="#">NNPACK Contrib Installation</a><ul>
 <li class="toctree-l3"><a class="reference internal" href="#conditions">Conditions</a></li>
diff --git a/docs/objects.inv b/docs/objects.inv
index 6f0bbfdadc..15988d5431 100644
Binary files a/docs/objects.inv and b/docs/objects.inv differ
diff --git a/docs/reference/api/doxygen/builtin_8h.html b/docs/reference/api/doxygen/builtin_8h.html
index 7be682b90e..c1640cddd7 100644
--- a/docs/reference/api/doxygen/builtin_8h.html
+++ b/docs/reference/api/doxygen/builtin_8h.html
@@ -303,8 +303,11 @@ Functions</h2></td></tr>
 <tr class="memdesc:ae79143f4df15683d7ee25c0b958e2189"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsic for ptx load matrix from shared memory.  <a href="namespacetvm_1_1tir_1_1builtin.html#ae79143f4df15683d7ee25c0b958e2189">More...</a><br /></td></tr>
 <tr class="separator:ae79143f4df15683d7ee25c0b958e2189"><td class="memSeparator" colspan="2">&#160;</td></tr>
 <tr class="memitem:a7eaa1b0b7d7cb550f6a7eaf5efe8d493"><td class="memItemLeft" align="right" valign="top">const Op &amp;&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a7eaa1b0b7d7cb550f6a7eaf5efe8d493">tvm::tir::builtin::ptx_cp_async</a> ()</td></tr>
-<tr class="memdesc:a7eaa1b0b7d7cb550f6a7eaf5efe8d493"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsics for ptx async copy from global to shared memory  <a href="namespacetvm_1_1tir_1_1builtin.html#a7eaa1b0b7d7cb550f6a7eaf5efe8d493">More...</a><br /></td></tr>
+<tr class="memdesc:a7eaa1b0b7d7cb550f6a7eaf5efe8d493"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsics for ptx async copy from global to shared memory using cp.async  <a href="namespacetvm_1_1tir_1_1builtin.html#a7eaa1b0b7d7cb550f6a7eaf5efe8d493">More...</a><br /></td></tr>
 <tr class="separator:a7eaa1b0b7d7cb550f6a7eaf5efe8d493"><td class="memSeparator" colspan="2">&#160;</td></tr>
+<tr class="memitem:a5a2befd1e97765919a6bd98ae314993e"><td class="memItemLeft" align="right" valign="top">const Op &amp;&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a5a2befd1e97765919a6bd98ae314993e">tvm::tir::builtin::ptx_cp_async_bulk</a> ()</td></tr>
+<tr class="memdesc:a5a2befd1e97765919a6bd98ae314993e"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsics for ptx async copy from global to shared memory using cp.async.bulk  <a href="namespacetvm_1_1tir_1_1builtin.html#a5a2befd1e97765919a6bd98ae314993e">More...</a><br /></td></tr>
+<tr class="separator:a5a2befd1e97765919a6bd98ae314993e"><td class="memSeparator" colspan="2">&#160;</td></tr>
 <tr class="memitem:a21346695611f032cadc3fad6615fe63b"><td class="memItemLeft" align="right" valign="top">const Op &amp;&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a21346695611f032cadc3fad6615fe63b">tvm::tir::builtin::ptx_commit_group</a> ()</td></tr>
 <tr class="memdesc:a21346695611f032cadc3fad6615fe63b"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsics for ptx async copy commit and wait.  <a href="namespacetvm_1_1tir_1_1builtin.html#a21346695611f032cadc3fad6615fe63b">More...</a><br /></td></tr>
 <tr class="separator:a21346695611f032cadc3fad6615fe63b"><td class="memSeparator" colspan="2">&#160;</td></tr>
@@ -319,6 +322,9 @@ Functions</h2></td></tr>
 <tr class="memitem:ac21d88612f6c2c21562411b99e4d24d1"><td class="memItemLeft" align="right" valign="top">const Op &amp;&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespacetvm_1_1tir_1_1builtin.html#ac21d88612f6c2c21562411b99e4d24d1">tvm::tir::builtin::ptx_arrive_barrier</a> ()</td></tr>
 <tr class="memdesc:ac21d88612f6c2c21562411b99e4d24d1"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsics for ptx barrier arrival using mbarrier.arrive  <a href="namespacetvm_1_1tir_1_1builtin.html#ac21d88612f6c2c21562411b99e4d24d1">More...</a><br /></td></tr>
 <tr class="separator:ac21d88612f6c2c21562411b99e4d24d1"><td class="memSeparator" colspan="2">&#160;</td></tr>
+<tr class="memitem:aaf28239ff1cf7b756610e4d3b32bdfcd"><td class="memItemLeft" align="right" valign="top">const Op &amp;&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespacetvm_1_1tir_1_1builtin.html#aaf28239ff1cf7b756610e4d3b32bdfcd">tvm::tir::builtin::ptx_arrive_barrier_expect_tx</a> ()</td></tr>
+<tr class="memdesc:aaf28239ff1cf7b756610e4d3b32bdfcd"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsic for ptx barrier arrival with expect tx using mbarrier.arrive.expect_tx  <a href="namespacetvm_1_1tir_1_1builtin.html#aaf28239ff1cf7b756610e4d3b32bdfcd">More...</a><br /></td></tr>
+<tr class="separator:aaf28239ff1cf7b756610e4d3b32bdfcd"><td class="memSeparator" colspan="2">&#160;</td></tr>
 <tr class="memitem:a89bf47c3237df742ada0b599e3e4787a"><td class="memItemLeft" align="right" valign="top">const Op &amp;&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a">tvm::tir::builtin::ptx_wait_barrier</a> ()</td></tr>
 <tr class="memdesc:a89bf47c3237df742ada0b599e3e4787a"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsics for ptx barrier wait using mbarrier.try_wait  <a href="namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a">More...</a><br /></td></tr>
 <tr class="separator:a89bf47c3237df742ada0b599e3e4787a"><td class="memSeparator" colspan="2">&#160;</td></tr>
diff --git a/docs/reference/api/doxygen/builtin_8h_source.html b/docs/reference/api/doxygen/builtin_8h_source.html
index 95e9a6fd24..fbd37385bf 100644
--- a/docs/reference/api/doxygen/builtin_8h_source.html
+++ b/docs/reference/api/doxygen/builtin_8h_source.html
@@ -216,75 +216,79 @@ $(function() {
 <div class="line"><a name="l00637"></a><span class="lineno">  637</span>&#160; </div>
 <div class="line"><a name="l00645"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ae79143f4df15683d7ee25c0b958e2189">  645</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ae79143f4df15683d7ee25c0b958e2189">ptx_ldmatrix</a>();</div>
 <div class="line"><a name="l00646"></a><span class="lineno">  646</span>&#160; </div>
-<div class="line"><a name="l00654"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a7eaa1b0b7d7cb550f6a7eaf5efe8d493">  654</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a7eaa1b0b7d7cb550f6a7eaf5efe8d493">ptx_cp_async</a>();</div>
-<div class="line"><a name="l00655"></a><span class="lineno">  655</span>&#160; </div>
-<div class="line"><a name="l00663"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a21346695611f032cadc3fad6615fe63b">  663</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a21346695611f032cadc3fad6615fe63b">ptx_commit_group</a>();</div>
-<div class="line"><a name="l00664"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#aed0dcc804c2d1b939969c9e48aa448f9">  664</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#aed0dcc804c2d1b939969c9e48aa448f9">ptx_wait_group</a>();</div>
-<div class="line"><a name="l00665"></a><span class="lineno">  665</span>&#160; </div>
-<div class="line"><a name="l00672"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a2b8d9de5b924dc2dd2dd35a110f767b3">  672</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a2b8d9de5b924dc2dd2dd35a110f767b3">ptx_cp_async_barrier</a>();</div>
-<div class="line"><a name="l00673"></a><span class="lineno">  673</span>&#160; </div>
-<div class="line"><a name="l00680"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#acbd805fd2396ed0803800644f5ecdaa5">  680</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#acbd805fd2396ed0803800644f5ecdaa5">ptx_init_barrier_thread_count</a>();</div>
-<div class="line"><a name="l00681"></a><span class="lineno">  681</span>&#160; </div>
-<div class="line"><a name="l00688"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ac21d88612f6c2c21562411b99e4d24d1">  688</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ac21d88612f6c2c21562411b99e4d24d1">ptx_arrive_barrier</a>();</div>
-<div class="line"><a name="l00689"></a><span class="lineno">  689</span>&#160; </div>
-<div class="line"><a name="l00696"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a">  696</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a">ptx_wait_barrier</a>();</div>
-<div class="line"><a name="l00697"></a><span class="lineno">  697</span>&#160; </div>
-<div class="line"><a name="l00710"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a772fb68f083e71e635c50bb503903f22">  710</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a772fb68f083e71e635c50bb503903f22">mma_store</a>();</div>
-<div class="line"><a name="l00711"></a><span class="lineno">  711</span>&#160; </div>
-<div class="line"><a name="l00723"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a307667c449c54cef747d781771f79bab">  723</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a307667c449c54cef747d781771f79bab">mma_fill</a>();</div>
-<div class="line"><a name="l00724"></a><span class="lineno">  724</span>&#160; </div>
-<div class="line"><a name="l00725"></a><span class="lineno">  725</span>&#160;<span class="comment">// TODO(tvm-team) replace the usage of the vector operations by Shuffle.</span></div>
-<div class="line"><a name="l00729"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a45bf65ca7ca01d2016e0b609117d7e25">  729</a></span>&#160;<span class="comment"></span>TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a45bf65ca7ca01d2016e0b609117d7e25">vectorhigh</a>();</div>
-<div class="line"><a name="l00730"></a><span class="lineno">  730</span>&#160; </div>
-<div class="line"><a name="l00734"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a7ed64a9fb0a7f575fc63e1e0395e96a6">  734</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a7ed64a9fb0a7f575fc63e1e0395e96a6">vectorlow</a>();</div>
-<div class="line"><a name="l00735"></a><span class="lineno">  735</span>&#160; </div>
-<div class="line"><a name="l00739"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a30dff65bc2c142b57fae7f60e378ff43">  739</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a30dff65bc2c142b57fae7f60e378ff43">vectorcombine</a>();</div>
-<div class="line"><a name="l00740"></a><span class="lineno">  740</span>&#160; </div>
-<div class="line"><a name="l00744"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ab4a648f6e7451af295688f243a215cd7">  744</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ab4a648f6e7451af295688f243a215cd7">atomic_add</a>();</div>
-<div class="line"><a name="l00748"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a9603510b7eff067c253eb340310c2996">  748</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a9603510b7eff067c253eb340310c2996">nd_mem_alloc_with_scope</a>();</div>
-<div class="line"><a name="l00749"></a><span class="lineno">  749</span>&#160; </div>
-<div class="line"><a name="l00753"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a6ea87b06a1eb1050b7503ef6cc1bab34">  753</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a6ea87b06a1eb1050b7503ef6cc1bab34">texture2d_store</a>();</div>
-<div class="line"><a name="l00754"></a><span class="lineno">  754</span>&#160; </div>
-<div class="line"><a name="l00758"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ae52feb3e7828262a1b8a31062642ae36">  758</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ae52feb3e7828262a1b8a31062642ae36">texture2d_load</a>();</div>
-<div class="line"><a name="l00759"></a><span class="lineno">  759</span>&#160; </div>
-<div class="line"><a name="l00771"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#afd453e860a10562ac2692473edde6707">  771</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#afd453e860a10562ac2692473edde6707">dma_copy</a>();</div>
+<div class="line"><a name="l00656"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a7eaa1b0b7d7cb550f6a7eaf5efe8d493">  656</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a7eaa1b0b7d7cb550f6a7eaf5efe8d493">ptx_cp_async</a>();</div>
+<div class="line"><a name="l00657"></a><span class="lineno">  657</span>&#160; </div>
+<div class="line"><a name="l00669"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a5a2befd1e97765919a6bd98ae314993e">  669</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a5a2befd1e97765919a6bd98ae314993e">ptx_cp_async_bulk</a>();</div>
+<div class="line"><a name="l00670"></a><span class="lineno">  670</span>&#160; </div>
+<div class="line"><a name="l00678"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a21346695611f032cadc3fad6615fe63b">  678</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a21346695611f032cadc3fad6615fe63b">ptx_commit_group</a>();</div>
+<div class="line"><a name="l00679"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#aed0dcc804c2d1b939969c9e48aa448f9">  679</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#aed0dcc804c2d1b939969c9e48aa448f9">ptx_wait_group</a>();</div>
+<div class="line"><a name="l00680"></a><span class="lineno">  680</span>&#160; </div>
+<div class="line"><a name="l00687"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a2b8d9de5b924dc2dd2dd35a110f767b3">  687</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a2b8d9de5b924dc2dd2dd35a110f767b3">ptx_cp_async_barrier</a>();</div>
+<div class="line"><a name="l00688"></a><span class="lineno">  688</span>&#160; </div>
+<div class="line"><a name="l00695"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#acbd805fd2396ed0803800644f5ecdaa5">  695</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#acbd805fd2396ed0803800644f5ecdaa5">ptx_init_barrier_thread_count</a>();</div>
+<div class="line"><a name="l00696"></a><span class="lineno">  696</span>&#160; </div>
+<div class="line"><a name="l00703"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ac21d88612f6c2c21562411b99e4d24d1">  703</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ac21d88612f6c2c21562411b99e4d24d1">ptx_arrive_barrier</a>();</div>
+<div class="line"><a name="l00704"></a><span class="lineno">  704</span>&#160; </div>
+<div class="line"><a name="l00711"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#aaf28239ff1cf7b756610e4d3b32bdfcd">  711</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#aaf28239ff1cf7b756610e4d3b32bdfcd">ptx_arrive_barrier_expect_tx</a>();</div>
+<div class="line"><a name="l00712"></a><span class="lineno">  712</span>&#160; </div>
+<div class="line"><a name="l00719"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a">  719</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a">ptx_wait_barrier</a>();</div>
+<div class="line"><a name="l00720"></a><span class="lineno">  720</span>&#160; </div>
+<div class="line"><a name="l00733"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a772fb68f083e71e635c50bb503903f22">  733</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a772fb68f083e71e635c50bb503903f22">mma_store</a>();</div>
+<div class="line"><a name="l00734"></a><span class="lineno">  734</span>&#160; </div>
+<div class="line"><a name="l00746"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a307667c449c54cef747d781771f79bab">  746</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a307667c449c54cef747d781771f79bab">mma_fill</a>();</div>
+<div class="line"><a name="l00747"></a><span class="lineno">  747</span>&#160; </div>
+<div class="line"><a name="l00748"></a><span class="lineno">  748</span>&#160;<span class="comment">// TODO(tvm-team) replace the usage of the vector operations by Shuffle.</span></div>
+<div class="line"><a name="l00752"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a45bf65ca7ca01d2016e0b609117d7e25">  752</a></span>&#160;<span class="comment"></span>TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a45bf65ca7ca01d2016e0b609117d7e25">vectorhigh</a>();</div>
+<div class="line"><a name="l00753"></a><span class="lineno">  753</span>&#160; </div>
+<div class="line"><a name="l00757"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a7ed64a9fb0a7f575fc63e1e0395e96a6">  757</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a7ed64a9fb0a7f575fc63e1e0395e96a6">vectorlow</a>();</div>
+<div class="line"><a name="l00758"></a><span class="lineno">  758</span>&#160; </div>
+<div class="line"><a name="l00762"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a30dff65bc2c142b57fae7f60e378ff43">  762</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a30dff65bc2c142b57fae7f60e378ff43">vectorcombine</a>();</div>
+<div class="line"><a name="l00763"></a><span class="lineno">  763</span>&#160; </div>
+<div class="line"><a name="l00767"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ab4a648f6e7451af295688f243a215cd7">  767</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ab4a648f6e7451af295688f243a215cd7">atomic_add</a>();</div>
+<div class="line"><a name="l00771"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a9603510b7eff067c253eb340310c2996">  771</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a9603510b7eff067c253eb340310c2996">nd_mem_alloc_with_scope</a>();</div>
 <div class="line"><a name="l00772"></a><span class="lineno">  772</span>&#160; </div>
-<div class="line"><a name="l00779"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a13aefe6686345fee7d4a1633dd059806">  779</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a13aefe6686345fee7d4a1633dd059806">dma_wait</a>();</div>
-<div class="line"><a name="l00780"></a><span class="lineno">  780</span>&#160; </div>
-<div class="line"><a name="l00790"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ace1c09d0bcfa95c52dd509e0c40b1824">  790</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ace1c09d0bcfa95c52dd509e0c40b1824">dma_start_group</a>();</div>
-<div class="line"><a name="l00791"></a><span class="lineno">  791</span>&#160; </div>
-<div class="line"><a name="l00803"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a3397d82a9ffb11859615f298f529f538">  803</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a3397d82a9ffb11859615f298f529f538">dma_end_group</a>();</div>
-<div class="line"><a name="l00804"></a><span class="lineno">  804</span>&#160; </div>
-<div class="line"><a name="l00812"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a0b3d8f41abba4b7dd4a9af8ec2f9acba">  812</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a0b3d8f41abba4b7dd4a9af8ec2f9acba">assume</a>();</div>
-<div class="line"><a name="l00813"></a><span class="lineno">  813</span>&#160; </div>
-<div class="line"><a name="l00820"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a77a72a2e21fe0ea2118479924b4fb877">  820</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a77a72a2e21fe0ea2118479924b4fb877">undef</a>();</div>
-<div class="line"><a name="l00821"></a><span class="lineno">  821</span>&#160; </div>
-<div class="line"><a name="l00825"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#aa63c16281d1010a82a06eb771268b4e2">  825</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#aa63c16281d1010a82a06eb771268b4e2">start_profile_intrinsic</a>();</div>
-<div class="line"><a name="l00826"></a><span class="lineno">  826</span>&#160; </div>
-<div class="line"><a name="l00830"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a6c57e9701977267ff96d514bd3c2a5e6">  830</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a6c57e9701977267ff96d514bd3c2a5e6">end_profile_intrinsic</a>();</div>
-<div class="line"><a name="l00831"></a><span class="lineno">  831</span>&#160; </div>
-<div class="line"><a name="l00833"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1c">  833</a></span>&#160;<span class="keyword">enum</span> <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1c">TVMStructFieldKind</a> : <span class="keywordtype">int</span> {</div>
-<div class="line"><a name="l00834"></a><span class="lineno">  834</span>&#160;  <span class="comment">// array head address</span></div>
-<div class="line"><a name="l00835"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0b8af30aa268164148d5bfe1d8c2ba54">  835</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0b8af30aa268164148d5bfe1d8c2ba54">kArrAddr</a>,</div>
-<div class="line"><a name="l00836"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9076fb1a58386bac2e0f1fdae9cab844">  836</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9076fb1a58386bac2e0f1fdae9cab844">kArrData</a>,</div>
-<div class="line"><a name="l00837"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca57f69fd3d141caaa7e2e72fda7d6a1da">  837</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca57f69fd3d141caaa7e2e72fda7d6a1da">kArrShape</a>,</div>
-<div class="line"><a name="l00838"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca96e7b6492b5b174219cf60e19af0857c">  838</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca96e7b6492b5b174219cf60e19af0857c">kArrStrides</a>,</div>
-<div class="line"><a name="l00839"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cad28cfc7b69fd8745e12a4f0024d6942a">  839</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cad28cfc7b69fd8745e12a4f0024d6942a">kArrNDim</a>,</div>
-<div class="line"><a name="l00840"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca5ce842cabb26975681dd561c5132af1b">  840</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca5ce842cabb26975681dd561c5132af1b">kArrTypeCode</a>,</div>
-<div class="line"><a name="l00841"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca344dc1f419339b81024d4d3628083a1e">  841</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca344dc1f419339b81024d4d3628083a1e">kArrTypeBits</a>,</div>
-<div class="line"><a name="l00842"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0c960782c20a4f16cfe203c516760b00">  842</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0c960782c20a4f16cfe203c516760b00">kArrTypeLanes</a>,</div>
-<div class="line"><a name="l00843"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cafdb925cdf50f17a2b96c7ac4faefa1fb">  843</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cafdb925cdf50f17a2b96c7ac4faefa1fb">kArrByteOffset</a>,</div>
-<div class="line"><a name="l00844"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9539d6f9103aa0c177cd8cee72c39d74">  844</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9539d6f9103aa0c177cd8cee72c39d74">kArrDeviceId</a>,</div>
-<div class="line"><a name="l00845"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cabf798b873c868b7d77ced30c9907037d">  845</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cabf798b873c868b7d77ced30c9907037d">kArrDeviceType</a>,</div>
-<div class="line"><a name="l00846"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca4d4a5d54434514fd8b0ce57160059c92">  846</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca4d4a5d54434514fd8b0ce57160059c92">kArrKindBound_</a>,</div>
-<div class="line"><a name="l00847"></a><span class="lineno">  847</span>&#160;  <span class="comment">// TVMValue field</span></div>
-<div class="line"><a name="l00848"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca779c07403e11f671e936ec2813ce2304">  848</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca779c07403e11f671e936ec2813ce2304">kTVMValueContent</a>,</div>
-<div class="line"><a name="l00849"></a><span class="lineno">  849</span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1caa73457ed97931251f1762cb319adc858">kTVMValueKindBound_</a></div>
-<div class="line"><a name="l00850"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1caa73457ed97931251f1762cb319adc858">  850</a></span>&#160;};</div>
-<div class="line"><a name="l00851"></a><span class="lineno">  851</span>&#160;}  <span class="comment">// namespace builtin</span></div>
-<div class="line"><a name="l00852"></a><span class="lineno">  852</span>&#160;}  <span class="comment">// namespace tir</span></div>
-<div class="line"><a name="l00853"></a><span class="lineno">  853</span>&#160;}  <span class="comment">// namespace tvm</span></div>
-<div class="line"><a name="l00854"></a><span class="lineno">  854</span>&#160;<span class="preprocessor">#endif  </span><span class="comment">// TVM_TIR_BUILTIN_H_</span></div>
+<div class="line"><a name="l00776"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a6ea87b06a1eb1050b7503ef6cc1bab34">  776</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a6ea87b06a1eb1050b7503ef6cc1bab34">texture2d_store</a>();</div>
+<div class="line"><a name="l00777"></a><span class="lineno">  777</span>&#160; </div>
+<div class="line"><a name="l00781"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ae52feb3e7828262a1b8a31062642ae36">  781</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ae52feb3e7828262a1b8a31062642ae36">texture2d_load</a>();</div>
+<div class="line"><a name="l00782"></a><span class="lineno">  782</span>&#160; </div>
+<div class="line"><a name="l00794"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#afd453e860a10562ac2692473edde6707">  794</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#afd453e860a10562ac2692473edde6707">dma_copy</a>();</div>
+<div class="line"><a name="l00795"></a><span class="lineno">  795</span>&#160; </div>
+<div class="line"><a name="l00802"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a13aefe6686345fee7d4a1633dd059806">  802</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a13aefe6686345fee7d4a1633dd059806">dma_wait</a>();</div>
+<div class="line"><a name="l00803"></a><span class="lineno">  803</span>&#160; </div>
+<div class="line"><a name="l00813"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ace1c09d0bcfa95c52dd509e0c40b1824">  813</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ace1c09d0bcfa95c52dd509e0c40b1824">dma_start_group</a>();</div>
+<div class="line"><a name="l00814"></a><span class="lineno">  814</span>&#160; </div>
+<div class="line"><a name="l00826"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a3397d82a9ffb11859615f298f529f538">  826</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a3397d82a9ffb11859615f298f529f538">dma_end_group</a>();</div>
+<div class="line"><a name="l00827"></a><span class="lineno">  827</span>&#160; </div>
+<div class="line"><a name="l00835"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a0b3d8f41abba4b7dd4a9af8ec2f9acba">  835</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a0b3d8f41abba4b7dd4a9af8ec2f9acba">assume</a>();</div>
+<div class="line"><a name="l00836"></a><span class="lineno">  836</span>&#160; </div>
+<div class="line"><a name="l00843"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a77a72a2e21fe0ea2118479924b4fb877">  843</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a77a72a2e21fe0ea2118479924b4fb877">undef</a>();</div>
+<div class="line"><a name="l00844"></a><span class="lineno">  844</span>&#160; </div>
+<div class="line"><a name="l00848"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#aa63c16281d1010a82a06eb771268b4e2">  848</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#aa63c16281d1010a82a06eb771268b4e2">start_profile_intrinsic</a>();</div>
+<div class="line"><a name="l00849"></a><span class="lineno">  849</span>&#160; </div>
+<div class="line"><a name="l00853"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#a6c57e9701977267ff96d514bd3c2a5e6">  853</a></span>&#160;TVM_DLL <span class="keyword">const</span> <a class="code" href="classtvm_1_1Op.html">Op</a>&amp; <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#a6c57e9701977267ff96d514bd3c2a5e6">end_profile_intrinsic</a>();</div>
+<div class="line"><a name="l00854"></a><span class="lineno">  854</span>&#160; </div>
+<div class="line"><a name="l00856"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1c">  856</a></span>&#160;<span class="keyword">enum</span> <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1c">TVMStructFieldKind</a> : <span class="keywordtype">int</span> {</div>
+<div class="line"><a name="l00857"></a><span class="lineno">  857</span>&#160;  <span class="comment">// array head address</span></div>
+<div class="line"><a name="l00858"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0b8af30aa268164148d5bfe1d8c2ba54">  858</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0b8af30aa268164148d5bfe1d8c2ba54">kArrAddr</a>,</div>
+<div class="line"><a name="l00859"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9076fb1a58386bac2e0f1fdae9cab844">  859</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9076fb1a58386bac2e0f1fdae9cab844">kArrData</a>,</div>
+<div class="line"><a name="l00860"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca57f69fd3d141caaa7e2e72fda7d6a1da">  860</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca57f69fd3d141caaa7e2e72fda7d6a1da">kArrShape</a>,</div>
+<div class="line"><a name="l00861"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca96e7b6492b5b174219cf60e19af0857c">  861</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca96e7b6492b5b174219cf60e19af0857c">kArrStrides</a>,</div>
+<div class="line"><a name="l00862"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cad28cfc7b69fd8745e12a4f0024d6942a">  862</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cad28cfc7b69fd8745e12a4f0024d6942a">kArrNDim</a>,</div>
+<div class="line"><a name="l00863"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca5ce842cabb26975681dd561c5132af1b">  863</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca5ce842cabb26975681dd561c5132af1b">kArrTypeCode</a>,</div>
+<div class="line"><a name="l00864"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca344dc1f419339b81024d4d3628083a1e">  864</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca344dc1f419339b81024d4d3628083a1e">kArrTypeBits</a>,</div>
+<div class="line"><a name="l00865"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0c960782c20a4f16cfe203c516760b00">  865</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0c960782c20a4f16cfe203c516760b00">kArrTypeLanes</a>,</div>
+<div class="line"><a name="l00866"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cafdb925cdf50f17a2b96c7ac4faefa1fb">  866</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cafdb925cdf50f17a2b96c7ac4faefa1fb">kArrByteOffset</a>,</div>
+<div class="line"><a name="l00867"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9539d6f9103aa0c177cd8cee72c39d74">  867</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9539d6f9103aa0c177cd8cee72c39d74">kArrDeviceId</a>,</div>
+<div class="line"><a name="l00868"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cabf798b873c868b7d77ced30c9907037d">  868</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cabf798b873c868b7d77ced30c9907037d">kArrDeviceType</a>,</div>
+<div class="line"><a name="l00869"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca4d4a5d54434514fd8b0ce57160059c92">  869</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca4d4a5d54434514fd8b0ce57160059c92">kArrKindBound_</a>,</div>
+<div class="line"><a name="l00870"></a><span class="lineno">  870</span>&#160;  <span class="comment">// TVMValue field</span></div>
+<div class="line"><a name="l00871"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca779c07403e11f671e936ec2813ce2304">  871</a></span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca779c07403e11f671e936ec2813ce2304">kTVMValueContent</a>,</div>
+<div class="line"><a name="l00872"></a><span class="lineno">  872</span>&#160;  <a class="code" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1caa73457ed97931251f1762cb319adc858">kTVMValueKindBound_</a></div>
+<div class="line"><a name="l00873"></a><span class="lineno"><a class="line" href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1caa73457ed97931251f1762cb319adc858">  873</a></span>&#160;};</div>
+<div class="line"><a name="l00874"></a><span class="lineno">  874</span>&#160;}  <span class="comment">// namespace builtin</span></div>
+<div class="line"><a name="l00875"></a><span class="lineno">  875</span>&#160;}  <span class="comment">// namespace tir</span></div>
+<div class="line"><a name="l00876"></a><span class="lineno">  876</span>&#160;}  <span class="comment">// namespace tvm</span></div>
+<div class="line"><a name="l00877"></a><span class="lineno">  877</span>&#160;<span class="preprocessor">#endif  </span><span class="comment">// TVM_TIR_BUILTIN_H_</span></div>
 <div class="ttc" id="aclasstvm_1_1Op_html"><div class="ttname"><a href="classtvm_1_1Op.html">tvm::Op</a></div><div class="ttdoc">Managed reference class to OpNode.</div><div class="ttdef"><b>Definition:</b> op.h:165</div></div>
 <div class="ttc" id="air_2op_8h_html"><div class="ttname"><a href="ir_2op_8h.html">op.h</a></div><div class="ttdoc">Primitive operators(builtin intrinsics) and registry for them.</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a0117a4a76af962576a6a3bbf32f97b36"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a0117a4a76af962576a6a3bbf32f97b36">tvm::tir::builtin::tvm_call_packed_lowered</a></div><div class="ttdeci">const Op &amp; tvm_call_packed_lowered()</div><div class="ttdoc">Lowered version of call packed, the space of value and type codes are explicitly allocated.</div></div>
@@ -317,6 +321,7 @@ $(function() {
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a3e84c73dbbcf7f97008ac84c169feae9"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a3e84c73dbbcf7f97008ac84c169feae9">tvm::tir::builtin::tvm_access_ptr</a></div><div class="ttdeci">const Op &amp; tvm_access_ptr()</div><div class="ttdoc">Get head access address with memory access pattern info.</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a45bf65ca7ca01d2016e0b609117d7e25"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a45bf65ca7ca01d2016e0b609117d7e25">tvm::tir::builtin::vectorhigh</a></div><div class="ttdeci">const Op &amp; vectorhigh()</div><div class="ttdoc">Get the high level half of the vector.</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a543f1fc334d2bc830add972895a03f17"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a543f1fc334d2bc830add972895a03f17">tvm::tir::builtin::prefetch</a></div><div class="ttdeci">const Op &amp; prefetch()</div><div class="ttdoc">Prefetch a cacheline.</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a5a2befd1e97765919a6bd98ae314993e"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a5a2befd1e97765919a6bd98ae314993e">tvm::tir::builtin::ptx_cp_async_bulk</a></div><div class="ttdeci">const Op &amp; ptx_cp_async_bulk()</div><div class="ttdoc">tvm intrinsics for ptx async copy from global to shared memory using cp.async.bulk</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a5b0f4de023bfe86e9510036028ea1580"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a5b0f4de023bfe86e9510036028ea1580">tvm::tir::builtin::bitwise_or</a></div><div class="ttdeci">const Op &amp; bitwise_or()</div><div class="ttdoc">Bitwise or operator.</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a616992eb291d701cac42c670d83c206c"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a616992eb291d701cac42c670d83c206c">tvm::tir::builtin::tvm_fill_fragment</a></div><div class="ttdeci">const Op &amp; tvm_fill_fragment()</div><div class="ttdoc">tvm intrinsic for tensor core fill_fragment operators.</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a668eaad07b6c46238f2bf758e61b58a5"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a668eaad07b6c46238f2bf758e61b58a5">tvm::tir::builtin::call_extern</a></div><div class="ttdeci">const Op &amp; call_extern()</div><div class="ttdoc">Call an extern C function with given name and signature from the types of args in the runtime environ...</div></div>
@@ -331,7 +336,7 @@ $(function() {
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a77a72a2e21fe0ea2118479924b4fb877"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a77a72a2e21fe0ea2118479924b4fb877">tvm::tir::builtin::undef</a></div><div class="ttdeci">const Op &amp; undef()</div><div class="ttdoc">Returns an initialized but arbitrary value.</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a7ad7a674824bd65f49a6ae2dc3f6c533"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a7ad7a674824bd65f49a6ae2dc3f6c533">tvm::tir::builtin::ptx_ldg32</a></div><div class="ttdeci">const Op &amp; ptx_ldg32()</div><div class="ttdoc">tvm intrinsic for ptx predicate load with 32-bit data type.</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a7b555bc5cca2f5e7b26c1037bc0001ce"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a7b555bc5cca2f5e7b26c1037bc0001ce">tvm::tir::builtin::reinterpret</a></div><div class="ttdeci">const Op &amp; reinterpret()</div><div class="ttdoc">Reinterpret the value using the target type.</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a7eaa1b0b7d7cb550f6a7eaf5efe8d493"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a7eaa1b0b7d7cb550f6a7eaf5efe8d493">tvm::tir::builtin::ptx_cp_async</a></div><div class="ttdeci">const Op &amp; ptx_cp_async()</div><div class="ttdoc">tvm intrinsics for ptx async copy from global to shared memory</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a7eaa1b0b7d7cb550f6a7eaf5efe8d493"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a7eaa1b0b7d7cb550f6a7eaf5efe8d493">tvm::tir::builtin::ptx_cp_async</a></div><div class="ttdeci">const Op &amp; ptx_cp_async()</div><div class="ttdoc">tvm intrinsics for ptx async copy from global to shared memory using cp.async</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a7ed64a9fb0a7f575fc63e1e0395e96a6"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a7ed64a9fb0a7f575fc63e1e0395e96a6">tvm::tir::builtin::vectorlow</a></div><div class="ttdeci">const Op &amp; vectorlow()</div><div class="ttdoc">Get the low-level half of the vector.</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a83892dca19e44a96752625c65c38d645"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a83892dca19e44a96752625c65c38d645">tvm::tir::builtin::call_llvm_intrin</a></div><div class="ttdeci">const Op &amp; call_llvm_intrin()</div><div class="ttdoc">Call an LLVM intrinsic with a given intrinsic id and signature from the types of args in the runtime ...</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_a89bf47c3237df742ada0b599e3e4787a"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a">tvm::tir::builtin::ptx_wait_barrier</a></div><div class="ttdeci">const Op &amp; ptx_wait_barrier()</div><div class="ttdoc">tvm intrinsics for ptx barrier wait using mbarrier.try_wait</div></div>
@@ -345,6 +350,7 @@ $(function() {
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_aa5b0e90771b35d78b6c07c0054abe023"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#aa5b0e90771b35d78b6c07c0054abe023">tvm::tir::builtin::isnullptr</a></div><div class="ttdeci">const Op &amp; isnullptr()</div><div class="ttdoc">See pesudo code.</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_aa63c16281d1010a82a06eb771268b4e2"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#aa63c16281d1010a82a06eb771268b4e2">tvm::tir::builtin::start_profile_intrinsic</a></div><div class="ttdeci">const Op &amp; start_profile_intrinsic()</div><div class="ttdoc">Profiling intrinsic.</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_aa6e23eac98abb8378b9837011a5c04b5"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#aa6e23eac98abb8378b9837011a5c04b5">tvm::tir::builtin::tvm_call_trace_packed_lowered</a></div><div class="ttdeci">const Op &amp; tvm_call_trace_packed_lowered()</div><div class="ttdoc">Lowered version of trace intrinsic, the space of value and type codes are explicitly allocated....</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_aaf28239ff1cf7b756610e4d3b32bdfcd"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#aaf28239ff1cf7b756610e4d3b32bdfcd">tvm::tir::builtin::ptx_arrive_barrier_expect_tx</a></div><div class="ttdeci">const Op &amp; ptx_arrive_barrier_expect_tx()</div><div class="ttdoc">tvm intrinsic for ptx barrier arrival with expect tx using mbarrier.arrive.expect_tx</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ab424ca353ceedd88a95fc37eeb9628a9"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ab424ca353ceedd88a95fc37eeb9628a9">tvm::tir::builtin::tvm_tuple</a></div><div class="ttdeci">const Op &amp; tvm_tuple()</div><div class="ttdoc">tvm_tuple is not an actual function and cannot codegen. It is used to represent tuple structure in va...</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ab4a648f6e7451af295688f243a215cd7"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ab4a648f6e7451af295688f243a215cd7">tvm::tir::builtin::atomic_add</a></div><div class="ttdeci">const Op &amp; atomic_add()</div><div class="ttdoc">atomic add instruction, corresponding e.g. to atomicAdd in CUDA</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_abd540cb73407771ecfb4f78722ce5a1b"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#abd540cb73407771ecfb4f78722ce5a1b">tvm::tir::builtin::tvm_stack_make_shape</a></div><div class="ttdeci">const Op &amp; tvm_stack_make_shape()</div><div class="ttdoc">Allocate a shape tuple on stack, return the handle.</div></div>
@@ -355,21 +361,21 @@ $(function() {
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_aca44a85c87273dfab1731421f4edd2bf"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#aca44a85c87273dfab1731421f4edd2bf">tvm::tir::builtin::tvm_warp_shuffle</a></div><div class="ttdeci">const Op &amp; tvm_warp_shuffle()</div><div class="ttdoc">See pseudo code.</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_acbd805fd2396ed0803800644f5ecdaa5"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#acbd805fd2396ed0803800644f5ecdaa5">tvm::tir::builtin::ptx_init_barrier_thread_count</a></div><div class="ttdeci">const Op &amp; ptx_init_barrier_thread_count()</div><div class="ttdoc">tvm intrinsics for ptx barrier initialization of thread count using mbarrier.init</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ace1c09d0bcfa95c52dd509e0c40b1824"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ace1c09d0bcfa95c52dd509e0c40b1824">tvm::tir::builtin::dma_start_group</a></div><div class="ttdeci">const Op &amp; dma_start_group()</div><div class="ttdoc">Start a group of DMA copies.</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1c"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1c">tvm::tir::builtin::TVMStructFieldKind</a></div><div class="ttdeci">TVMStructFieldKind</div><div class="ttdoc">The kind of structure field info used in intrinsic.</div><div class="ttdef"><b>Definition:</b> builtin.h:833</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca0b8af30aa268164148d5bfe1d8c2ba54"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0b8af30aa268164148d5bfe1d8c2ba54">tvm::tir::builtin::kArrAddr</a></div><div class="ttdeci">@ kArrAddr</div><div class="ttdef"><b>Definition:</b> builtin.h:835</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca0c960782c20a4f16cfe203c516760b00"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0c960782c20a4f16cfe203c516760b00">tvm::tir::builtin::kArrTypeLanes</a></div><div class="ttdeci">@ kArrTypeLanes</div><div class="ttdef"><b>Definition:</b> builtin.h:842</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca344dc1f419339b81024d4d3628083a1e"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca344dc1f419339b81024d4d3628083a1e">tvm::tir::builtin::kArrTypeBits</a></div><div class="ttdeci">@ kArrTypeBits</div><div class="ttdef"><b>Definition:</b> builtin.h:841</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca4d4a5d54434514fd8b0ce57160059c92"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca4d4a5d54434514fd8b0ce57160059c92">tvm::tir::builtin::kArrKindBound_</a></div><div class="ttdeci">@ kArrKindBound_</div><div class="ttdef"><b>Definition:</b> builtin.h:846</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca57f69fd3d141caaa7e2e72fda7d6a1da"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca57f69fd3d141caaa7e2e72fda7d6a1da">tvm::tir::builtin::kArrShape</a></div><div class="ttdeci">@ kArrShape</div><div class="ttdef"><b>Definition:</b> builtin.h:837</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca5ce842cabb26975681dd561c5132af1b"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca5ce842cabb26975681dd561c5132af1b">tvm::tir::builtin::kArrTypeCode</a></div><div class="ttdeci">@ kArrTypeCode</div><div class="ttdef"><b>Definition:</b> builtin.h:840</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca779c07403e11f671e936ec2813ce2304"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca779c07403e11f671e936ec2813ce2304">tvm::tir::builtin::kTVMValueContent</a></div><div class="ttdeci">@ kTVMValueContent</div><div class="ttdef"><b>Definition:</b> builtin.h:848</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca9076fb1a58386bac2e0f1fdae9cab844"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9076fb1a58386bac2e0f1fdae9cab844">tvm::tir::builtin::kArrData</a></div><div class="ttdeci">@ kArrData</div><div class="ttdef"><b>Definition:</b> builtin.h:836</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca9539d6f9103aa0c177cd8cee72c39d74"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9539d6f9103aa0c177cd8cee72c39d74">tvm::tir::builtin::kArrDeviceId</a></div><div class="ttdeci">@ kArrDeviceId</div><div class="ttdef"><b>Definition:</b> builtin.h:844</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca96e7b6492b5b174219cf60e19af0857c"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca96e7b6492b5b174219cf60e19af0857c">tvm::tir::builtin::kArrStrides</a></div><div class="ttdeci">@ kArrStrides</div><div class="ttdef"><b>Definition:</b> builtin.h:838</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1caa73457ed97931251f1762cb319adc858"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1caa73457ed97931251f1762cb319adc858">tvm::tir::builtin::kTVMValueKindBound_</a></div><div class="ttdeci">@ kTVMValueKindBound_</div><div class="ttdef"><b>Definition:</b> builtin.h:849</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1cabf798b873c868b7d77ced30c9907037d"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cabf798b873c868b7d77ced30c9907037d">tvm::tir::builtin::kArrDeviceType</a></div><div class="ttdeci">@ kArrDeviceType</div><div class="ttdef"><b>Definition:</b> builtin.h:845</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1cad28cfc7b69fd8745e12a4f0024d6942a"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cad28cfc7b69fd8745e12a4f0024d6942a">tvm::tir::builtin::kArrNDim</a></div><div class="ttdeci">@ kArrNDim</div><div class="ttdef"><b>Definition:</b> builtin.h:839</div></div>
-<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1cafdb925cdf50f17a2b96c7ac4faefa1fb"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cafdb925cdf50f17a2b96c7ac4faefa1fb">tvm::tir::builtin::kArrByteOffset</a></div><div class="ttdeci">@ kArrByteOffset</div><div class="ttdef"><b>Definition:</b> builtin.h:843</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1c"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1c">tvm::tir::builtin::TVMStructFieldKind</a></div><div class="ttdeci">TVMStructFieldKind</div><div class="ttdoc">The kind of structure field info used in intrinsic.</div><div class="ttdef"><b>Definition:</b> builtin.h:856</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca0b8af30aa268164148d5bfe1d8c2ba54"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0b8af30aa268164148d5bfe1d8c2ba54">tvm::tir::builtin::kArrAddr</a></div><div class="ttdeci">@ kArrAddr</div><div class="ttdef"><b>Definition:</b> builtin.h:858</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca0c960782c20a4f16cfe203c516760b00"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca0c960782c20a4f16cfe203c516760b00">tvm::tir::builtin::kArrTypeLanes</a></div><div class="ttdeci">@ kArrTypeLanes</div><div class="ttdef"><b>Definition:</b> builtin.h:865</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca344dc1f419339b81024d4d3628083a1e"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca344dc1f419339b81024d4d3628083a1e">tvm::tir::builtin::kArrTypeBits</a></div><div class="ttdeci">@ kArrTypeBits</div><div class="ttdef"><b>Definition:</b> builtin.h:864</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca4d4a5d54434514fd8b0ce57160059c92"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca4d4a5d54434514fd8b0ce57160059c92">tvm::tir::builtin::kArrKindBound_</a></div><div class="ttdeci">@ kArrKindBound_</div><div class="ttdef"><b>Definition:</b> builtin.h:869</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca57f69fd3d141caaa7e2e72fda7d6a1da"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca57f69fd3d141caaa7e2e72fda7d6a1da">tvm::tir::builtin::kArrShape</a></div><div class="ttdeci">@ kArrShape</div><div class="ttdef"><b>Definition:</b> builtin.h:860</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca5ce842cabb26975681dd561c5132af1b"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca5ce842cabb26975681dd561c5132af1b">tvm::tir::builtin::kArrTypeCode</a></div><div class="ttdeci">@ kArrTypeCode</div><div class="ttdef"><b>Definition:</b> builtin.h:863</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca779c07403e11f671e936ec2813ce2304"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca779c07403e11f671e936ec2813ce2304">tvm::tir::builtin::kTVMValueContent</a></div><div class="ttdeci">@ kTVMValueContent</div><div class="ttdef"><b>Definition:</b> builtin.h:871</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca9076fb1a58386bac2e0f1fdae9cab844"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9076fb1a58386bac2e0f1fdae9cab844">tvm::tir::builtin::kArrData</a></div><div class="ttdeci">@ kArrData</div><div class="ttdef"><b>Definition:</b> builtin.h:859</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca9539d6f9103aa0c177cd8cee72c39d74"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca9539d6f9103aa0c177cd8cee72c39d74">tvm::tir::builtin::kArrDeviceId</a></div><div class="ttdeci">@ kArrDeviceId</div><div class="ttdef"><b>Definition:</b> builtin.h:867</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1ca96e7b6492b5b174219cf60e19af0857c"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1ca96e7b6492b5b174219cf60e19af0857c">tvm::tir::builtin::kArrStrides</a></div><div class="ttdeci">@ kArrStrides</div><div class="ttdef"><b>Definition:</b> builtin.h:861</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1caa73457ed97931251f1762cb319adc858"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1caa73457ed97931251f1762cb319adc858">tvm::tir::builtin::kTVMValueKindBound_</a></div><div class="ttdeci">@ kTVMValueKindBound_</div><div class="ttdef"><b>Definition:</b> builtin.h:872</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1cabf798b873c868b7d77ced30c9907037d"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cabf798b873c868b7d77ced30c9907037d">tvm::tir::builtin::kArrDeviceType</a></div><div class="ttdeci">@ kArrDeviceType</div><div class="ttdef"><b>Definition:</b> builtin.h:868</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1cad28cfc7b69fd8745e12a4f0024d6942a"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cad28cfc7b69fd8745e12a4f0024d6942a">tvm::tir::builtin::kArrNDim</a></div><div class="ttdeci">@ kArrNDim</div><div class="ttdef"><b>Definition:</b> builtin.h:862</div></div>
+<div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad3b90c881b67ebe8e8fe68f14143bb1cafdb925cdf50f17a2b96c7ac4faefa1fb"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1cafdb925cdf50f17a2b96c7ac4faefa1fb">tvm::tir::builtin::kArrByteOffset</a></div><div class="ttdeci">@ kArrByteOffset</div><div class="ttdef"><b>Definition:</b> builtin.h:866</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ad99599849e8572bcd46e22636f908fca"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ad99599849e8572bcd46e22636f908fca">tvm::tir::builtin::ptx_mma</a></div><div class="ttdeci">const Op &amp; ptx_mma()</div><div class="ttdoc">tvm intrinsic for ptx tensor core mma instructions.</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ae0470bd69bb03047aae4cb52e1e6e337"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ae0470bd69bb03047aae4cb52e1e6e337">tvm::tir::builtin::tvm_warp_shuffle_up</a></div><div class="ttdeci">const Op &amp; tvm_warp_shuffle_up()</div></div>
 <div class="ttc" id="anamespacetvm_1_1tir_1_1builtin_html_ae2add6e324d391782d367360a68ccf51"><div class="ttname"><a href="namespacetvm_1_1tir_1_1builtin.html#ae2add6e324d391782d367360a68ccf51">tvm::tir::builtin::call_pure_extern</a></div><div class="ttdeci">const Op &amp; call_pure_extern()</div><div class="ttdoc">Call an pure extern C function with given name and signature from the types of args in the runtime en...</div></div>
diff --git a/docs/reference/api/doxygen/namespacemembers_func_p.html b/docs/reference/api/doxygen/namespacemembers_func_p.html
index 7c412c21eb..f3a02102dc 100644
--- a/docs/reference/api/doxygen/namespacemembers_func_p.html
+++ b/docs/reference/api/doxygen/namespacemembers_func_p.html
@@ -148,7 +148,7 @@ $(function() {
 : <a class="el" href="namespacetvm.html#a5f6532d705be039030e980bbbf81b096">tvm</a>
 </li>
 <li>power()
-: <a class="el" href="namespacetvm_1_1topi.html#a448052f388219ee7aa016566bcdaa51c">tvm::topi</a>
+: <a class="el" href="namespacetvm_1_1topi.html#ae23dc3372703de7e9d91b76c49b81ae0">tvm::topi</a>
 </li>
 <li>Prefetch()
 : <a class="el" href="namespacetvm_1_1script_1_1ir__builder_1_1tir.html#aeb707d56c770edb33ebf73da27ebc1b9">tvm::script::ir_builder::tir</a>
@@ -184,6 +184,9 @@ $(function() {
 <li>ptx_arrive_barrier()
 : <a class="el" href="namespacetvm_1_1tir_1_1builtin.html#ac21d88612f6c2c21562411b99e4d24d1">tvm::tir::builtin</a>
 </li>
+<li>ptx_arrive_barrier_expect_tx()
+: <a class="el" href="namespacetvm_1_1tir_1_1builtin.html#aaf28239ff1cf7b756610e4d3b32bdfcd">tvm::tir::builtin</a>
+</li>
 <li>ptx_commit_group()
 : <a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a21346695611f032cadc3fad6615fe63b">tvm::tir::builtin</a>
 </li>
@@ -193,6 +196,9 @@ $(function() {
 <li>ptx_cp_async_barrier()
 : <a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a2b8d9de5b924dc2dd2dd35a110f767b3">tvm::tir::builtin</a>
 </li>
+<li>ptx_cp_async_bulk()
+: <a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a5a2befd1e97765919a6bd98ae314993e">tvm::tir::builtin</a>
+</li>
 <li>ptx_init_barrier_thread_count()
 : <a class="el" href="namespacetvm_1_1tir_1_1builtin.html#acbd805fd2396ed0803800644f5ecdaa5">tvm::tir::builtin</a>
 </li>
diff --git a/docs/reference/api/doxygen/namespacemembers_p.html b/docs/reference/api/doxygen/namespacemembers_p.html
index 5d2b00fb90..cc8de8f704 100644
--- a/docs/reference/api/doxygen/namespacemembers_p.html
+++ b/docs/reference/api/doxygen/namespacemembers_p.html
@@ -182,7 +182,7 @@ $(function() {
 : <a class="el" href="namespacetvm.html#a5f6532d705be039030e980bbbf81b096">tvm</a>
 </li>
 <li>power()
-: <a class="el" href="namespacetvm_1_1topi.html#a448052f388219ee7aa016566bcdaa51c">tvm::topi</a>
+: <a class="el" href="namespacetvm_1_1topi.html#ae23dc3372703de7e9d91b76c49b81ae0">tvm::topi</a>
 </li>
 <li>pragma_auto_unroll_max_step
 : <a class="el" href="namespacetvm_1_1tir_1_1attr.html#a8a8b8af5c21a3c26954d0ae482e7230e">tvm::tir::attr</a>
@@ -221,7 +221,7 @@ $(function() {
 : <a class="el" href="namespacetvm_1_1tir.html#a982b5f74aafaa506a146ec8bed07b3f5">tvm::tir</a>
 </li>
 <li>PrettyPrint()
-: <a class="el" href="namespacetvm_1_1relay.html#a07e7cc4306f7c5e95b942d4f72882707">tvm::relay</a>
+: <a class="el" href="namespacetvm_1_1relay.html#a3b98dc5e89534ce68b4be3b9c7ceafaf">tvm::relay</a>
 </li>
 <li>PrimFunc()
 : <a class="el" href="namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a223f44b71840a89ddd7921d0b48cd4d0">tvm::script::ir_builder::tir</a>
@@ -245,6 +245,9 @@ $(function() {
 <li>ptx_arrive_barrier()
 : <a class="el" href="namespacetvm_1_1tir_1_1builtin.html#ac21d88612f6c2c21562411b99e4d24d1">tvm::tir::builtin</a>
 </li>
+<li>ptx_arrive_barrier_expect_tx()
+: <a class="el" href="namespacetvm_1_1tir_1_1builtin.html#aaf28239ff1cf7b756610e4d3b32bdfcd">tvm::tir::builtin</a>
+</li>
 <li>ptx_commit_group()
 : <a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a21346695611f032cadc3fad6615fe63b">tvm::tir::builtin</a>
 </li>
@@ -254,6 +257,9 @@ $(function() {
 <li>ptx_cp_async_barrier()
 : <a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a2b8d9de5b924dc2dd2dd35a110f767b3">tvm::tir::builtin</a>
 </li>
+<li>ptx_cp_async_bulk()
+: <a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a5a2befd1e97765919a6bd98ae314993e">tvm::tir::builtin</a>
+</li>
 <li>ptx_init_barrier_thread_count()
 : <a class="el" href="namespacetvm_1_1tir_1_1builtin.html#acbd805fd2396ed0803800644f5ecdaa5">tvm::tir::builtin</a>
 </li>
diff --git a/docs/reference/api/doxygen/namespacetvm_1_1tir_1_1builtin.html b/docs/reference/api/doxygen/namespacetvm_1_1tir_1_1builtin.html
index f3855414d5..44aae0ceb3 100644
--- a/docs/reference/api/doxygen/namespacetvm_1_1tir_1_1builtin.html
+++ b/docs/reference/api/doxygen/namespacetvm_1_1tir_1_1builtin.html
@@ -275,8 +275,11 @@ Functions</h2></td></tr>
 <tr class="memdesc:ae79143f4df15683d7ee25c0b958e2189"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsic for ptx load matrix from shared memory.  <a href="namespacetvm_1_1tir_1_1builtin.html#ae79143f4df15683d7ee25c0b958e2189">More...</a><br /></td></tr>
 <tr class="separator:ae79143f4df15683d7ee25c0b958e2189"><td class="memSeparator" colspan="2">&#160;</td></tr>
 <tr class="memitem:a7eaa1b0b7d7cb550f6a7eaf5efe8d493"><td class="memItemLeft" align="right" valign="top">const <a class="el" href="classtvm_1_1Op.html">Op</a> &amp;&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a7eaa1b0b7d7cb550f6a7eaf5efe8d493">ptx_cp_async</a> ()</td></tr>
-<tr class="memdesc:a7eaa1b0b7d7cb550f6a7eaf5efe8d493"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsics for ptx async copy from global to shared memory  <a href="namespacetvm_1_1tir_1_1builtin.html#a7eaa1b0b7d7cb550f6a7eaf5efe8d493">More...</a><br /></td></tr>
+<tr class="memdesc:a7eaa1b0b7d7cb550f6a7eaf5efe8d493"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsics for ptx async copy from global to shared memory using cp.async  <a href="namespacetvm_1_1tir_1_1builtin.html#a7eaa1b0b7d7cb550f6a7eaf5efe8d493">More...</a><br /></td></tr>
 <tr class="separator:a7eaa1b0b7d7cb550f6a7eaf5efe8d493"><td class="memSeparator" colspan="2">&#160;</td></tr>
+<tr class="memitem:a5a2befd1e97765919a6bd98ae314993e"><td class="memItemLeft" align="right" valign="top">const <a class="el" href="classtvm_1_1Op.html">Op</a> &amp;&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a5a2befd1e97765919a6bd98ae314993e">ptx_cp_async_bulk</a> ()</td></tr>
+<tr class="memdesc:a5a2befd1e97765919a6bd98ae314993e"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsics for ptx async copy from global to shared memory using cp.async.bulk  <a href="namespacetvm_1_1tir_1_1builtin.html#a5a2befd1e97765919a6bd98ae314993e">More...</a><br /></td></tr>
+<tr class="separator:a5a2befd1e97765919a6bd98ae314993e"><td class="memSeparator" colspan="2">&#160;</td></tr>
 <tr class="memitem:a21346695611f032cadc3fad6615fe63b"><td class="memItemLeft" align="right" valign="top">const <a class="el" href="classtvm_1_1Op.html">Op</a> &amp;&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a21346695611f032cadc3fad6615fe63b">ptx_commit_group</a> ()</td></tr>
 <tr class="memdesc:a21346695611f032cadc3fad6615fe63b"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsics for ptx async copy commit and wait.  <a href="namespacetvm_1_1tir_1_1builtin.html#a21346695611f032cadc3fad6615fe63b">More...</a><br /></td></tr>
 <tr class="separator:a21346695611f032cadc3fad6615fe63b"><td class="memSeparator" colspan="2">&#160;</td></tr>
@@ -291,6 +294,9 @@ Functions</h2></td></tr>
 <tr class="memitem:ac21d88612f6c2c21562411b99e4d24d1"><td class="memItemLeft" align="right" valign="top">const <a class="el" href="classtvm_1_1Op.html">Op</a> &amp;&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespacetvm_1_1tir_1_1builtin.html#ac21d88612f6c2c21562411b99e4d24d1">ptx_arrive_barrier</a> ()</td></tr>
 <tr class="memdesc:ac21d88612f6c2c21562411b99e4d24d1"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsics for ptx barrier arrival using mbarrier.arrive  <a href="namespacetvm_1_1tir_1_1builtin.html#ac21d88612f6c2c21562411b99e4d24d1">More...</a><br /></td></tr>
 <tr class="separator:ac21d88612f6c2c21562411b99e4d24d1"><td class="memSeparator" colspan="2">&#160;</td></tr>
+<tr class="memitem:aaf28239ff1cf7b756610e4d3b32bdfcd"><td class="memItemLeft" align="right" valign="top">const <a class="el" href="classtvm_1_1Op.html">Op</a> &amp;&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespacetvm_1_1tir_1_1builtin.html#aaf28239ff1cf7b756610e4d3b32bdfcd">ptx_arrive_barrier_expect_tx</a> ()</td></tr>
+<tr class="memdesc:aaf28239ff1cf7b756610e4d3b32bdfcd"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsic for ptx barrier arrival with expect tx using mbarrier.arrive.expect_tx  <a href="namespacetvm_1_1tir_1_1builtin.html#aaf28239ff1cf7b756610e4d3b32bdfcd">More...</a><br /></td></tr>
+<tr class="separator:aaf28239ff1cf7b756610e4d3b32bdfcd"><td class="memSeparator" colspan="2">&#160;</td></tr>
 <tr class="memitem:a89bf47c3237df742ada0b599e3e4787a"><td class="memItemLeft" align="right" valign="top">const <a class="el" href="classtvm_1_1Op.html">Op</a> &amp;&#160;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a">ptx_wait_barrier</a> ()</td></tr>
 <tr class="memdesc:a89bf47c3237df742ada0b599e3e4787a"><td class="mdescLeft">&#160;</td><td class="mdescRight">tvm intrinsics for ptx barrier wait using mbarrier.try_wait  <a href="namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a">More...</a><br /></td></tr>
 <tr class="separator:a89bf47c3237df742ada0b599e3e4787a"><td class="memSeparator" colspan="2">&#160;</td></tr>
@@ -980,7 +986,27 @@ Functions</h2></td></tr>
 </div><div class="memdoc">
 
 <p>tvm intrinsics for ptx barrier arrival using mbarrier.arrive </p>
-<p>ptx_arrive_barrier(barrier_array, barrier_id) </p>
+<p>ptx_arrive_barrier(Var barrier_ptr, Expr barrier_offset) </p>
+
+</div>
+</div>
+<a id="aaf28239ff1cf7b756610e4d3b32bdfcd"></a>
+<h2 class="memtitle"><span class="permalink"><a href="#aaf28239ff1cf7b756610e4d3b32bdfcd">&#9670;&nbsp;</a></span>ptx_arrive_barrier_expect_tx()</h2>
+
+<div class="memitem">
+<div class="memproto">
+      <table class="memname">
+        <tr>
+          <td class="memname">const <a class="el" href="classtvm_1_1Op.html">Op</a>&amp; tvm::tir::builtin::ptx_arrive_barrier_expect_tx </td>
+          <td>(</td>
+          <td class="paramname"></td><td>)</td>
+          <td></td>
+        </tr>
+      </table>
+</div><div class="memdoc">
+
+<p>tvm intrinsic for ptx barrier arrival with expect tx using mbarrier.arrive.expect_tx </p>
+<p>ptx_arrive_barrier_expect_tx(Var barrier_ptr, Expr barrier_offset, int byte_count) </p>
 
 </div>
 </div>
@@ -1019,7 +1045,7 @@ Functions</h2></td></tr>
       </table>
 </div><div class="memdoc">
 
-<p>tvm intrinsics for ptx async copy from global to shared memory </p>
+<p>tvm intrinsics for ptx async copy from global to shared memory using cp.async </p>
 <p>void ptx_cp_async(<a class="el" href="classtvm_1_1tir_1_1Var.html" title="a named variable in TIR">Var</a> shared_ptr, Expr shared_offset, <a class="el" href="classtvm_1_1tir_1_1Var.html" title="a named variable in TIR">Var</a> global_ptr, Expr global_offset, size_t bytes); </p>
 
 </div>
@@ -1040,7 +1066,27 @@ Functions</h2></td></tr>
 </div><div class="memdoc">
 
 <p>tvm intrinsics for ptx async copy barrier using cp.async.mbarrier.arrive </p>
-<p>ptx_cp_async_barrier(barrier_array, barrier_id) </p>
+<p>ptx_cp_async_barrier(Var barrier_ptr, Expr barrier_offset) </p>
+
+</div>
+</div>
+<a id="a5a2befd1e97765919a6bd98ae314993e"></a>
+<h2 class="memtitle"><span class="permalink"><a href="#a5a2befd1e97765919a6bd98ae314993e">&#9670;&nbsp;</a></span>ptx_cp_async_bulk()</h2>
+
+<div class="memitem">
+<div class="memproto">
+      <table class="memname">
+        <tr>
+          <td class="memname">const <a class="el" href="classtvm_1_1Op.html">Op</a>&amp; tvm::tir::builtin::ptx_cp_async_bulk </td>
+          <td>(</td>
+          <td class="paramname"></td><td>)</td>
+          <td></td>
+        </tr>
+      </table>
+</div><div class="memdoc">
+
+<p>tvm intrinsics for ptx async copy from global to shared memory using cp.async.bulk </p>
+<p>void ptx_cp_async(<a class="el" href="classtvm_1_1tir_1_1Var.html" title="a named variable in TIR">Var</a> shared_ptr, Expr shared_offset, <a class="el" href="classtvm_1_1tir_1_1Var.html" title="a named variable in TIR">Var</a> global_ptr, Expr global_offset, size_t bytes, <a class="el" href="classtvm_1_1tir_1_1Var.html" title="a named variable in TIR">Var</a> barrier_ptr, Expr barrier_offset); </p>
 
 </div>
 </div>
@@ -1060,7 +1106,7 @@ Functions</h2></td></tr>
 </div><div class="memdoc">
 
 <p>tvm intrinsics for ptx barrier initialization of thread count using mbarrier.init </p>
-<p>ptx_init_barrier_thread_count(barrier_array, barrier_id, thread_count) </p>
+<p>ptx_init_barrier_thread_count(Var barrier_ptr, Expr barrier_offset, int thread_count) </p>
 
 </div>
 </div>
@@ -1159,7 +1205,7 @@ Functions</h2></td></tr>
 </div><div class="memdoc">
 
 <p>tvm intrinsics for ptx barrier wait using mbarrier.try_wait </p>
-<p>ptx_wait_barrier(barrier_array, barrier_id) </p>
+<p>ptx_wait_barrier(Var barrier_ptr, Expr barrier_offset) </p>
 
 </div>
 </div>
diff --git a/docs/reference/api/doxygen/search/all_11.js b/docs/reference/api/doxygen/search/all_11.js
index ca67e5cf89..f8757da984 100644
--- a/docs/reference/api/doxygen/search/all_11.js
+++ b/docs/reference/api/doxygen/search/all_11.js
@@ -114,7 +114,7 @@ var searchData=
   ['planmemory_3413',['PlanMemory',['../classtvm_1_1tir_1_1usmp_1_1algo_1_1GreedyBase.html#a0cc8e1f1bed944c4a25322ce2503330e',1,'tvm::tir::usmp::algo::GreedyBase']]],
   ['platform_2eh_3414',['platform.h',['../platform_8h.html',1,'']]],
   ['plevel_3415',['plevel',['../classtvm_1_1relay_1_1OpImplementationNode.html#af7afc9d22e58ead1fc342808ed0c4304',1,'tvm::relay::OpImplementationNode']]],
-  ['pointer_3416',['pointer',['../classtvm_1_1support_1_1Span_1_1iterator__base.html#a3380246f532de231fcfbd1ffbcdf496e',1,'tvm::support::Span::iterator_base::pointer()'],['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#a0c38eac8fa87129d754972cd305a6a89',1,'tvm::runtime::MapNode::iterator::pointer()'],['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#ade7d41e23d7ac8a0d64e237dfcf958c6',1,'tvm::runtime::ReverseIterAdapter::pointer()'],['../classtvm_1_1runtime_1_1IterAdapter.html#adc1b [...]
+  ['pointer_3416',['pointer',['../classtvm_1_1runtime_1_1Map_1_1iterator.html#a569d224e69ea0f65717e6dcb0dd53e5b',1,'tvm::runtime::Map::iterator::pointer()'],['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#a0c38eac8fa87129d754972cd305a6a89',1,'tvm::runtime::MapNode::iterator::pointer()'],['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#ade7d41e23d7ac8a0d64e237dfcf958c6',1,'tvm::runtime::ReverseIterAdapter::pointer()'],['../classtvm_1_1runtime_1_1IterAdapter.html#adc1b48d98f5d1780e [...]
   ['pointertype_3417',['PointerType',['../classtvm_1_1PointerType.html#ac87ac0c3a2331d01d0f929212d8c94f2',1,'tvm::PointerType::PointerType()'],['../classtvm_1_1PointerType.html',1,'tvm::PointerType']]],
   ['pointertypenode_3418',['PointerTypeNode',['../classtvm_1_1PointerTypeNode.html',1,'tvm']]],
   ['pointervaluetyperewrite_3419',['PointerValueTypeRewrite',['../namespacetvm_1_1tir_1_1transform.html#a36268b8988766d94b09daaa433a2e1aa',1,'tvm::tir::transform']]],
@@ -126,18 +126,18 @@ var searchData=
   ['pool_5fgrad_3425',['pool_grad',['../namespacetvm_1_1topi_1_1nn.html#a7bb635a3241be1593258b374e651e344',1,'tvm::topi::nn']]],
   ['pool_5fgrad_5fimpl_3426',['pool_grad_impl',['../namespacetvm_1_1topi_1_1nn.html#a2806be7f95eec10be2b3555a9094cee2',1,'tvm::topi::nn']]],
   ['pool_5fimpl_5fnd_3427',['pool_impl_nd',['../namespacetvm_1_1topi_1_1nn.html#a27571804c2096b32ab05e7b3e32c5af6',1,'tvm::topi::nn']]],
-  ['pool_5finfo_3428',['pool_info',['../structtvm_1_1tir_1_1usmp_1_1PoolAllocationNode.html#afbb95bb97052dc37ab3c523de3783551',1,'tvm::tir::usmp::PoolAllocationNode::pool_info()'],['../structtvm_1_1tir_1_1usmp_1_1AllocatedPoolInfoNode.html#afda8f6acac9b3af97dcf00f5df2887fb',1,'tvm::tir::usmp::AllocatedPoolInfoNode::pool_info()']]],
+  ['pool_5finfo_3428',['pool_info',['../structtvm_1_1tir_1_1usmp_1_1AllocatedPoolInfoNode.html#afda8f6acac9b3af97dcf00f5df2887fb',1,'tvm::tir::usmp::AllocatedPoolInfoNode::pool_info()'],['../structtvm_1_1tir_1_1usmp_1_1PoolAllocationNode.html#afbb95bb97052dc37ab3c523de3783551',1,'tvm::tir::usmp::PoolAllocationNode::pool_info()']]],
   ['pool_5fname_3429',['pool_name',['../structtvm_1_1PoolInfoNode.html#a1bca32e13fa47433c7bacc40a6436c1e',1,'tvm::PoolInfoNode']]],
-  ['pool_5fsize_3430',['pool_size',['../structtvm_1_1relay_1_1MaxPool3DAttrs.html#a82691187858d9ecc11176b6195fc97c4',1,'tvm::relay::MaxPool3DAttrs::pool_size()'],['../structtvm_1_1relay_1_1MaxPool2DAttrs.html#a7cf50a702126aab8f4a3458eb09fc556',1,'tvm::relay::MaxPool2DAttrs::pool_size()'],['../structtvm_1_1relay_1_1AvgPool2DAttrs.html#ac1c60d41763495feac4b838ae3d161fc',1,'tvm::relay::AvgPool2DAttrs::pool_size()'],['../structtvm_1_1relay_1_1MaxPool1DAttrs.html#af4792b9065cf98ef5335c34e581c [...]
+  ['pool_5fsize_3430',['pool_size',['../structtvm_1_1relay_1_1AvgPool3DAttrs.html#a1bb7f4c5299fcea1a2ed28ce770018a3',1,'tvm::relay::AvgPool3DAttrs::pool_size()'],['../structtvm_1_1relay_1_1MaxPool3DAttrs.html#a82691187858d9ecc11176b6195fc97c4',1,'tvm::relay::MaxPool3DAttrs::pool_size()'],['../structtvm_1_1relay_1_1AvgPool1DAttrs.html#a21d129b7f51e96d3f60277765f5def04',1,'tvm::relay::AvgPool1DAttrs::pool_size()'],['../structtvm_1_1relay_1_1MaxPool1DAttrs.html#af4792b9065cf98ef5335c34e581c [...]
   ['pool_5fvar_5fidx_3431',['pool_var_idx',['../structtvm_1_1tir_1_1usmp_1_1AllocatedPoolInfoNode.html#a133223871982347da894c949cada9ba3',1,'tvm::tir::usmp::AllocatedPoolInfoNode']]],
   ['poolallocation_3432',['PoolAllocation',['../classtvm_1_1tir_1_1usmp_1_1PoolAllocation.html#af80aa984e5f0566c5f10e3d1dc121a0b',1,'tvm::tir::usmp::PoolAllocation::PoolAllocation()'],['../classtvm_1_1tir_1_1usmp_1_1PoolAllocation.html',1,'tvm::tir::usmp::PoolAllocation']]],
   ['poolallocationnode_3433',['PoolAllocationNode',['../structtvm_1_1tir_1_1usmp_1_1PoolAllocationNode.html',1,'tvm::tir::usmp']]],
-  ['pooled_5fsize_3434',['pooled_size',['../structtvm_1_1relay_1_1ROIAlignAttrs.html#ac93f13f6a40d9b43a097c3af0644c762',1,'tvm::relay::ROIAlignAttrs::pooled_size()'],['../structtvm_1_1relay_1_1ROIPoolAttrs.html#a4ea18bc102c8431781f0bb8ff46e835f',1,'tvm::relay::ROIPoolAttrs::pooled_size()']]],
+  ['pooled_5fsize_3434',['pooled_size',['../structtvm_1_1relay_1_1ROIPoolAttrs.html#a4ea18bc102c8431781f0bb8ff46e835f',1,'tvm::relay::ROIPoolAttrs::pooled_size()'],['../structtvm_1_1relay_1_1ROIAlignAttrs.html#ac93f13f6a40d9b43a097c3af0644c762',1,'tvm::relay::ROIAlignAttrs::pooled_size()']]],
   ['poolinfo_3435',['PoolInfo',['../classtvm_1_1PoolInfo.html#a670f18a942143b62f385e2fcdec7dae1',1,'tvm::PoolInfo::PoolInfo()'],['../classtvm_1_1PoolInfo.html',1,'tvm::PoolInfo']]],
   ['poolinfonode_3436',['PoolInfoNode',['../structtvm_1_1PoolInfoNode.html',1,'tvm']]],
   ['poolinfoproperties_3437',['PoolInfoProperties',['../classtvm_1_1PoolInfoProperties.html#aa8682c31026fea1a8910140f36ba0e4c',1,'tvm::PoolInfoProperties::PoolInfoProperties()'],['../classtvm_1_1PoolInfoProperties.html',1,'tvm::PoolInfoProperties']]],
   ['poolinfopropertiesnode_3438',['PoolInfoPropertiesNode',['../structtvm_1_1PoolInfoPropertiesNode.html',1,'tvm']]],
-  ['pooling_2eh_3439',['pooling.h',['../nn_2pooling_8h.html',1,'(Global Namespace)'],['../cuda_2pooling_8h.html',1,'(Global Namespace)'],['../rocm_2pooling_8h.html',1,'(Global Namespace)']]],
+  ['pooling_2eh_3439',['pooling.h',['../rocm_2pooling_8h.html',1,'(Global Namespace)'],['../nn_2pooling_8h.html',1,'(Global Namespace)'],['../cuda_2pooling_8h.html',1,'(Global Namespace)']]],
   ['pools_3440',['pools',['../structtvm_1_1ConstantMemoryPoolsNode.html#a854e45c780faddb712b608e3a78ec415',1,'tvm::ConstantMemoryPoolsNode::pools()'],['../structtvm_1_1WorkspaceMemoryPoolsNode.html#a67f6cbc8df2f1ad58de9bbbdc4c5f68e',1,'tvm::WorkspaceMemoryPoolsNode::pools()']]],
   ['pooltype_3441',['PoolType',['../namespacetvm_1_1topi_1_1nn.html#a3ffa0974d8cdcd5b8ca7afb3cfbaf53c',1,'tvm::topi::nn']]],
   ['pop_3442',['Pop',['../classtvm_1_1tir_1_1TraceNode.html#a8bc557a5c11454561ce3479267e750ab',1,'tvm::tir::TraceNode']]],
@@ -155,7 +155,7 @@ var searchData=
   ['postsortallocation_3454',['PostSortAllocation',['../classtvm_1_1tir_1_1usmp_1_1algo_1_1GreedyBase.html#ac0d7645aee89a53f7b76b410a2d17192',1,'tvm::tir::usmp::algo::GreedyBase']]],
   ['posttuning_3455',['PostTuning',['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#a1357c198f8d2aca2c8fa9358b92e1e2a',1,'tvm::meta_schedule::PySearchStrategyNode::PostTuning()'],['../classtvm_1_1meta__schedule_1_1SearchStrategyNode.html#a82e813659dd7cab4b03e43d0710619e7',1,'tvm::meta_schedule::SearchStrategyNode::PostTuning()']]],
   ['pow_3456',['pow',['../namespacetvm.html#a5f6532d705be039030e980bbbf81b096',1,'tvm']]],
-  ['power_3457',['power',['../namespacetvm_1_1topi.html#ae23dc3372703de7e9d91b76c49b81ae0',1,'tvm::topi::power(const tvm::PrimExpr &amp;a, const tvm::PrimExpr &amp;b)'],['../namespacetvm_1_1topi.html#a8a09a91aeddabe41fb8c70e281203b39',1,'tvm::topi::power(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;power&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#a4ddd094f2b04a75ae9b1c438e0923d32',1,'tvm::topi::power(const tvm: [...]
+  ['power_3457',['power',['../namespacetvm_1_1topi.html#a4ddd094f2b04a75ae9b1c438e0923d32',1,'tvm::topi::power(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B, std::string name=&quot;T_&quot; &quot;power&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#ae23dc3372703de7e9d91b76c49b81ae0',1,'tvm::topi::power(const tvm::PrimExpr &amp;a, const tvm::PrimExpr &amp;b)'],['../namespacetvm_1_1topi.html#a448052f388219ee7aa016566bcdaa51c',1,'tvm::topi::power(const tvm: [...]
   ['pragma_3458',['pragma',['../classtvm_1_1auto__scheduler_1_1State.html#ada0872404e5a0b56ad16644b8fb0f324',1,'tvm::auto_scheduler::State::pragma()'],['../classtvm_1_1te_1_1Stage.html#a7045099f180e5cdcf9b1959b280a2d35',1,'tvm::te::Stage::pragma()']]],
   ['pragma_5fauto_5funroll_5fmax_5fstep_3459',['pragma_auto_unroll_max_step',['../namespacetvm_1_1tir_1_1attr.html#a8a8b8af5c21a3c26954d0ae482e7230e',1,'tvm::tir::attr']]],
   ['pragma_5fimport_5fc_3460',['pragma_import_c',['../namespacetvm_1_1tir_1_1attr.html#a2823f2e8c3ae9eec6c8f797752d1f9b5',1,'tvm::tir::attr']]],
@@ -167,118 +167,122 @@ var searchData=
   ['pragma_5ftype_3466',['pragma_type',['../classtvm_1_1auto__scheduler_1_1PragmaStepNode.html#aaa89c2151eeaff9de0ac7a9e9daeae69',1,'tvm::auto_scheduler::PragmaStepNode']]],
   ['pragma_5funroll_5fexplicit_3467',['pragma_unroll_explicit',['../namespacetvm_1_1tir_1_1attr.html#a288b5c4a7961450f4007d684a9098010',1,'tvm::tir::attr']]],
   ['pragma_5fvalues_3468',['pragma_values',['../classtvm_1_1te_1_1IterVarAttrNode.html#aea7a6bc44a7ddca46c76c666eba37b7f',1,'tvm::te::IterVarAttrNode']]],
-  ['pragmastep_3469',['PragmaStep',['../classtvm_1_1auto__scheduler_1_1PragmaStep.html#a9f3ec96f3e561a14d8d9235c4d46e2eb',1,'tvm::auto_scheduler::PragmaStep::PragmaStep(int stage_id, int iter_id, String pragma_type)'],['../classtvm_1_1auto__scheduler_1_1PragmaStep.html#a7692c2a9934af1f36b218840034a88d5',1,'tvm::auto_scheduler::PragmaStep::PragmaStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1PragmaStep.html',1,'tvm::auto_scheduler::PragmaStep']]],
+  ['pragmastep_3469',['PragmaStep',['../classtvm_1_1auto__scheduler_1_1PragmaStep.html#a7692c2a9934af1f36b218840034a88d5',1,'tvm::auto_scheduler::PragmaStep::PragmaStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1PragmaStep.html#a9f3ec96f3e561a14d8d9235c4d46e2eb',1,'tvm::auto_scheduler::PragmaStep::PragmaStep(int stage_id, int iter_id, String pragma_type)'],['../classtvm_1_1auto__scheduler_1_1PragmaStep.html',1,'tvm::auto_scheduler::PragmaStep']]],
   ['pragmastepnode_3470',['PragmaStepNode',['../classtvm_1_1auto__scheduler_1_1PragmaStepNode.html',1,'tvm::auto_scheduler']]],
   ['pre_5f_3471',['pre_',['../classtvm_1_1relay_1_1MixedModeMutator.html#a81d6c2593e361659ed2d0bea78a8f58a',1,'tvm::relay::MixedModeMutator']]],
-  ['predicate_3472',['predicate',['../classtvm_1_1script_1_1printer_1_1WhileDocNode.html#ad1f9ea9a5c2109d614b3e5835b348ccb',1,'tvm::script::printer::WhileDocNode::predicate()'],['../classtvm_1_1tir_1_1BlockRealizeNode.html#a9990d84b6e82ea741711e5aefcfa457a',1,'tvm::tir::BlockRealizeNode::predicate()'],['../classtvm_1_1script_1_1printer_1_1IfDocNode.html#aff607900dab0776aa46ea9cbbfcc0bfd',1,'tvm::script::printer::IfDocNode::predicate()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1Bl [...]
-  ['predict_3473',['Predict',['../classtvm_1_1auto__scheduler_1_1PythonBasedModelNode.html#af16befe722e718fea23727469fecea1c',1,'tvm::auto_scheduler::PythonBasedModelNode::Predict()'],['../classtvm_1_1meta__schedule_1_1CostModelNode.html#a9722d8b9c6e3724e6f059395285b99b1',1,'tvm::meta_schedule::CostModelNode::Predict()'],['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#ad0fb662aa2ad770c0a72377d0cfbae2a',1,'tvm::meta_schedule::PyCostModelNode::Predict()'],['../classtvm_1_1auto__sch [...]
+  ['predicate_3472',['predicate',['../classtvm_1_1script_1_1printer_1_1WhileDocNode.html#ad1f9ea9a5c2109d614b3e5835b348ccb',1,'tvm::script::printer::WhileDocNode::predicate()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockFrameNode.html#aab83629426451c65210bc3ba1fcda452',1,'tvm::script::ir_builder::tir::BlockFrameNode::predicate()'],['../classtvm_1_1script_1_1printer_1_1IfDocNode.html#aff607900dab0776aa46ea9cbbfcc0bfd',1,'tvm::script::printer::IfDocNode::predicate()'],['../class [...]
+  ['predict_3473',['Predict',['../classtvm_1_1meta__schedule_1_1CostModelNode.html#a9722d8b9c6e3724e6f059395285b99b1',1,'tvm::meta_schedule::CostModelNode::Predict()'],['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#ad0fb662aa2ad770c0a72377d0cfbae2a',1,'tvm::meta_schedule::PyCostModelNode::Predict()'],['../classtvm_1_1auto__scheduler_1_1CostModelNode.html#aa337ec72401a957a68b6eb4a96472a2c',1,'tvm::auto_scheduler::CostModelNode::Predict()'],['../classtvm_1_1auto__scheduler_1_1Rand [...]
   ['predict_5ffunc_3474',['predict_func',['../classtvm_1_1auto__scheduler_1_1PythonBasedModelNode.html#aa051c804bc592d7f4f1a5b5710f73595',1,'tvm::auto_scheduler::PythonBasedModelNode']]],
   ['predict_5fstage_5ffunc_3475',['predict_stage_func',['../classtvm_1_1auto__scheduler_1_1PythonBasedModelNode.html#a380809fbb5d4d68b9ec744e3a5015fe6',1,'tvm::auto_scheduler::PythonBasedModelNode']]],
   ['predictstages_3476',['PredictStages',['../classtvm_1_1auto__scheduler_1_1CostModelNode.html#a213222251099444874698d2e9ff18adc',1,'tvm::auto_scheduler::CostModelNode::PredictStages()'],['../classtvm_1_1auto__scheduler_1_1PythonBasedModelNode.html#a1f9975c4bdd61793b806663a61a9a703',1,'tvm::auto_scheduler::PythonBasedModelNode::PredictStages()']]],
   ['prefetch_3477',['Prefetch',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#aeb707d56c770edb33ebf73da27ebc1b9',1,'tvm::script::ir_builder::tir']]],
-  ['prefetch_3478',['prefetch',['../namespacetvm_1_1tir_1_1builtin.html#a543f1fc334d2bc830add972895a03f17',1,'tvm::tir::builtin::prefetch()'],['../classtvm_1_1te_1_1Stage.html#a611327890918fb641a8e65396ab9c5f6',1,'tvm::te::Stage::prefetch()']]],
-  ['prefetch_3479',['Prefetch',['../classtvm_1_1tir_1_1Prefetch.html#af462f85dad4268685e3113b6b009d1b2',1,'tvm::tir::Prefetch::Prefetch()'],['../classtvm_1_1tir_1_1Prefetch.html',1,'tvm::tir::Prefetch']]],
-  ['prefetch_5fdata_3480',['prefetch_data',['../classtvm_1_1te_1_1IterVarAttrNode.html#a0cd129334ac1bc8d6461fb06be67e731',1,'tvm::te::IterVarAttrNode']]],
-  ['prefetch_5foffset_3481',['prefetch_offset',['../classtvm_1_1te_1_1IterVarAttrNode.html#a2a4a8e201e6caefeecffd4a7647866fd',1,'tvm::te::IterVarAttrNode']]],
-  ['prefetch_5fscope_3482',['prefetch_scope',['../namespacetvm_1_1tir_1_1attr.html#ac95fbd1c09a60b10c7a5d07f6c4b68a6',1,'tvm::tir::attr']]],
-  ['prefetchnode_3483',['PrefetchNode',['../classtvm_1_1tir_1_1PrefetchNode.html#a73ef244c364b9c7efaee36e6bec746e7',1,'tvm::tir::PrefetchNode::PrefetchNode(Buffer buffer, Array&lt; Range &gt; bounds, Span span=Span())'],['../classtvm_1_1tir_1_1PrefetchNode.html#acaaa5e89462c7edf3019df4283ec74db',1,'tvm::tir::PrefetchNode::PrefetchNode()=default'],['../classtvm_1_1tir_1_1PrefetchNode.html',1,'tvm::tir::PrefetchNode']]],
-  ['prefix_5f_3484',['prefix_',['../classtvm_1_1NameSupplyNode.html#aa14405ac3611e27389632477779fb6ad',1,'tvm::NameSupplyNode']]],
-  ['preloadmeasuredstates_3485',['PreloadMeasuredStates',['../classtvm_1_1auto__scheduler_1_1PreloadMeasuredStates.html#a67daf1ccd25a208fdf8d001f9a31d86b',1,'tvm::auto_scheduler::PreloadMeasuredStates::PreloadMeasuredStates()'],['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#abc2529d0b1cd485876e48037dd19dde1',1,'tvm::auto_scheduler::SearchPolicyNode::PreloadMeasuredStates()'],['../classtvm_1_1auto__scheduler_1_1PreloadMeasuredStates.html',1,'tvm::auto_scheduler::PreloadMeasured [...]
-  ['preloadmeasuredstatesnode_3486',['PreloadMeasuredStatesNode',['../classtvm_1_1auto__scheduler_1_1PreloadMeasuredStatesNode.html',1,'tvm::auto_scheduler']]],
-  ['prelu_3487',['prelu',['../namespacetvm_1_1topi.html#a315c34bbe2bf1be4c778acae08c906fc',1,'tvm::topi']]],
-  ['preluattrs_3488',['PReluAttrs',['../structtvm_1_1relay_1_1PReluAttrs.html',1,'tvm::relay']]],
-  ['preordervisit_3489',['PreOrderVisit',['../namespacetvm_1_1tir.html#a982b5f74aafaa506a146ec8bed07b3f5',1,'tvm::tir']]],
-  ['preprocessor_3490',['preprocessor',['../classtvm_1_1TargetKindNode.html#a47f02c66d0f972befdfb29ec592ecba0',1,'tvm::TargetKindNode']]],
-  ['preresult_5fop_5findex_5f_3491',['preresult_op_index_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a37b4a705186bf76cb9aa5168dcbc3651',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['prettyprint_3492',['PrettyPrint',['../namespacetvm_1_1relay.html#a3b98dc5e89534ce68b4be3b9c7ceafaf',1,'tvm::relay::PrettyPrint(const ObjectRef &amp;node)'],['../namespacetvm_1_1relay.html#a07e7cc4306f7c5e95b942d4f72882707',1,'tvm::relay::PrettyPrint(const DFPattern &amp;pattern)']]],
-  ['pretuning_3493',['PreTuning',['../classtvm_1_1meta__schedule_1_1SearchStrategyNode.html#a39e0b263ee316310a3fcd85d3532763b',1,'tvm::meta_schedule::SearchStrategyNode::PreTuning()'],['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#a148e4d901f8e362307abfe03fb659a57',1,'tvm::meta_schedule::PySearchStrategyNode::PreTuning()']]],
-  ['primexpr_3494',['PrimExpr',['../classtvm_1_1PrimExpr.html#a756d3f8b17b019560946524951ae6118',1,'tvm::PrimExpr::PrimExpr(float value)'],['../classtvm_1_1PrimExpr.html#a7f0ca30e951608a0b36a77a66d4d19e0',1,'tvm::PrimExpr::PrimExpr(int32_t value)'],['../classtvm_1_1PrimExpr.html',1,'tvm::PrimExpr']]],
-  ['primexprnode_3495',['PrimExprNode',['../classtvm_1_1PrimExprNode.html',1,'tvm']]],
-  ['primfunc_3496',['PrimFunc',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a223f44b71840a89ddd7921d0b48cd4d0',1,'tvm::script::ir_builder::tir::PrimFunc()'],['../classtvm_1_1tir_1_1PrimFunc.html#ae45eed6cc7af5f9806be4709e87d1420',1,'tvm::tir::PrimFunc::PrimFunc()'],['../classtvm_1_1tir_1_1PrimFunc.html',1,'tvm::tir::PrimFunc']]],
-  ['primfuncframe_3497',['PrimFuncFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1PrimFuncFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['primfuncframenode_3498',['PrimFuncFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1PrimFuncFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['primfuncnode_3499',['PrimFuncNode',['../classtvm_1_1tir_1_1PrimFuncNode.html',1,'tvm::tir']]],
-  ['primitive_5fmap_3500',['primitive_map',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#ab5a31e8670a4f20564abc48610a90e8c',1,'tvm::runtime::vm::Executable']]],
-  ['primitive_5frecord_3501',['primitive_record',['../classtvm_1_1te_1_1ScheduleNode.html#aeddb87ac8fb45a6059e8ebb9659003f2',1,'tvm::te::ScheduleNode']]],
-  ['primitive_5ftargets_3502',['primitive_targets',['../classtvm_1_1CompilationConfigNode.html#aaf237580f1684eaf97e1852c6b69ecbd',1,'tvm::CompilationConfigNode']]],
-  ['primtype_3503',['PrimType',['../classtvm_1_1PrimType.html#a09f20c21115c93112848ee1aa91a02a4',1,'tvm::PrimType::PrimType()'],['../classtvm_1_1PrimType.html',1,'tvm::PrimType']]],
-  ['primtypenode_3504',['PrimTypeNode',['../classtvm_1_1PrimTypeNode.html',1,'tvm']]],
-  ['print_3505',['Print',['../classtvm_1_1relay_1_1DFPatternPrinter.html#a906bb56e36b092173d40a01d5cd4dcc0',1,'tvm::relay::DFPatternPrinter::Print()'],['../classtvm_1_1ReprLegacyPrinter.html#a0fa676e46d37c4b72c761758e54226fa',1,'tvm::ReprLegacyPrinter::Print()'],['../classtvm_1_1ReprPrinter.html#a01e320b8f3db86141771dfa0aa7ed389',1,'tvm::ReprPrinter::Print()']]],
-  ['print_5fline_5fnumbers_3506',['print_line_numbers',['../classtvm_1_1PrinterConfigNode.html#a326fadb110a4356ccd783ed0e0b26733',1,'tvm::PrinterConfigNode']]],
-  ['printaspythonapi_3507',['PrintAsPythonAPI',['../classtvm_1_1auto__scheduler_1_1RfactorStepNode.html#aa23a7d8724c9a172b2ad2512f135c0be',1,'tvm::auto_scheduler::RfactorStepNode::PrintAsPythonAPI()'],['../classtvm_1_1auto__scheduler_1_1FuseStepNode.html#a61d42261006f1d7717dc2656e3d37839',1,'tvm::auto_scheduler::FuseStepNode::PrintAsPythonAPI()'],['../classtvm_1_1auto__scheduler_1_1ComputeRootStepNode.html#a7887bd0d8a503dfdb4af008b1ebced6d',1,'tvm::auto_scheduler::ComputeRootStepNode::Pr [...]
-  ['printdag_3508',['PrintDAG',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#a1de07263c59963e3f5a96f275b176471',1,'tvm::auto_scheduler::ComputeDAG']]],
-  ['printdocstring_3509',['PrintDocString',['../classtvm_1_1BaseAttrsNode.html#a80929190102473038bce5b4f6c42dff6',1,'tvm::BaseAttrsNode']]],
-  ['printerconfig_3510',['PrinterConfig',['../classtvm_1_1PrinterConfig.html#a643ddfdf11f3ed02da30740610e0b20f',1,'tvm::PrinterConfig::PrinterConfig()'],['../classtvm_1_1PrinterConfig.html',1,'tvm::PrinterConfig']]],
-  ['printerconfignode_3511',['PrinterConfigNode',['../classtvm_1_1PrinterConfigNode.html',1,'tvm']]],
-  ['printindent_3512',['PrintIndent',['../classtvm_1_1ReprPrinter.html#a9c5941e7c4e24c9880dbd340cc226bf4',1,'tvm::ReprPrinter::PrintIndent()'],['../classtvm_1_1ReprLegacyPrinter.html#aa1f6c31a5778e6cb988ffc3c45eb835b',1,'tvm::ReprLegacyPrinter::PrintIndent()']]],
-  ['printinfoandsetinputargs_3513',['PrintInfoAndSetInputArgs',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#ac2ca0dc6162002d400e56ccc4c41fb9c',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['printir_3514',['PrintIR',['../namespacetvm_1_1transform.html#a8cca5b98fb5d34e66444343786d85529',1,'tvm::transform']]],
-  ['printstepsaspython_3515',['PrintStepsAsPython',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#ace0f0f385d5d3179dd5dc0a1f35990b4',1,'tvm::auto_scheduler::ComputeDAG']]],
-  ['printtuningstatistics_3516',['PrintTuningStatistics',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#af9a0fe462e50601c81e1d8ce352e9cea',1,'tvm::meta_schedule::TaskSchedulerNode']]],
-  ['priority_3517',['priority',['../classtvm_1_1auto__scheduler_1_1RPCRunnerNode.html#ad5c143b5ce09e135fb8c318d3c0042b9',1,'tvm::auto_scheduler::RPCRunnerNode']]],
-  ['prod_3518',['prod',['../namespacetvm.html#a32a87ae9eacafb2b5b71b28bcc9ef35e',1,'tvm::prod()'],['../namespacetvm_1_1topi.html#a4bc269a40cbdbac3b8b764950820dc8c',1,'tvm::topi::prod(const Tensor &amp;data, const Array&lt; Integer &gt; &amp;axis, bool keepdims=false, bool atleast1d=false)']]],
-  ['prodop_3519',['ProdOp',['../namespacetvm_1_1topi.html#af62dd10dd04c1fbf820581b14498de6e',1,'tvm::topi']]],
-  ['producer_3520',['producer',['../classtvm_1_1tir_1_1ProducerRealizeNode.html#a40b660aa7441f16d8aa0caf5425f61c5',1,'tvm::tir::ProducerRealizeNode::producer()'],['../classtvm_1_1tir_1_1ProducerStoreNode.html#a309eb2fa800a2862c111024eebb05603',1,'tvm::tir::ProducerStoreNode::producer()'],['../classtvm_1_1tir_1_1ProducerLoadNode.html#ad0a7cfa5c690d86aae84a36ddcebd6c9',1,'tvm::tir::ProducerLoadNode::producer()']]],
-  ['producerload_3521',['ProducerLoad',['../classtvm_1_1tir_1_1ProducerLoad.html#a207ebf130561d77355a199908ac7754c',1,'tvm::tir::ProducerLoad::ProducerLoad()'],['../classtvm_1_1tir_1_1ProducerLoad.html',1,'tvm::tir::ProducerLoad']]],
-  ['producerloadnode_3522',['ProducerLoadNode',['../classtvm_1_1tir_1_1ProducerLoadNode.html',1,'tvm::tir']]],
-  ['producerrealize_3523',['ProducerRealize',['../classtvm_1_1tir_1_1ProducerRealize.html#aa9d54c60ed4ea3cebb3548d7fd783df2',1,'tvm::tir::ProducerRealize::ProducerRealize()'],['../classtvm_1_1tir_1_1ProducerRealize.html',1,'tvm::tir::ProducerRealize']]],
-  ['producerrealizenode_3524',['ProducerRealizeNode',['../classtvm_1_1tir_1_1ProducerRealizeNode.html',1,'tvm::tir']]],
-  ['producerstore_3525',['ProducerStore',['../classtvm_1_1tir_1_1ProducerStore.html#ac096ddee9fde9a4f5e447041da1abb5a',1,'tvm::tir::ProducerStore::ProducerStore()'],['../classtvm_1_1tir_1_1ProducerStore.html',1,'tvm::tir::ProducerStore']]],
-  ['producerstorenode_3526',['ProducerStoreNode',['../classtvm_1_1tir_1_1ProducerStoreNode.html',1,'tvm::tir']]],
-  ['profilefunction_3527',['ProfileFunction',['../namespacetvm_1_1runtime_1_1profiling.html#a213f5d3fec6828976d6eaf847a9018e4',1,'tvm::runtime::profiling']]],
-  ['profiler_3528',['Profiler',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#ac3724ea2398c80b66ebd6105a7ccceb8',1,'tvm::runtime::profiling::Profiler::Profiler()'],['../classtvm_1_1meta__schedule_1_1Profiler.html#a024d0dd7fc2a0e7fed66da559aa65d54',1,'tvm::meta_schedule::Profiler::Profiler()'],['../classtvm_1_1meta__schedule_1_1ScopedTimer.html#ad73e5645d5b7b84a00d4a5d07b8d8078',1,'tvm::meta_schedule::ScopedTimer::Profiler()'],['../classtvm_1_1meta__schedule_1_1Profiler.html',1,'t [...]
-  ['profiler_2eh_3529',['profiler.h',['../profiler_8h.html',1,'']]],
-  ['profilernode_3530',['ProfilerNode',['../classtvm_1_1meta__schedule_1_1ProfilerNode.html',1,'tvm::meta_schedule']]],
-  ['profiling_2eh_3531',['profiling.h',['../profiling_8h.html',1,'']]],
-  ['programbuilder_3532',['ProgramBuilder',['../classtvm_1_1auto__scheduler_1_1ProgramBuilder.html',1,'tvm::auto_scheduler']]],
-  ['programbuildernode_3533',['ProgramBuilderNode',['../classtvm_1_1auto__scheduler_1_1ProgramBuilderNode.html',1,'tvm::auto_scheduler']]],
-  ['programmeasurer_3534',['ProgramMeasurer',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurer.html#a5f7d945bbacca898aa75418dfb36939c',1,'tvm::auto_scheduler::ProgramMeasurer::ProgramMeasurer()'],['../classtvm_1_1auto__scheduler_1_1ProgramMeasurer.html',1,'tvm::auto_scheduler::ProgramMeasurer']]],
-  ['programmeasurernode_3535',['ProgramMeasurerNode',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html',1,'tvm::auto_scheduler']]],
-  ['programrunner_3536',['ProgramRunner',['../classtvm_1_1auto__scheduler_1_1ProgramRunner.html',1,'tvm::auto_scheduler']]],
-  ['programrunnernode_3537',['ProgramRunnerNode',['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html',1,'tvm::auto_scheduler']]],
-  ['proofstrength_3538',['ProofStrength',['../namespacetvm_1_1arith.html#a038b0205b1c66d27c9dff7703e359339',1,'tvm::arith']]],
-  ['propboundtoinputs_3539',['PropBoundToInputs',['../classtvm_1_1te_1_1ExternOpNode.html#ab6976ded9159bd1930030b7196e6568f',1,'tvm::te::ExternOpNode::PropBoundToInputs()'],['../classtvm_1_1te_1_1OperationNode.html#a3c32a93264e0d627d8389b703edcaf49',1,'tvm::te::OperationNode::PropBoundToInputs()'],['../classtvm_1_1te_1_1PlaceholderOpNode.html#aa7c4daa391954629cbc0eb9d91ed0ed3',1,'tvm::te::PlaceholderOpNode::PropBoundToInputs()'],['../classtvm_1_1te_1_1TensorComputeOpNode.html#ad24f571d71 [...]
-  ['proposalattrs_3540',['ProposalAttrs',['../structtvm_1_1relay_1_1ProposalAttrs.html',1,'tvm::relay']]],
-  ['ptr_3541',['ptr',['../classtvm_1_1runtime_1_1TVMPODValue__.html#ae11428ea0edc6ed08b0c33418052986c',1,'tvm::runtime::TVMPODValue_']]],
-  ['ptx_5farrive_5fbarrier_3542',['ptx_arrive_barrier',['../namespacetvm_1_1tir_1_1builtin.html#ac21d88612f6c2c21562411b99e4d24d1',1,'tvm::tir::builtin']]],
-  ['ptx_5fcommit_5fgroup_3543',['ptx_commit_group',['../namespacetvm_1_1tir_1_1builtin.html#a21346695611f032cadc3fad6615fe63b',1,'tvm::tir::builtin']]],
-  ['ptx_5fcp_5fasync_3544',['ptx_cp_async',['../namespacetvm_1_1tir_1_1builtin.html#a7eaa1b0b7d7cb550f6a7eaf5efe8d493',1,'tvm::tir::builtin']]],
-  ['ptx_5fcp_5fasync_5fbarrier_3545',['ptx_cp_async_barrier',['../namespacetvm_1_1tir_1_1builtin.html#a2b8d9de5b924dc2dd2dd35a110f767b3',1,'tvm::tir::builtin']]],
-  ['ptx_5finit_5fbarrier_5fthread_5fcount_3546',['ptx_init_barrier_thread_count',['../namespacetvm_1_1tir_1_1builtin.html#acbd805fd2396ed0803800644f5ecdaa5',1,'tvm::tir::builtin']]],
-  ['ptx_5fldg32_3547',['ptx_ldg32',['../namespacetvm_1_1tir_1_1builtin.html#a7ad7a674824bd65f49a6ae2dc3f6c533',1,'tvm::tir::builtin']]],
-  ['ptx_5fldmatrix_3548',['ptx_ldmatrix',['../namespacetvm_1_1tir_1_1builtin.html#ae79143f4df15683d7ee25c0b958e2189',1,'tvm::tir::builtin']]],
-  ['ptx_5fmma_3549',['ptx_mma',['../namespacetvm_1_1tir_1_1builtin.html#ad99599849e8572bcd46e22636f908fca',1,'tvm::tir::builtin']]],
-  ['ptx_5fmma_5fsp_3550',['ptx_mma_sp',['../namespacetvm_1_1tir_1_1builtin.html#a3343bb32988cda13d3050e8fc2a75a78',1,'tvm::tir::builtin']]],
-  ['ptx_5fwait_5fbarrier_3551',['ptx_wait_barrier',['../namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a',1,'tvm::tir::builtin']]],
-  ['ptx_5fwait_5fgroup_3552',['ptx_wait_group',['../namespacetvm_1_1tir_1_1builtin.html#aed0dcc804c2d1b939969c9e48aa448f9',1,'tvm::tir::builtin']]],
-  ['push_5fback_3553',['push_back',['../classtvm_1_1runtime_1_1Array.html#aa026b914ee05f81b6c20130b8905f257',1,'tvm::runtime::Array']]],
-  ['pushframe_3554',['PushFrame',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a05f2bc216da23c035522d5dde356a920',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['pybuilder_3555',['PyBuilder',['../classtvm_1_1meta__schedule_1_1Builder.html#a91fe7e36ba1e213e65b59f05a685e89f',1,'tvm::meta_schedule::Builder']]],
-  ['pybuildernode_3556',['PyBuilderNode',['../classtvm_1_1meta__schedule_1_1PyBuilderNode.html',1,'tvm::meta_schedule']]],
-  ['pycostmodel_3557',['PyCostModel',['../classtvm_1_1meta__schedule_1_1CostModel.html#aa824ead03b1c61470daee67989ba4967',1,'tvm::meta_schedule::CostModel']]],
-  ['pycostmodelnode_3558',['PyCostModelNode',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html',1,'tvm::meta_schedule']]],
-  ['pydatabase_3559',['PyDatabase',['../classtvm_1_1meta__schedule_1_1Database.html#aaaa9bf6e0367ae09fa8b3471a25dd50e',1,'tvm::meta_schedule::Database']]],
-  ['pydatabasenode_3560',['PyDatabaseNode',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a0f8533a4896ab37bd2f099460b0e2938',1,'tvm::meta_schedule::PyDatabaseNode::PyDatabaseNode()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html',1,'tvm::meta_schedule::PyDatabaseNode']]],
-  ['pyfeatureextractor_3561',['PyFeatureExtractor',['../classtvm_1_1meta__schedule_1_1FeatureExtractor.html#ac4b355e78ec150c5d067f78638f2da82',1,'tvm::meta_schedule::FeatureExtractor']]],
-  ['pyfeatureextractornode_3562',['PyFeatureExtractorNode',['../classtvm_1_1meta__schedule_1_1PyFeatureExtractorNode.html',1,'tvm::meta_schedule']]],
-  ['pymeasurecallback_3563',['PyMeasureCallback',['../classtvm_1_1meta__schedule_1_1MeasureCallback.html#a5b45496d9c58b1d5aa5fe47a37f542f3',1,'tvm::meta_schedule::MeasureCallback']]],
-  ['pymeasurecallbacknode_3564',['PyMeasureCallbackNode',['../classtvm_1_1meta__schedule_1_1PyMeasureCallbackNode.html',1,'tvm::meta_schedule']]],
-  ['pymutator_3565',['PyMutator',['../classtvm_1_1meta__schedule_1_1Mutator.html#ad47720eb4ce8167fd82c64b5b17d53f6',1,'tvm::meta_schedule::Mutator']]],
-  ['pymutatornode_3566',['PyMutatorNode',['../classtvm_1_1meta__schedule_1_1PyMutatorNode.html',1,'tvm::meta_schedule']]],
-  ['pypostproc_3567',['PyPostproc',['../classtvm_1_1meta__schedule_1_1Postproc.html#adf2610ebd0148a09f01c97f0ef53f7da',1,'tvm::meta_schedule::Postproc']]],
-  ['pypostprocnode_3568',['PyPostprocNode',['../classtvm_1_1meta__schedule_1_1PyPostprocNode.html',1,'tvm::meta_schedule']]],
-  ['pyrunner_3569',['PyRunner',['../classtvm_1_1meta__schedule_1_1Runner.html#add24ccb3a7663931340306e0068aec2c',1,'tvm::meta_schedule::Runner']]],
-  ['pyrunnernode_3570',['PyRunnerNode',['../classtvm_1_1meta__schedule_1_1PyRunnerNode.html',1,'tvm::meta_schedule']]],
-  ['pyschedulerule_3571',['PyScheduleRule',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#ac812a72ff2ad145247b0f9dc7954340d',1,'tvm::meta_schedule::ScheduleRule']]],
-  ['pyschedulerulenode_3572',['PyScheduleRuleNode',['../classtvm_1_1meta__schedule_1_1PyScheduleRuleNode.html',1,'tvm::meta_schedule']]],
-  ['pysearchstrategy_3573',['PySearchStrategy',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html#a3e05827620a061564da12e7c6f7f7095',1,'tvm::meta_schedule::SearchStrategy']]],
-  ['pysearchstrategynode_3574',['PySearchStrategyNode',['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html',1,'tvm::meta_schedule']]],
-  ['pyspacegenerator_3575',['PySpaceGenerator',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a5d4f99dd857ddd38dddff24c94916006',1,'tvm::meta_schedule::SpaceGenerator']]],
-  ['pyspacegeneratornode_3576',['PySpaceGeneratorNode',['../classtvm_1_1meta__schedule_1_1PySpaceGeneratorNode.html',1,'tvm::meta_schedule']]],
-  ['pytaskscheduler_3577',['PyTaskScheduler',['../classtvm_1_1meta__schedule_1_1TaskScheduler.html#a3ddd3992d1dc11716f6f02cca77b7372',1,'tvm::meta_schedule::TaskScheduler']]],
-  ['pytaskschedulernode_3578',['PyTaskSchedulerNode',['../classtvm_1_1meta__schedule_1_1PyTaskSchedulerNode.html',1,'tvm::meta_schedule']]],
-  ['pythonbasedmeasurecallback_3579',['PythonBasedMeasureCallback',['../classtvm_1_1auto__scheduler_1_1PythonBasedMeasureCallback.html#a38db53470ab1e264f708e39429555f6f',1,'tvm::auto_scheduler::PythonBasedMeasureCallback::PythonBasedMeasureCallback()'],['../classtvm_1_1auto__scheduler_1_1PythonBasedMeasureCallback.html',1,'tvm::auto_scheduler::PythonBasedMeasureCallback']]],
-  ['pythonbasedmeasurecallbacknode_3580',['PythonBasedMeasureCallbackNode',['../classtvm_1_1auto__scheduler_1_1PythonBasedMeasureCallbackNode.html',1,'tvm::auto_scheduler']]],
-  ['pythonbasedmodel_3581',['PythonBasedModel',['../classtvm_1_1auto__scheduler_1_1PythonBasedModel.html#a71f2459b8b36261180c608e0d2acaeae',1,'tvm::auto_scheduler::PythonBasedModel::PythonBasedModel()'],['../classtvm_1_1auto__scheduler_1_1PythonBasedModel.html',1,'tvm::auto_scheduler::PythonBasedModel']]],
-  ['pythonbasedmodelnode_3582',['PythonBasedModelNode',['../classtvm_1_1auto__scheduler_1_1PythonBasedModelNode.html',1,'tvm::auto_scheduler']]]
+  ['prefetch_3478',['prefetch',['../namespacetvm_1_1tir_1_1builtin.html#a543f1fc334d2bc830add972895a03f17',1,'tvm::tir::builtin']]],
+  ['prefetch_3479',['Prefetch',['../classtvm_1_1tir_1_1Prefetch.html#af462f85dad4268685e3113b6b009d1b2',1,'tvm::tir::Prefetch']]],
+  ['prefetch_3480',['prefetch',['../classtvm_1_1te_1_1Stage.html#a611327890918fb641a8e65396ab9c5f6',1,'tvm::te::Stage']]],
+  ['prefetch_3481',['Prefetch',['../classtvm_1_1tir_1_1Prefetch.html',1,'tvm::tir']]],
+  ['prefetch_5fdata_3482',['prefetch_data',['../classtvm_1_1te_1_1IterVarAttrNode.html#a0cd129334ac1bc8d6461fb06be67e731',1,'tvm::te::IterVarAttrNode']]],
+  ['prefetch_5foffset_3483',['prefetch_offset',['../classtvm_1_1te_1_1IterVarAttrNode.html#a2a4a8e201e6caefeecffd4a7647866fd',1,'tvm::te::IterVarAttrNode']]],
+  ['prefetch_5fscope_3484',['prefetch_scope',['../namespacetvm_1_1tir_1_1attr.html#ac95fbd1c09a60b10c7a5d07f6c4b68a6',1,'tvm::tir::attr']]],
+  ['prefetchnode_3485',['PrefetchNode',['../classtvm_1_1tir_1_1PrefetchNode.html#a73ef244c364b9c7efaee36e6bec746e7',1,'tvm::tir::PrefetchNode::PrefetchNode(Buffer buffer, Array&lt; Range &gt; bounds, Span span=Span())'],['../classtvm_1_1tir_1_1PrefetchNode.html#acaaa5e89462c7edf3019df4283ec74db',1,'tvm::tir::PrefetchNode::PrefetchNode()=default'],['../classtvm_1_1tir_1_1PrefetchNode.html',1,'tvm::tir::PrefetchNode']]],
+  ['prefix_5f_3486',['prefix_',['../classtvm_1_1NameSupplyNode.html#aa14405ac3611e27389632477779fb6ad',1,'tvm::NameSupplyNode']]],
+  ['preloadmeasuredstates_3487',['PreloadMeasuredStates',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#abc2529d0b1cd485876e48037dd19dde1',1,'tvm::auto_scheduler::SearchPolicyNode::PreloadMeasuredStates()'],['../classtvm_1_1auto__scheduler_1_1PreloadMeasuredStates.html#a67daf1ccd25a208fdf8d001f9a31d86b',1,'tvm::auto_scheduler::PreloadMeasuredStates::PreloadMeasuredStates()'],['../classtvm_1_1auto__scheduler_1_1PreloadMeasuredStates.html',1,'tvm::auto_scheduler::PreloadMeasured [...]
+  ['preloadmeasuredstatesnode_3488',['PreloadMeasuredStatesNode',['../classtvm_1_1auto__scheduler_1_1PreloadMeasuredStatesNode.html',1,'tvm::auto_scheduler']]],
+  ['prelu_3489',['prelu',['../namespacetvm_1_1topi.html#a315c34bbe2bf1be4c778acae08c906fc',1,'tvm::topi']]],
+  ['preluattrs_3490',['PReluAttrs',['../structtvm_1_1relay_1_1PReluAttrs.html',1,'tvm::relay']]],
+  ['preordervisit_3491',['PreOrderVisit',['../namespacetvm_1_1tir.html#a982b5f74aafaa506a146ec8bed07b3f5',1,'tvm::tir']]],
+  ['preprocessor_3492',['preprocessor',['../classtvm_1_1TargetKindNode.html#a47f02c66d0f972befdfb29ec592ecba0',1,'tvm::TargetKindNode']]],
+  ['preresult_5fop_5findex_5f_3493',['preresult_op_index_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a37b4a705186bf76cb9aa5168dcbc3651',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['prettyprint_3494',['PrettyPrint',['../namespacetvm_1_1relay.html#a3b98dc5e89534ce68b4be3b9c7ceafaf',1,'tvm::relay::PrettyPrint(const ObjectRef &amp;node)'],['../namespacetvm_1_1relay.html#a07e7cc4306f7c5e95b942d4f72882707',1,'tvm::relay::PrettyPrint(const DFPattern &amp;pattern)']]],
+  ['pretuning_3495',['PreTuning',['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html#a148e4d901f8e362307abfe03fb659a57',1,'tvm::meta_schedule::PySearchStrategyNode::PreTuning()'],['../classtvm_1_1meta__schedule_1_1SearchStrategyNode.html#a39e0b263ee316310a3fcd85d3532763b',1,'tvm::meta_schedule::SearchStrategyNode::PreTuning()']]],
+  ['primexpr_3496',['PrimExpr',['../classtvm_1_1PrimExpr.html#a756d3f8b17b019560946524951ae6118',1,'tvm::PrimExpr::PrimExpr(float value)'],['../classtvm_1_1PrimExpr.html#a7f0ca30e951608a0b36a77a66d4d19e0',1,'tvm::PrimExpr::PrimExpr(int32_t value)'],['../classtvm_1_1PrimExpr.html',1,'tvm::PrimExpr']]],
+  ['primexprnode_3497',['PrimExprNode',['../classtvm_1_1PrimExprNode.html',1,'tvm']]],
+  ['primfunc_3498',['PrimFunc',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a223f44b71840a89ddd7921d0b48cd4d0',1,'tvm::script::ir_builder::tir::PrimFunc()'],['../classtvm_1_1tir_1_1PrimFunc.html#ae45eed6cc7af5f9806be4709e87d1420',1,'tvm::tir::PrimFunc::PrimFunc()'],['../classtvm_1_1tir_1_1PrimFunc.html',1,'tvm::tir::PrimFunc']]],
+  ['primfuncframe_3499',['PrimFuncFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1PrimFuncFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['primfuncframenode_3500',['PrimFuncFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1PrimFuncFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['primfuncnode_3501',['PrimFuncNode',['../classtvm_1_1tir_1_1PrimFuncNode.html',1,'tvm::tir']]],
+  ['primitive_5fmap_3502',['primitive_map',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#ab5a31e8670a4f20564abc48610a90e8c',1,'tvm::runtime::vm::Executable']]],
+  ['primitive_5frecord_3503',['primitive_record',['../classtvm_1_1te_1_1ScheduleNode.html#aeddb87ac8fb45a6059e8ebb9659003f2',1,'tvm::te::ScheduleNode']]],
+  ['primitive_5ftargets_3504',['primitive_targets',['../classtvm_1_1CompilationConfigNode.html#aaf237580f1684eaf97e1852c6b69ecbd',1,'tvm::CompilationConfigNode']]],
+  ['primtype_3505',['PrimType',['../classtvm_1_1PrimType.html#a09f20c21115c93112848ee1aa91a02a4',1,'tvm::PrimType::PrimType()'],['../classtvm_1_1PrimType.html',1,'tvm::PrimType']]],
+  ['primtypenode_3506',['PrimTypeNode',['../classtvm_1_1PrimTypeNode.html',1,'tvm']]],
+  ['print_3507',['Print',['../classtvm_1_1ReprPrinter.html#a01e320b8f3db86141771dfa0aa7ed389',1,'tvm::ReprPrinter::Print()'],['../classtvm_1_1ReprLegacyPrinter.html#a0fa676e46d37c4b72c761758e54226fa',1,'tvm::ReprLegacyPrinter::Print()'],['../classtvm_1_1relay_1_1DFPatternPrinter.html#a906bb56e36b092173d40a01d5cd4dcc0',1,'tvm::relay::DFPatternPrinter::Print()']]],
+  ['print_5fline_5fnumbers_3508',['print_line_numbers',['../classtvm_1_1PrinterConfigNode.html#a326fadb110a4356ccd783ed0e0b26733',1,'tvm::PrinterConfigNode']]],
+  ['printaspythonapi_3509',['PrintAsPythonAPI',['../classtvm_1_1auto__scheduler_1_1FollowSplitStepNode.html#a3d297e00fdebdb3d4261cb44b27bc447',1,'tvm::auto_scheduler::FollowSplitStepNode::PrintAsPythonAPI()'],['../classtvm_1_1auto__scheduler_1_1AnnotationStepNode.html#a724b47658136ea9a43872fcf600e79b9',1,'tvm::auto_scheduler::AnnotationStepNode::PrintAsPythonAPI()'],['../classtvm_1_1auto__scheduler_1_1FuseStepNode.html#a61d42261006f1d7717dc2656e3d37839',1,'tvm::auto_scheduler::FuseStepNo [...]
+  ['printdag_3510',['PrintDAG',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#a1de07263c59963e3f5a96f275b176471',1,'tvm::auto_scheduler::ComputeDAG']]],
+  ['printdocstring_3511',['PrintDocString',['../classtvm_1_1BaseAttrsNode.html#a80929190102473038bce5b4f6c42dff6',1,'tvm::BaseAttrsNode']]],
+  ['printerconfig_3512',['PrinterConfig',['../classtvm_1_1PrinterConfig.html#a643ddfdf11f3ed02da30740610e0b20f',1,'tvm::PrinterConfig::PrinterConfig()'],['../classtvm_1_1PrinterConfig.html',1,'tvm::PrinterConfig']]],
+  ['printerconfignode_3513',['PrinterConfigNode',['../classtvm_1_1PrinterConfigNode.html',1,'tvm']]],
+  ['printindent_3514',['PrintIndent',['../classtvm_1_1ReprLegacyPrinter.html#aa1f6c31a5778e6cb988ffc3c45eb835b',1,'tvm::ReprLegacyPrinter::PrintIndent()'],['../classtvm_1_1ReprPrinter.html#a9c5941e7c4e24c9880dbd340cc226bf4',1,'tvm::ReprPrinter::PrintIndent()']]],
+  ['printinfoandsetinputargs_3515',['PrintInfoAndSetInputArgs',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#ac2ca0dc6162002d400e56ccc4c41fb9c',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['printir_3516',['PrintIR',['../namespacetvm_1_1transform.html#a8cca5b98fb5d34e66444343786d85529',1,'tvm::transform']]],
+  ['printstepsaspython_3517',['PrintStepsAsPython',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#ace0f0f385d5d3179dd5dc0a1f35990b4',1,'tvm::auto_scheduler::ComputeDAG']]],
+  ['printtuningstatistics_3518',['PrintTuningStatistics',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#af9a0fe462e50601c81e1d8ce352e9cea',1,'tvm::meta_schedule::TaskSchedulerNode']]],
+  ['priority_3519',['priority',['../classtvm_1_1auto__scheduler_1_1RPCRunnerNode.html#ad5c143b5ce09e135fb8c318d3c0042b9',1,'tvm::auto_scheduler::RPCRunnerNode']]],
+  ['prod_3520',['prod',['../namespacetvm_1_1topi.html#a4bc269a40cbdbac3b8b764950820dc8c',1,'tvm::topi::prod()'],['../namespacetvm.html#a32a87ae9eacafb2b5b71b28bcc9ef35e',1,'tvm::prod()']]],
+  ['prodop_3521',['ProdOp',['../namespacetvm_1_1topi.html#af62dd10dd04c1fbf820581b14498de6e',1,'tvm::topi']]],
+  ['producer_3522',['producer',['../classtvm_1_1tir_1_1ProducerRealizeNode.html#a40b660aa7441f16d8aa0caf5425f61c5',1,'tvm::tir::ProducerRealizeNode::producer()'],['../classtvm_1_1tir_1_1ProducerStoreNode.html#a309eb2fa800a2862c111024eebb05603',1,'tvm::tir::ProducerStoreNode::producer()'],['../classtvm_1_1tir_1_1ProducerLoadNode.html#ad0a7cfa5c690d86aae84a36ddcebd6c9',1,'tvm::tir::ProducerLoadNode::producer()']]],
+  ['producerload_3523',['ProducerLoad',['../classtvm_1_1tir_1_1ProducerLoad.html#a207ebf130561d77355a199908ac7754c',1,'tvm::tir::ProducerLoad::ProducerLoad()'],['../classtvm_1_1tir_1_1ProducerLoad.html',1,'tvm::tir::ProducerLoad']]],
+  ['producerloadnode_3524',['ProducerLoadNode',['../classtvm_1_1tir_1_1ProducerLoadNode.html',1,'tvm::tir']]],
+  ['producerrealize_3525',['ProducerRealize',['../classtvm_1_1tir_1_1ProducerRealize.html#aa9d54c60ed4ea3cebb3548d7fd783df2',1,'tvm::tir::ProducerRealize::ProducerRealize()'],['../classtvm_1_1tir_1_1ProducerRealize.html',1,'tvm::tir::ProducerRealize']]],
+  ['producerrealizenode_3526',['ProducerRealizeNode',['../classtvm_1_1tir_1_1ProducerRealizeNode.html',1,'tvm::tir']]],
+  ['producerstore_3527',['ProducerStore',['../classtvm_1_1tir_1_1ProducerStore.html#ac096ddee9fde9a4f5e447041da1abb5a',1,'tvm::tir::ProducerStore::ProducerStore()'],['../classtvm_1_1tir_1_1ProducerStore.html',1,'tvm::tir::ProducerStore']]],
+  ['producerstorenode_3528',['ProducerStoreNode',['../classtvm_1_1tir_1_1ProducerStoreNode.html',1,'tvm::tir']]],
+  ['profilefunction_3529',['ProfileFunction',['../namespacetvm_1_1runtime_1_1profiling.html#a213f5d3fec6828976d6eaf847a9018e4',1,'tvm::runtime::profiling']]],
+  ['profiler_3530',['Profiler',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#ac3724ea2398c80b66ebd6105a7ccceb8',1,'tvm::runtime::profiling::Profiler::Profiler()'],['../classtvm_1_1meta__schedule_1_1Profiler.html#a024d0dd7fc2a0e7fed66da559aa65d54',1,'tvm::meta_schedule::Profiler::Profiler()'],['../classtvm_1_1meta__schedule_1_1ScopedTimer.html#ad73e5645d5b7b84a00d4a5d07b8d8078',1,'tvm::meta_schedule::ScopedTimer::Profiler()'],['../classtvm_1_1meta__schedule_1_1Profiler.html',1,'t [...]
+  ['profiler_2eh_3531',['profiler.h',['../profiler_8h.html',1,'']]],
+  ['profilernode_3532',['ProfilerNode',['../classtvm_1_1meta__schedule_1_1ProfilerNode.html',1,'tvm::meta_schedule']]],
+  ['profiling_2eh_3533',['profiling.h',['../profiling_8h.html',1,'']]],
+  ['programbuilder_3534',['ProgramBuilder',['../classtvm_1_1auto__scheduler_1_1ProgramBuilder.html',1,'tvm::auto_scheduler']]],
+  ['programbuildernode_3535',['ProgramBuilderNode',['../classtvm_1_1auto__scheduler_1_1ProgramBuilderNode.html',1,'tvm::auto_scheduler']]],
+  ['programmeasurer_3536',['ProgramMeasurer',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurer.html#a5f7d945bbacca898aa75418dfb36939c',1,'tvm::auto_scheduler::ProgramMeasurer::ProgramMeasurer()'],['../classtvm_1_1auto__scheduler_1_1ProgramMeasurer.html',1,'tvm::auto_scheduler::ProgramMeasurer']]],
+  ['programmeasurernode_3537',['ProgramMeasurerNode',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html',1,'tvm::auto_scheduler']]],
+  ['programrunner_3538',['ProgramRunner',['../classtvm_1_1auto__scheduler_1_1ProgramRunner.html',1,'tvm::auto_scheduler']]],
+  ['programrunnernode_3539',['ProgramRunnerNode',['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html',1,'tvm::auto_scheduler']]],
+  ['proofstrength_3540',['ProofStrength',['../namespacetvm_1_1arith.html#a038b0205b1c66d27c9dff7703e359339',1,'tvm::arith']]],
+  ['propboundtoinputs_3541',['PropBoundToInputs',['../classtvm_1_1te_1_1OperationNode.html#a3c32a93264e0d627d8389b703edcaf49',1,'tvm::te::OperationNode::PropBoundToInputs()'],['../classtvm_1_1te_1_1HybridOpNode.html#aa732ebcde9edbc81fdeb286c72cd84fe',1,'tvm::te::HybridOpNode::PropBoundToInputs()'],['../classtvm_1_1te_1_1ExternOpNode.html#ab6976ded9159bd1930030b7196e6568f',1,'tvm::te::ExternOpNode::PropBoundToInputs()'],['../classtvm_1_1te_1_1ScanOpNode.html#a457c2582ccf09454b6c1881829717 [...]
+  ['proposalattrs_3542',['ProposalAttrs',['../structtvm_1_1relay_1_1ProposalAttrs.html',1,'tvm::relay']]],
+  ['ptr_3543',['ptr',['../classtvm_1_1runtime_1_1TVMPODValue__.html#ae11428ea0edc6ed08b0c33418052986c',1,'tvm::runtime::TVMPODValue_']]],
+  ['ptx_5farrive_5fbarrier_3544',['ptx_arrive_barrier',['../namespacetvm_1_1tir_1_1builtin.html#ac21d88612f6c2c21562411b99e4d24d1',1,'tvm::tir::builtin']]],
+  ['ptx_5farrive_5fbarrier_5fexpect_5ftx_3545',['ptx_arrive_barrier_expect_tx',['../namespacetvm_1_1tir_1_1builtin.html#aaf28239ff1cf7b756610e4d3b32bdfcd',1,'tvm::tir::builtin']]],
+  ['ptx_5fcommit_5fgroup_3546',['ptx_commit_group',['../namespacetvm_1_1tir_1_1builtin.html#a21346695611f032cadc3fad6615fe63b',1,'tvm::tir::builtin']]],
+  ['ptx_5fcp_5fasync_3547',['ptx_cp_async',['../namespacetvm_1_1tir_1_1builtin.html#a7eaa1b0b7d7cb550f6a7eaf5efe8d493',1,'tvm::tir::builtin']]],
+  ['ptx_5fcp_5fasync_5fbarrier_3548',['ptx_cp_async_barrier',['../namespacetvm_1_1tir_1_1builtin.html#a2b8d9de5b924dc2dd2dd35a110f767b3',1,'tvm::tir::builtin']]],
+  ['ptx_5fcp_5fasync_5fbulk_3549',['ptx_cp_async_bulk',['../namespacetvm_1_1tir_1_1builtin.html#a5a2befd1e97765919a6bd98ae314993e',1,'tvm::tir::builtin']]],
+  ['ptx_5finit_5fbarrier_5fthread_5fcount_3550',['ptx_init_barrier_thread_count',['../namespacetvm_1_1tir_1_1builtin.html#acbd805fd2396ed0803800644f5ecdaa5',1,'tvm::tir::builtin']]],
+  ['ptx_5fldg32_3551',['ptx_ldg32',['../namespacetvm_1_1tir_1_1builtin.html#a7ad7a674824bd65f49a6ae2dc3f6c533',1,'tvm::tir::builtin']]],
+  ['ptx_5fldmatrix_3552',['ptx_ldmatrix',['../namespacetvm_1_1tir_1_1builtin.html#ae79143f4df15683d7ee25c0b958e2189',1,'tvm::tir::builtin']]],
+  ['ptx_5fmma_3553',['ptx_mma',['../namespacetvm_1_1tir_1_1builtin.html#ad99599849e8572bcd46e22636f908fca',1,'tvm::tir::builtin']]],
+  ['ptx_5fmma_5fsp_3554',['ptx_mma_sp',['../namespacetvm_1_1tir_1_1builtin.html#a3343bb32988cda13d3050e8fc2a75a78',1,'tvm::tir::builtin']]],
+  ['ptx_5fwait_5fbarrier_3555',['ptx_wait_barrier',['../namespacetvm_1_1tir_1_1builtin.html#a89bf47c3237df742ada0b599e3e4787a',1,'tvm::tir::builtin']]],
+  ['ptx_5fwait_5fgroup_3556',['ptx_wait_group',['../namespacetvm_1_1tir_1_1builtin.html#aed0dcc804c2d1b939969c9e48aa448f9',1,'tvm::tir::builtin']]],
+  ['push_5fback_3557',['push_back',['../classtvm_1_1runtime_1_1Array.html#aa026b914ee05f81b6c20130b8905f257',1,'tvm::runtime::Array']]],
+  ['pushframe_3558',['PushFrame',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a05f2bc216da23c035522d5dde356a920',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['pybuilder_3559',['PyBuilder',['../classtvm_1_1meta__schedule_1_1Builder.html#a91fe7e36ba1e213e65b59f05a685e89f',1,'tvm::meta_schedule::Builder']]],
+  ['pybuildernode_3560',['PyBuilderNode',['../classtvm_1_1meta__schedule_1_1PyBuilderNode.html',1,'tvm::meta_schedule']]],
+  ['pycostmodel_3561',['PyCostModel',['../classtvm_1_1meta__schedule_1_1CostModel.html#aa824ead03b1c61470daee67989ba4967',1,'tvm::meta_schedule::CostModel']]],
+  ['pycostmodelnode_3562',['PyCostModelNode',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html',1,'tvm::meta_schedule']]],
+  ['pydatabase_3563',['PyDatabase',['../classtvm_1_1meta__schedule_1_1Database.html#aaaa9bf6e0367ae09fa8b3471a25dd50e',1,'tvm::meta_schedule::Database']]],
+  ['pydatabasenode_3564',['PyDatabaseNode',['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a0f8533a4896ab37bd2f099460b0e2938',1,'tvm::meta_schedule::PyDatabaseNode::PyDatabaseNode()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html',1,'tvm::meta_schedule::PyDatabaseNode']]],
+  ['pyfeatureextractor_3565',['PyFeatureExtractor',['../classtvm_1_1meta__schedule_1_1FeatureExtractor.html#ac4b355e78ec150c5d067f78638f2da82',1,'tvm::meta_schedule::FeatureExtractor']]],
+  ['pyfeatureextractornode_3566',['PyFeatureExtractorNode',['../classtvm_1_1meta__schedule_1_1PyFeatureExtractorNode.html',1,'tvm::meta_schedule']]],
+  ['pymeasurecallback_3567',['PyMeasureCallback',['../classtvm_1_1meta__schedule_1_1MeasureCallback.html#a5b45496d9c58b1d5aa5fe47a37f542f3',1,'tvm::meta_schedule::MeasureCallback']]],
+  ['pymeasurecallbacknode_3568',['PyMeasureCallbackNode',['../classtvm_1_1meta__schedule_1_1PyMeasureCallbackNode.html',1,'tvm::meta_schedule']]],
+  ['pymutator_3569',['PyMutator',['../classtvm_1_1meta__schedule_1_1Mutator.html#ad47720eb4ce8167fd82c64b5b17d53f6',1,'tvm::meta_schedule::Mutator']]],
+  ['pymutatornode_3570',['PyMutatorNode',['../classtvm_1_1meta__schedule_1_1PyMutatorNode.html',1,'tvm::meta_schedule']]],
+  ['pypostproc_3571',['PyPostproc',['../classtvm_1_1meta__schedule_1_1Postproc.html#adf2610ebd0148a09f01c97f0ef53f7da',1,'tvm::meta_schedule::Postproc']]],
+  ['pypostprocnode_3572',['PyPostprocNode',['../classtvm_1_1meta__schedule_1_1PyPostprocNode.html',1,'tvm::meta_schedule']]],
+  ['pyrunner_3573',['PyRunner',['../classtvm_1_1meta__schedule_1_1Runner.html#add24ccb3a7663931340306e0068aec2c',1,'tvm::meta_schedule::Runner']]],
+  ['pyrunnernode_3574',['PyRunnerNode',['../classtvm_1_1meta__schedule_1_1PyRunnerNode.html',1,'tvm::meta_schedule']]],
+  ['pyschedulerule_3575',['PyScheduleRule',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#ac812a72ff2ad145247b0f9dc7954340d',1,'tvm::meta_schedule::ScheduleRule']]],
+  ['pyschedulerulenode_3576',['PyScheduleRuleNode',['../classtvm_1_1meta__schedule_1_1PyScheduleRuleNode.html',1,'tvm::meta_schedule']]],
+  ['pysearchstrategy_3577',['PySearchStrategy',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html#a3e05827620a061564da12e7c6f7f7095',1,'tvm::meta_schedule::SearchStrategy']]],
+  ['pysearchstrategynode_3578',['PySearchStrategyNode',['../classtvm_1_1meta__schedule_1_1PySearchStrategyNode.html',1,'tvm::meta_schedule']]],
+  ['pyspacegenerator_3579',['PySpaceGenerator',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a5d4f99dd857ddd38dddff24c94916006',1,'tvm::meta_schedule::SpaceGenerator']]],
+  ['pyspacegeneratornode_3580',['PySpaceGeneratorNode',['../classtvm_1_1meta__schedule_1_1PySpaceGeneratorNode.html',1,'tvm::meta_schedule']]],
+  ['pytaskscheduler_3581',['PyTaskScheduler',['../classtvm_1_1meta__schedule_1_1TaskScheduler.html#a3ddd3992d1dc11716f6f02cca77b7372',1,'tvm::meta_schedule::TaskScheduler']]],
+  ['pytaskschedulernode_3582',['PyTaskSchedulerNode',['../classtvm_1_1meta__schedule_1_1PyTaskSchedulerNode.html',1,'tvm::meta_schedule']]],
+  ['pythonbasedmeasurecallback_3583',['PythonBasedMeasureCallback',['../classtvm_1_1auto__scheduler_1_1PythonBasedMeasureCallback.html#a38db53470ab1e264f708e39429555f6f',1,'tvm::auto_scheduler::PythonBasedMeasureCallback::PythonBasedMeasureCallback()'],['../classtvm_1_1auto__scheduler_1_1PythonBasedMeasureCallback.html',1,'tvm::auto_scheduler::PythonBasedMeasureCallback']]],
+  ['pythonbasedmeasurecallbacknode_3584',['PythonBasedMeasureCallbackNode',['../classtvm_1_1auto__scheduler_1_1PythonBasedMeasureCallbackNode.html',1,'tvm::auto_scheduler']]],
+  ['pythonbasedmodel_3585',['PythonBasedModel',['../classtvm_1_1auto__scheduler_1_1PythonBasedModel.html#a71f2459b8b36261180c608e0d2acaeae',1,'tvm::auto_scheduler::PythonBasedModel::PythonBasedModel()'],['../classtvm_1_1auto__scheduler_1_1PythonBasedModel.html',1,'tvm::auto_scheduler::PythonBasedModel']]],
+  ['pythonbasedmodelnode_3586',['PythonBasedModelNode',['../classtvm_1_1auto__scheduler_1_1PythonBasedModelNode.html',1,'tvm::auto_scheduler']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_12.js b/docs/reference/api/doxygen/search/all_12.js
index 2514937034..fc89c22471 100644
--- a/docs/reference/api/doxygen/search/all_12.js
+++ b/docs/reference/api/doxygen/search/all_12.js
@@ -1,8 +1,8 @@
 var searchData=
 [
-  ['q_5fmultiply_5fshift_3583',['q_multiply_shift',['../namespacetvm_1_1tir_1_1builtin.html#a0c2ebdcec34d7c79dc8480e5dab8547a',1,'tvm::tir::builtin::q_multiply_shift()'],['../namespacetvm.html#ac788f9eb54a8971596779537afc6c896',1,'tvm::q_multiply_shift()']]],
-  ['quantizeattrs_3584',['QuantizeAttrs',['../structtvm_1_1relay_1_1qnn_1_1QuantizeAttrs.html',1,'tvm::relay::qnn']]],
-  ['queryirmodule_3585',['QueryIRModule',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#aeb4101db551afa93ea144b9b173783a0',1,'tvm::meta_schedule::DatabaseNode::QueryIRModule()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a4a21df0e4369b208e8d0332c0dcdfee3',1,'tvm::meta_schedule::PyDatabaseNode::QueryIRModule()']]],
-  ['queryschedule_3586',['QuerySchedule',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#a638febf77b9cb7590d6babb28a97a020',1,'tvm::meta_schedule::DatabaseNode::QuerySchedule()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a340ce2715f3f9be3ded8a4560a45f5d3',1,'tvm::meta_schedule::PyDatabaseNode::QuerySchedule()']]],
-  ['querytuningrecord_3587',['QueryTuningRecord',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#adb5dd2d61af2ac335d68b402c057d612',1,'tvm::meta_schedule::DatabaseNode::QueryTuningRecord()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a76186192f9e7e52d8c9f1e3b53fe0e60',1,'tvm::meta_schedule::PyDatabaseNode::QueryTuningRecord()']]]
+  ['q_5fmultiply_5fshift_3587',['q_multiply_shift',['../namespacetvm_1_1tir_1_1builtin.html#a0c2ebdcec34d7c79dc8480e5dab8547a',1,'tvm::tir::builtin::q_multiply_shift()'],['../namespacetvm.html#ac788f9eb54a8971596779537afc6c896',1,'tvm::q_multiply_shift()']]],
+  ['quantizeattrs_3588',['QuantizeAttrs',['../structtvm_1_1relay_1_1qnn_1_1QuantizeAttrs.html',1,'tvm::relay::qnn']]],
+  ['queryirmodule_3589',['QueryIRModule',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#aeb4101db551afa93ea144b9b173783a0',1,'tvm::meta_schedule::DatabaseNode::QueryIRModule()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a4a21df0e4369b208e8d0332c0dcdfee3',1,'tvm::meta_schedule::PyDatabaseNode::QueryIRModule()']]],
+  ['queryschedule_3590',['QuerySchedule',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#a638febf77b9cb7590d6babb28a97a020',1,'tvm::meta_schedule::DatabaseNode::QuerySchedule()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a340ce2715f3f9be3ded8a4560a45f5d3',1,'tvm::meta_schedule::PyDatabaseNode::QuerySchedule()']]],
+  ['querytuningrecord_3591',['QueryTuningRecord',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#adb5dd2d61af2ac335d68b402c057d612',1,'tvm::meta_schedule::DatabaseNode::QueryTuningRecord()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a76186192f9e7e52d8c9f1e3b53fe0e60',1,'tvm::meta_schedule::PyDatabaseNode::QueryTuningRecord()']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_13.js b/docs/reference/api/doxygen/search/all_13.js
index 59d74aecae..c6677c5f43 100644
--- a/docs/reference/api/doxygen/search/all_13.js
+++ b/docs/reference/api/doxygen/search/all_13.js
@@ -1,294 +1,294 @@
 var searchData=
 [
-  ['inplacearraybase_3c_20smallmapnode_2c_20mapnode_3a_3akvtype_20_3e_3588',['InplaceArrayBase&lt; SmallMapNode, MapNode::KVType &gt;',['../classtvm_1_1runtime_1_1SmallMapNode.html#ac34d5957634812ed6f022af20a049c24',1,'tvm::runtime::SmallMapNode']]],
-  ['objallocatorbase_3589',['ObjAllocatorBase',['../classtvm_1_1relay_1_1LetNode.html#a6a52011a5ae07f79856556b25dd1a040',1,'tvm::relay::LetNode::ObjAllocatorBase()'],['../classtvm_1_1relay_1_1CallNode.html#a6a52011a5ae07f79856556b25dd1a040',1,'tvm::relay::CallNode::ObjAllocatorBase()']]],
-  ['packedfuncvalueconverter_3c_20primexpr_20_3e_3590',['PackedFuncValueConverter&lt; PrimExpr &gt;',['../classtvm_1_1PrimExpr.html#ae839d1eaebf8cde84eb37772af36352c',1,'tvm::PrimExpr']]],
-  ['ramp_3591',['Ramp',['../classtvm_1_1tir_1_1Ramp.html#ad5a1ca5d32edf9e016e03366381bc693',1,'tvm::tir::Ramp::Ramp()'],['../classtvm_1_1tir_1_1Ramp.html',1,'tvm::tir::Ramp']]],
-  ['rampnode_3592',['RampNode',['../classtvm_1_1tir_1_1RampNode.html',1,'tvm::tir']]],
-  ['rand_5fstate_3593',['rand_state',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#a3032995b1e84a6396b42ba74f573b6b3',1,'tvm::meta_schedule::TuneContextNode']]],
-  ['random_2eh_3594',['random.h',['../random_8h.html',1,'']]],
-  ['random_5fengine_2eh_3595',['random_engine.h',['../random__engine_8h.html',1,'']]],
-  ['random_5fnumber_5ffunc_3596',['random_number_func',['../classtvm_1_1auto__scheduler_1_1RandomModelNode.html#a983ca23a9dad4db927c2c5787bcbb34e',1,'tvm::auto_scheduler::RandomModelNode']]],
-  ['randomcomputelocation_3597',['RandomComputeLocation',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a1bf485537817533eaf711226f687778c',1,'tvm::meta_schedule::ScheduleRule']]],
-  ['randommodel_3598',['RandomModel',['../classtvm_1_1auto__scheduler_1_1RandomModel.html#aa456abf1dc91cbf76935189424d8954f',1,'tvm::auto_scheduler::RandomModel::RandomModel()'],['../classtvm_1_1auto__scheduler_1_1RandomModel.html#ac2b355e61135f2ff57d4f96fe2fba845',1,'tvm::auto_scheduler::RandomModel::RandomModel(::tvm::runtime::ObjectPtr&lt;::tvm::runtime::Object &gt; n)'],['../classtvm_1_1auto__scheduler_1_1RandomModel.html',1,'tvm::auto_scheduler::RandomModel']]],
-  ['randommodelnode_3599',['RandomModelNode',['../classtvm_1_1auto__scheduler_1_1RandomModelNode.html',1,'tvm::auto_scheduler']]],
-  ['range_3600',['Range',['../classtvm_1_1Range.html#a9d58cccc53897fee0c80ab1437da1f0f',1,'tvm::Range']]],
-  ['range_3601',['range',['../classtvm_1_1auto__scheduler_1_1IteratorNode.html#a2751c3164971b3154ffc506e3aebaf91',1,'tvm::auto_scheduler::IteratorNode']]],
-  ['range_3602',['Range',['../classtvm_1_1Range.html',1,'tvm']]],
-  ['rangenode_3603',['RangeNode',['../classtvm_1_1RangeNode.html#ab845f7ed4ed85e360b730df3450d1aab',1,'tvm::RangeNode::RangeNode()'],['../classtvm_1_1RangeNode.html#a4bbc33969cb484c20306da1d2b9fa1fd',1,'tvm::RangeNode::RangeNode(PrimExpr min, PrimExpr extent, Span span=Span())'],['../classtvm_1_1RangeNode.html',1,'tvm::RangeNode']]],
-  ['ranges_3604',['ranges',['../classtvm_1_1arith_1_1IntConstraintsNode.html#ab23d4d806766c88b0df69dbfb5ebd63c',1,'tvm::arith::IntConstraintsNode']]],
-  ['rate_3605',['rate',['../structtvm_1_1relay_1_1DropoutAttrs.html#a0b5a52c24a1be53dbb122a1df9fe22af',1,'tvm::relay::DropoutAttrs']]],
-  ['ratio_3606',['ratio',['../classtvm_1_1runtime_1_1profiling_1_1RatioNode.html#ab7a6874e67a1b663463f59ba3ede162c',1,'tvm::runtime::profiling::RatioNode']]],
-  ['rationode_3607',['RatioNode',['../classtvm_1_1runtime_1_1profiling_1_1RatioNode.html#a8808b20c12329610043b3017d6118ad7',1,'tvm::runtime::profiling::RatioNode::RatioNode()'],['../classtvm_1_1runtime_1_1profiling_1_1RatioNode.html',1,'tvm::runtime::profiling::RatioNode']]],
-  ['ratios_3608',['ratios',['../structtvm_1_1relay_1_1MultiBoxPriorAttrs.html#af674c8ba5ac7021827987bca3e1e4b1a',1,'tvm::relay::MultiBoxPriorAttrs::ratios()'],['../structtvm_1_1relay_1_1ProposalAttrs.html#ad209fd15e12d634ae07ac05b63d54850',1,'tvm::relay::ProposalAttrs::ratios()']]],
-  ['ravel_5funravel_2eh_3609',['ravel_unravel.h',['../ravel__unravel_8h.html',1,'']]],
-  ['rbegin_3610',['rbegin',['../classtvm_1_1runtime_1_1Array.html#af3f2fbd0d476ae66fe526f0685b7cb6c',1,'tvm::runtime::Array']]],
-  ['read_3611',['Read',['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#a6e72ad744b4ef096599eecfb493a2ada',1,'tvm::runtime::micro_rpc::FrameBuffer::Read()'],['../structdmlc_1_1serializer_1_1Handler_3_01DLDataType_01_4.html#a98596da667d1aad2708015f5b15c35d8',1,'dmlc::serializer::Handler&lt; DLDataType &gt;::Read()'],['../structdmlc_1_1serializer_1_1Handler_3_01DLDevice_01_4.html#a19751cd9071d099600509d2daedf2c2e',1,'dmlc::serializer::Handler&lt; DLDevice &gt;::Read()']]],
-  ['read_5fbandwidth_5fbytes_5fper_5fcycle_3612',['read_bandwidth_bytes_per_cycle',['../structtvm_1_1PoolInfoNode.html#a0bdcda7767b88b67bf43320d8012b682',1,'tvm::PoolInfoNode::read_bandwidth_bytes_per_cycle()'],['../structtvm_1_1PoolInfoPropertiesNode.html#af68c3b0893a38f5732849049abc9f5dd',1,'tvm::PoolInfoPropertiesNode::read_bandwidth_bytes_per_cycle()']]],
-  ['read_5fby_3613',['read_by',['../classtvm_1_1auto__scheduler_1_1AccessAnalyzerNode.html#a6f42c7270313500162a8fb668fd45349',1,'tvm::auto_scheduler::AccessAnalyzerNode']]],
-  ['read_5ffrom_3614',['read_from',['../classtvm_1_1auto__scheduler_1_1AccessAnalyzerNode.html#a1123185e37f2be1e6917054f86517c2d',1,'tvm::auto_scheduler::AccessAnalyzerNode']]],
-  ['read_5flatency_5fcycles_3615',['read_latency_cycles',['../structtvm_1_1PoolInfoPropertiesNode.html#ad1a7d2d59d20c9a7761e548fcb6545f6',1,'tvm::PoolInfoPropertiesNode::read_latency_cycles()'],['../structtvm_1_1PoolInfoNode.html#a14108ba60cbc4404014ae13e12399182',1,'tvm::PoolInfoNode::read_latency_cycles()']]],
-  ['readat_3616',['ReadAt',['../classtvm_1_1tir_1_1ScheduleNode.html#a2f454daf29e582a65ffe361e958122df',1,'tvm::tir::ScheduleNode']]],
-  ['readavailable_3617',['ReadAvailable',['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#a3756ff0fca80f9e25576f9748e1f6db2',1,'tvm::runtime::micro_rpc::FrameBuffer']]],
-  ['reader_5fstage_5fids_3618',['reader_stage_ids',['../classtvm_1_1auto__scheduler_1_1CacheReadStepNode.html#a04685c3b15f4b7d885ac63f28a7cb3a6',1,'tvm::auto_scheduler::CacheReadStepNode']]],
-  ['readlines_3619',['ReadLines',['../classtvm_1_1auto__scheduler_1_1RecordReaderNode.html#ad32c4b46cc427da8da0524e4ca3a65b0',1,'tvm::auto_scheduler::RecordReaderNode']]],
-  ['readmeasurerecord_3620',['ReadMeasureRecord',['../namespacetvm_1_1auto__scheduler.html#a2d254ece2b7462cda8b0871749810841',1,'tvm::auto_scheduler']]],
-  ['readnext_3621',['ReadNext',['../classtvm_1_1auto__scheduler_1_1RecordReaderNode.html#a5c336433a578430972bd5bfdc5677a4a',1,'tvm::auto_scheduler::RecordReaderNode']]],
-  ['readregister_3622',['ReadRegister',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a3caf2fbd01a9160d7a68982df566d2d4',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['reads_3623',['reads',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockFrameNode.html#acf1c9ac1eec92cbf916f2177481146fb',1,'tvm::script::ir_builder::tir::BlockFrameNode::reads()'],['../classtvm_1_1tir_1_1BlockNode.html#a31f787d560277fad82ae8713e35ce960',1,'tvm::tir::BlockNode::reads()']]],
-  ['reads_3624',['Reads',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#abd510372ad7140fa5b627c50251cd6c3',1,'tvm::script::ir_builder::tir']]],
-  ['realize_3625',['Realize',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a2e665563a64bcb339d7707bd5758ae89',1,'tvm::script::ir_builder::tir::Realize()'],['../classtvm_1_1relay_1_1TempExprNode.html#a96ae81e7b4eac7ff967ff14b43aaba87',1,'tvm::relay::TempExprNode::Realize()']]],
-  ['realize_5fscope_3626',['realize_scope',['../namespacetvm_1_1tir_1_1attr.html#acf70bbdafa7344811d336422bf95cdc5',1,'tvm::tir::attr']]],
-  ['realizeframe_3627',['RealizeFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1RealizeFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['realizeframenode_3628',['RealizeFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1RealizeFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['rebase_3629',['Rebase',['../classtvm_1_1te_1_1Rebase.html#a9d58c0171fa9ebd37c42c78f40bdb1a7',1,'tvm::te::Rebase::Rebase()'],['../classtvm_1_1te_1_1Rebase.html',1,'tvm::te::Rebase']]],
-  ['rebased_3630',['rebased',['../classtvm_1_1te_1_1RebaseNode.html#a3e718d8fd2ae0f2d76b794e6dfa303f0',1,'tvm::te::RebaseNode']]],
-  ['rebasenode_3631',['RebaseNode',['../classtvm_1_1te_1_1RebaseNode.html',1,'tvm::te']]],
-  ['recclosure_3632',['RecClosure',['../classtvm_1_1relay_1_1RecClosure.html#ad00197077c4b1f91211cdef2d79f01d1',1,'tvm::relay::RecClosure::RecClosure()'],['../classtvm_1_1relay_1_1RecClosure.html',1,'tvm::relay::RecClosure']]],
-  ['recclosureobj_3633',['RecClosureObj',['../classtvm_1_1relay_1_1RecClosureObj.html#a8dded0ea6f2775cd75b697e11497c29d',1,'tvm::relay::RecClosureObj::RecClosureObj()'],['../classtvm_1_1relay_1_1RecClosureObj.html',1,'tvm::relay::RecClosureObj']]],
-  ['receiver_3634',['Receiver',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a4d068350809f475e3ffeefee0197d6c4',1,'tvm::runtime::micro_rpc::Session']]],
-  ['record_5fprefix_5fstr_3635',['record_prefix_str',['../classtvm_1_1auto__scheduler_1_1ComputeRootStepNode.html#a8579a31bec48e0a72e994f29dcfacd63',1,'tvm::auto_scheduler::ComputeRootStepNode::record_prefix_str()'],['../classtvm_1_1auto__scheduler_1_1RfactorStepNode.html#a2dfae6a07aa81480f5b9d8b695f415a6',1,'tvm::auto_scheduler::RfactorStepNode::record_prefix_str()'],['../classtvm_1_1auto__scheduler_1_1CacheWriteStepNode.html#ac94c307899e152b7803ed4d1b0938952',1,'tvm::auto_scheduler::Ca [...]
-  ['recordmismatchpaths_3636',['RecordMismatchPaths',['../classtvm_1_1SEqualReducer.html#a9d7dd06f0cb2ebf44a2e8938aae0ad7e',1,'tvm::SEqualReducer']]],
-  ['recordreader_3637',['RecordReader',['../classtvm_1_1auto__scheduler_1_1RecordReader.html#a37bd4d69ea3098678c40c1ddd474ea6e',1,'tvm::auto_scheduler::RecordReader::RecordReader()'],['../classtvm_1_1auto__scheduler_1_1RecordReader.html',1,'tvm::auto_scheduler::RecordReader']]],
-  ['recordreadernode_3638',['RecordReaderNode',['../classtvm_1_1auto__scheduler_1_1RecordReaderNode.html',1,'tvm::auto_scheduler']]],
-  ['recordtofile_3639',['RecordToFile',['../classtvm_1_1auto__scheduler_1_1RecordToFile.html#a66f2e5f70b3058a9491ffbbbd4a05f0c',1,'tvm::auto_scheduler::RecordToFile::RecordToFile()'],['../classtvm_1_1auto__scheduler_1_1RecordToFile.html',1,'tvm::auto_scheduler::RecordToFile']]],
-  ['recordtofilenode_3640',['RecordToFileNode',['../classtvm_1_1auto__scheduler_1_1RecordToFileNode.html',1,'tvm::auto_scheduler']]],
-  ['recursivelyfillspan_3641',['RecursivelyFillSpan',['../classtvm_1_1SIBuilder.html#afd50ab341a728f687cc5c4ac215ee73b',1,'tvm::SIBuilder::RecursivelyFillSpan(const T &amp;entry, const std::unordered_set&lt; T, ObjectPtrHash, ObjectPtrEqual &gt; &amp;inputs) const'],['../classtvm_1_1SIBuilder.html#a522cadf4474db45f6ec1231f22d0336f',1,'tvm::SIBuilder::RecursivelyFillSpan(const tir::Stmt &amp;entry, const std::unordered_set&lt; tir::Stmt, ObjectPtrHash, ObjectPtrEqual &gt; &amp;inputs) con [...]
-  ['redirect_5fto_3642',['redirect_to',['../classtvm_1_1relay_1_1WildcardPattern.html#ab112eb3a81c9b3ae6c38bcdc85d0f542',1,'tvm::relay::WildcardPattern']]],
-  ['reduce_3643',['Reduce',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html#a7ced54c699ab9665053615d5c6355ffa',1,'tvm::script::ir_builder::tir::axis::Reduce()'],['../classtvm_1_1tir_1_1Reduce.html#a91b058085155b5a548226bcd9feced03',1,'tvm::tir::Reduce::Reduce()'],['../classtvm_1_1tir_1_1Reduce.html',1,'tvm::tir::Reduce']]],
-  ['reduce_2eh_3644',['reduce.h',['../reduce_8h.html',1,'']]],
-  ['reduce_5faxis_3645',['reduce_axis',['../classtvm_1_1te_1_1TensorIntrinCallNode.html#a3bd0fd4dc7145a22d38d62037838ccfe',1,'tvm::te::TensorIntrinCallNode::reduce_axis()'],['../namespacetvm_1_1te.html#aae384e9b73c2271905486e4a74b69265',1,'tvm::te::reduce_axis()'],['../classtvm_1_1te_1_1BaseComputeOpNode.html#ad0df643468fc148d80afd7116abdd2ac',1,'tvm::te::BaseComputeOpNode::reduce_axis()']]],
-  ['reduce_5finit_3646',['reduce_init',['../classtvm_1_1te_1_1TensorIntrinNode.html#a63b22c1a14e6ce3bdc2900019600efee',1,'tvm::te::TensorIntrinNode']]],
-  ['reduce_5fscope_3647',['reduce_scope',['../namespacetvm_1_1tir_1_1attr.html#a50fc9633b9cfbcefa2393dbb2ece1846',1,'tvm::tir::attr']]],
-  ['reduce_5fupdate_3648',['reduce_update',['../classtvm_1_1te_1_1TensorIntrinNode.html#a8d4a891a69aec271eca1c57b16030755',1,'tvm::te::TensorIntrinNode']]],
-  ['reduceattrs_3649',['ReduceAttrs',['../structtvm_1_1relay_1_1ReduceAttrs.html',1,'tvm::relay']]],
-  ['reducenode_3650',['ReduceNode',['../classtvm_1_1tir_1_1ReduceNode.html',1,'tvm::tir']]],
-  ['reduction_3651',['reduction',['../structtvm_1_1relay_1_1NLLLossAttrs.html#a45d62f7da0c849f0737a3d73ac4ba975',1,'tvm::relay::NLLLossAttrs::reduction()'],['../structtvm_1_1relay_1_1ScatterElementsAttrs.html#a7117d5569f809ba9b9496bc41ba94119',1,'tvm::relay::ScatterElementsAttrs::reduction()']]],
-  ['reduction_2eh_3652',['reduction.h',['../cuda_2reduction_8h.html',1,'(Global Namespace)'],['../rocm_2reduction_8h.html',1,'(Global Namespace)'],['../reduction_8h.html',1,'(Global Namespace)']]],
-  ['ref_3653',['ref',['../classtvm_1_1relay_1_1RefWriteNode.html#a5db6e4ad0056f5d15ffc69f6b7bdd68a',1,'tvm::relay::RefWriteNode::ref()'],['../classtvm_1_1relay_1_1RefReadNode.html#ae61d2b27d1102f26f2300aad1ae168a7',1,'tvm::relay::RefReadNode::ref()']]],
-  ['ref_5fcounter_5f_3654',['ref_counter_',['../classtvm_1_1runtime_1_1Object.html#a0d492efee331e2239a093f4b2017c10f',1,'tvm::runtime::Object']]],
-  ['refcountertype_3655',['RefCounterType',['../classtvm_1_1runtime_1_1Object.html#a55549a6c23987890246248682560a03d',1,'tvm::runtime::Object']]],
-  ['refcreate_3656',['RefCreate',['../classtvm_1_1relay_1_1RefCreate.html#ae4d23ea5efe119f3f191162bcfeddeb2',1,'tvm::relay::RefCreate::RefCreate()'],['../classtvm_1_1relay_1_1RefCreate.html',1,'tvm::relay::RefCreate']]],
-  ['refcreatenode_3657',['RefCreateNode',['../classtvm_1_1relay_1_1RefCreateNode.html',1,'tvm::relay']]],
-  ['reference_3658',['reference',['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#a0e19189278a3986380ce021cac7ceded',1,'tvm::runtime::ReverseIterAdapter::reference()'],['../classtvm_1_1support_1_1Span_1_1iterator__base.html#aa07857ffaa49c8e3bdbc9c9287a0e5b9',1,'tvm::support::Span::iterator_base::reference()'],['../classtvm_1_1runtime_1_1IterAdapter.html#a5fe6cfc0f51ecc13f40796d626cdbfd5',1,'tvm::runtime::IterAdapter::reference()'],['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#a [...]
-  ['reflection_2eh_3659',['reflection.h',['../reflection_8h.html',1,'']]],
-  ['reflectiontrait_3660',['ReflectionTrait',['../structtvm_1_1detail_1_1ReflectionTrait.html',1,'tvm::detail']]],
-  ['reflectionvtable_3661',['ReflectionVTable',['../classtvm_1_1ReflectionVTable.html',1,'tvm']]],
-  ['refread_3662',['RefRead',['../classtvm_1_1relay_1_1RefRead.html#ae00e55b7051c34f3f2a57f4566913071',1,'tvm::relay::RefRead::RefRead()'],['../classtvm_1_1relay_1_1RefRead.html',1,'tvm::relay::RefRead']]],
-  ['refreadnode_3663',['RefReadNode',['../classtvm_1_1relay_1_1RefReadNode.html',1,'tvm::relay']]],
-  ['refvalue_3664',['RefValue',['../classtvm_1_1relay_1_1RefValue.html#a00145f9fe1eaf86bfecdbf3c2aac0b0c',1,'tvm::relay::RefValue::RefValue()'],['../classtvm_1_1relay_1_1RefValue.html',1,'tvm::relay::RefValue']]],
-  ['refvalueobj_3665',['RefValueObj',['../structtvm_1_1relay_1_1RefValueObj.html#ab78c67945509950f453e51c6f9ddeb96',1,'tvm::relay::RefValueObj::RefValueObj()'],['../structtvm_1_1relay_1_1RefValueObj.html',1,'tvm::relay::RefValueObj']]],
-  ['refwrite_3666',['RefWrite',['../classtvm_1_1relay_1_1RefWrite.html#ab6d1e69a58b59c18535ca3624ea1c89f',1,'tvm::relay::RefWrite::RefWrite()'],['../classtvm_1_1relay_1_1RefWrite.html',1,'tvm::relay::RefWrite']]],
-  ['refwritenode_3667',['RefWriteNode',['../classtvm_1_1relay_1_1RefWriteNode.html',1,'tvm::relay']]],
-  ['region_3668',['Region',['../namespacetvm_1_1tir.html#a8277e2a3d81a80a4776705673df51e0a',1,'tvm::tir']]],
-  ['region_3669',['region',['../classtvm_1_1tir_1_1BufferRegionNode.html#ae5713a8e3be26e0814ae53b573d8efd2',1,'tvm::tir::BufferRegionNode']]],
-  ['region_5fcover_3670',['region_cover',['../structtvm_1_1tir_1_1BlockInfo.html#acad11c72a22380e65695be584f294d4e',1,'tvm::tir::BlockInfo']]],
-  ['regions_3671',['regions',['../classtvm_1_1te_1_1TensorIntrinCallNode.html#a4c934a6d85bf27b3263ad0d79fb17144',1,'tvm::te::TensorIntrinCallNode']]],
-  ['register_3672',['Register',['../classtvm_1_1ReflectionVTable.html#a775a9d85f429302c05e06ddc64eb9456',1,'tvm::ReflectionVTable::Register()'],['../classtvm_1_1runtime_1_1Registry.html#a838a8aa1c2b4a444e92f5028a07aed2b',1,'tvm::runtime::Registry::Register()'],['../classtvm_1_1tir_1_1TensorIntrin.html#ab7d377d5e592ad6784972cd9f097dedf',1,'tvm::tir::TensorIntrin::Register()']]],
-  ['register_5ffile_3673',['register_file',['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html#a583dcf6c0ed3f3d2916679382c7a0775',1,'tvm::runtime::vm::VMFrame']]],
-  ['register_5ffile_5fsize_3674',['register_file_size',['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html#a5b910095e5c2826cf57793afb4f2078a',1,'tvm::runtime::vm::VMFunction']]],
-  ['register_5ffunc_3675',['register_func',['../classtvm_1_1GenericFunc.html#a2a5ef71cd38881b0ef73efa8f0b5fdc8',1,'tvm::GenericFunc']]],
-  ['registerconfigoption_3676',['RegisterConfigOption',['../classtvm_1_1transform_1_1PassContext.html#a6f1d1040cc97320414b4690203f87919',1,'tvm::transform::PassContext']]],
-  ['registergenericfunc_3677',['RegisterGenericFunc',['../classtvm_1_1GenericFunc.html#a909acecbf2f34f847a34e587a4570dce',1,'tvm::GenericFunc']]],
-  ['registerorget_3678',['RegisterOrGet',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#abaf56cccdcccab83e91c0284096d8314',1,'tvm::tir::InstructionKindRegEntry::RegisterOrGet()'],['../classtvm_1_1OpRegEntry.html#a39a4d3e7f905eb4e29ca464bcedb05bd',1,'tvm::OpRegEntry::RegisterOrGet()'],['../classtvm_1_1relay_1_1ExecutorRegEntry.html#a03347a2b68269b853a7c0399994951ef',1,'tvm::relay::ExecutorRegEntry::RegisterOrGet()'],['../classtvm_1_1relay_1_1RuntimeRegEntry.html#ae8b479159ccd8b35b75 [...]
-  ['registry_3679',['registry',['../structTVMModule.html#a6db21005b9e983207b341e65af4c4ab7',1,'TVMModule']]],
-  ['registry_3680',['Registry',['../classtvm_1_1ReflectionVTable_1_1Registry.html#ac8f4637640aa9dffed745303a4cfa827',1,'tvm::ReflectionVTable::Registry']]],
-  ['registry_3681',['registry',['../structTVMMutableFuncRegistry.html#acc1fcd6554c627c1bf3b3c00e1120e9b',1,'TVMMutableFuncRegistry']]],
-  ['registry_3682',['Registry',['../classtvm_1_1ReflectionVTable_1_1Registry.html',1,'tvm::ReflectionVTable::Registry'],['../classtvm_1_1runtime_1_1Registry.html',1,'tvm::runtime::Registry']]],
-  ['registry_2eh_3683',['registry.h',['../registry_8h.html',1,'']]],
-  ['regname_3684',['RegName',['../namespacetvm_1_1runtime_1_1vm.html#a3bbbf700719e9dc3dda2bc25210c18ae',1,'tvm::runtime::vm']]],
-  ['regularnonmaximumsuppressionattrs_3685',['RegularNonMaximumSuppressionAttrs',['../structtvm_1_1relay_1_1RegularNonMaximumSuppressionAttrs.html',1,'tvm::relay']]],
-  ['reindex_3686',['ReIndex',['../classtvm_1_1tir_1_1ScheduleNode.html#a9e36a8a0e37a76e55068dd534e28c8c5',1,'tvm::tir::ScheduleNode']]],
-  ['reindexcacheread_3687',['ReindexCacheRead',['../classtvm_1_1tir_1_1ScheduleNode.html#a2625f87c74fe0cbc95006c763bb172b3',1,'tvm::tir::ScheduleNode']]],
-  ['reindexcachewrite_3688',['ReindexCacheWrite',['../classtvm_1_1tir_1_1ScheduleNode.html#af3983a7f5d094529049d83ce22d7b729',1,'tvm::tir::ScheduleNode']]],
-  ['reinterpret_3689',['reinterpret',['../namespacetvm_1_1topi.html#a25239505894bdae140e53f4abc146f92',1,'tvm::topi::reinterpret()'],['../namespacetvm.html#a34084606675cd2c73c6b0f10e1618280',1,'tvm::reinterpret()']]],
-  ['reinterpret_3690',['Reinterpret',['../classtvm_1_1BaseValueHash.html#a5ca1332d212969bb1bf6c865d5703b39',1,'tvm::BaseValueHash']]],
-  ['reinterpret_3691',['reinterpret',['../namespacetvm_1_1tir_1_1builtin.html#a7b555bc5cca2f5e7b26c1037bc0001ce',1,'tvm::tir::builtin']]],
-  ['relations_3692',['relations',['../classtvm_1_1arith_1_1IntConstraintsNode.html#a078c29fba655311710227460312e78b5',1,'tvm::arith::IntConstraintsNode::relations()'],['../classtvm_1_1te_1_1StageNode.html#ad1c0f8dc1f0f406a2abcd05fdad8fad5',1,'tvm::te::StageNode::relations()']]],
-  ['relay_5fdebug_3693',['RELAY_DEBUG',['../relay_2base_8h.html#aa85debc943daeee5d755b1eab7c9d108',1,'base.h']]],
-  ['relay_5fdebug_5finterp_3694',['RELAY_DEBUG_INTERP',['../relay_2base_8h.html#afa058e31494092764d9108d5923b81e7',1,'base.h']]],
-  ['relay_5fdfpattern_5ffunctor_5fdispatch_3695',['RELAY_DFPATTERN_FUNCTOR_DISPATCH',['../dataflow__pattern__functor_8h.html#a6fdd29214fd88f479fb7e0835db99217',1,'dataflow_pattern_functor.h']]],
-  ['relay_5fexpr_5ffunctor_5fdispatch_3696',['RELAY_EXPR_FUNCTOR_DISPATCH',['../relay_2expr__functor_8h.html#a3276cc9ffce54170fd990719da56ce05',1,'expr_functor.h']]],
-  ['relay_5fexpr_5frewriter_5fdispatch_3697',['RELAY_EXPR_REWRITER_DISPATCH',['../relay_2expr__functor_8h.html#a24d70e15165d0bc6c640e8beb7db4e9a',1,'expr_functor.h']]],
-  ['relay_5fpattern_5ffunctor_5fdispatch_3698',['RELAY_PATTERN_FUNCTOR_DISPATCH',['../pattern__functor_8h.html#a13148fe77ea6e32c9dbeb05ddc37fa77',1,'pattern_functor.h']]],
-  ['relay_5fregister_5fop_3699',['RELAY_REGISTER_OP',['../relay_2op_8h.html#a058614533a3fb289e0e7d958e90806a8',1,'op.h']]],
-  ['relayexpr_3700',['RelayExpr',['../classtvm_1_1RelayExpr.html',1,'tvm']]],
-  ['relayexprnode_3701',['RelayExprNode',['../classtvm_1_1RelayExprNode.html',1,'tvm']]],
-  ['relaynode_3702',['RelayNode',['../classtvm_1_1relay_1_1RelayNode.html',1,'tvm::relay']]],
-  ['relayreftype_3703',['RelayRefType',['../namespacetvm_1_1relay.html#a13fd39dbc2a639262858e9b72e8fb37f',1,'tvm::relay::RelayRefType()'],['../classtvm_1_1RelayRefType.html#a36d7d0022c0bd3218269356bd368b9fd',1,'tvm::RelayRefType::RelayRefType()'],['../classtvm_1_1RelayRefType.html',1,'tvm::RelayRefType']]],
-  ['relayreftypenode_3704',['RelayRefTypeNode',['../namespacetvm_1_1relay.html#ae665239bd9119c8afb037d47781bf237',1,'tvm::relay::RelayRefTypeNode()'],['../classtvm_1_1RelayRefTypeNode.html#a23840592da71d366e7f7dbaaf42d906d',1,'tvm::RelayRefTypeNode::RelayRefTypeNode()'],['../classtvm_1_1RelayRefTypeNode.html',1,'tvm::RelayRefTypeNode']]],
-  ['relaytotirtargethook_3705',['RelayToTIRTargetHook',['../namespacetvm_1_1relay_1_1transform.html#a153ef0a87fd83343b4855b78e376bd66',1,'tvm::relay::transform']]],
-  ['relu_3706',['relu',['../namespacetvm_1_1topi.html#ae99fdff7b3aaceb091b636b8dadd4f5e',1,'tvm::topi']]],
-  ['remaining_5ftasks_5f_3707',['remaining_tasks_',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#a11935a9326ac14e4e0c41fbb38c9fccf',1,'tvm::meta_schedule::TaskSchedulerNode']]],
-  ['remap_3708',['Remap',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html#adaa90ddfa1661d0b59328e5b65a7a714',1,'tvm::script::ir_builder::tir::axis']]],
-  ['remapthreadaxis_3709',['RemapThreadAxis',['../namespacetvm_1_1tir_1_1transform.html#a25b5de58d543c6786325d87eaad83692',1,'tvm::tir::transform']]],
-  ['remove_3710',['Remove',['../classtvm_1_1IRModuleNode.html#a1350c7d68665605f9c4f10850f4a90b9',1,'tvm::IRModuleNode::Remove()'],['../classtvm_1_1runtime_1_1Registry.html#a2500263465a20b0eea77fc298f25a849',1,'tvm::runtime::Registry::Remove()']]],
-  ['remove_5fdispatch_3711',['remove_dispatch',['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#a2aa93f9f756c15e09e58b35470f8a7d2',1,'tvm::script::printer::IRDocsifierFunctor']]],
-  ['remove_5ffallback_3712',['remove_fallback',['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#a82d3a3b7ef744706df18a4696677b94e',1,'tvm::script::printer::IRDocsifierFunctor']]],
-  ['removebuildartifact_3713',['RemoveBuildArtifact',['../classtvm_1_1meta__schedule_1_1MeasureCallback.html#aefe7cc6f3c245794a1ad03b043c6eb4f',1,'tvm::meta_schedule::MeasureCallback']]],
-  ['removenoop_3714',['RemoveNoOp',['../namespacetvm_1_1tir_1_1transform.html#a8aad1159425e29be796562b2ec629b10',1,'tvm::tir::transform']]],
-  ['removerpcsessionmask_3715',['RemoveRPCSessionMask',['../namespacetvm_1_1runtime.html#af32398517b6b915361c5716f8e32c16f',1,'tvm::runtime']]],
-  ['removerv_3716',['RemoveRV',['../classtvm_1_1tir_1_1ScheduleNode.html#a70d353bb52f6fa29fedeb90a6ff872d5',1,'tvm::tir::ScheduleNode::RemoveRV(const BlockRV &amp;block_rv)=0'],['../classtvm_1_1tir_1_1ScheduleNode.html#a7c44d4f4ea662291ccb9d79383b6fefe',1,'tvm::tir::ScheduleNode::RemoveRV(const LoopRV &amp;loop_rv)=0'],['../classtvm_1_1tir_1_1ScheduleNode.html#a00fcf343d2bc8f36f170c04e5e29d2dc',1,'tvm::tir::ScheduleNode::RemoveRV(const ExprRV &amp;expr_rv)=0']]],
-  ['removestandalonereshapes_3717',['RemoveStandaloneReshapes',['../namespacetvm_1_1relay_1_1transform.html#abf8753e6152a3ce13488eea22827cac9',1,'tvm::relay::transform']]],
-  ['removeunusedfunctions_3718',['RemoveUnusedFunctions',['../namespacetvm_1_1relay_1_1transform.html#afbbf5f3e5ffb775fafb9c48473dbfa24',1,'tvm::relay::transform']]],
-  ['removevar_3719',['RemoveVar',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#ad6bbe086197861a45275303dd5f0db99',1,'tvm::script::printer::IRDocsifierNode']]],
-  ['removeweightlayoutrewriteblock_3720',['RemoveWeightLayoutRewriteBlock',['../namespacetvm_1_1tir_1_1transform.html#a889dbda25befe68a8e8999f3e8ea8dc4',1,'tvm::tir::transform']]],
-  ['renamevariables_3721',['RenameVariables',['../classtvm_1_1tir_1_1IndexMap.html#a883c6f58e4dac5926d1b05516844437b',1,'tvm::tir::IndexMap']]],
-  ['rend_3722',['rend',['../classtvm_1_1runtime_1_1Array.html#a1dda4b706346d1299cea059957e9ee70',1,'tvm::runtime::Array']]],
-  ['render_3723',['Render',['../classtvm_1_1DiagnosticRenderer.html#a186c087a55cedd9f55b56c2925f5a559',1,'tvm::DiagnosticRenderer::Render()'],['../classtvm_1_1DiagnosticContext.html#a118fc9eccb99eb0772013eca507d97eb',1,'tvm::DiagnosticContext::Render()']]],
-  ['renderer_3724',['renderer',['../classtvm_1_1DiagnosticRendererNode.html#a8cb2c50460583e5eeee486cf044adfbe',1,'tvm::DiagnosticRendererNode::renderer()'],['../classtvm_1_1DiagnosticContextNode.html#aea5532b73702d459a53ee0c358607284',1,'tvm::DiagnosticContextNode::renderer()']]],
-  ['rendererrors_3725',['RenderErrors',['../classtvm_1_1relay_1_1ErrorReporter.html#a79a887b4f7e46a0fcc2bb23ede14604c',1,'tvm::relay::ErrorReporter']]],
-  ['renewdefs_3726',['RenewDefs',['../namespacetvm_1_1tir.html#a2e639c81d1c6875ead7764ab8a7cd553',1,'tvm::tir']]],
-  ['renormalizesplitpattern_3727',['RenormalizeSplitPattern',['../namespacetvm_1_1tir_1_1transform.html#a5c670c9efcd740f2f168b62e624c8c57',1,'tvm::tir::transform']]],
-  ['reorder_3728',['Reorder',['../classtvm_1_1tir_1_1ScheduleNode.html#a059229fe0e254961da406807a97f7a3d',1,'tvm::tir::ScheduleNode']]],
-  ['reorder_3729',['reorder',['../classtvm_1_1auto__scheduler_1_1State.html#a16e95966b46977eff629a5f4f1564533',1,'tvm::auto_scheduler::State::reorder()'],['../classtvm_1_1te_1_1Stage.html#ad96cd240a92df9cafae89cdf2a7e302e',1,'tvm::te::Stage::reorder()']]],
-  ['reorderblockitervar_3730',['ReorderBlockIterVar',['../classtvm_1_1tir_1_1ScheduleNode.html#a3c3024de7f2da68069e593bb8ad64f7f',1,'tvm::tir::ScheduleNode']]],
-  ['reorderstep_3731',['ReorderStep',['../classtvm_1_1auto__scheduler_1_1ReorderStep.html#a83b9dab5f38d5a4d42c6424ba437bc10',1,'tvm::auto_scheduler::ReorderStep::ReorderStep(int stage_id, const Array&lt; Integer &gt; &amp;after_ids)'],['../classtvm_1_1auto__scheduler_1_1ReorderStep.html#a9586534afef3e0f57ab31e8374e70792',1,'tvm::auto_scheduler::ReorderStep::ReorderStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1ReorderStep.html',1,'tvm::auto_scheduler::ReorderStep']]],
-  ['reorderstepnode_3732',['ReorderStepNode',['../classtvm_1_1auto__scheduler_1_1ReorderStepNode.html',1,'tvm::auto_scheduler']]],
-  ['reorg_3733',['reorg',['../namespacetvm_1_1topi_1_1vision.html#a1014df582489005202c4218e51792314',1,'tvm::topi::vision']]],
-  ['reorg_2eh_3734',['reorg.h',['../reorg_8h.html',1,'']]],
-  ['repeat_3735',['repeat',['../namespacetvm_1_1topi.html#afe9f6d9103b2dfbc601bfd2304a4e687',1,'tvm::topi::repeat()'],['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html#a8d5523fcffda00fccd916fbebb4be00a',1,'tvm::auto_scheduler::ProgramRunnerNode::repeat()']]],
-  ['repeatattrs_3736',['RepeatAttrs',['../structtvm_1_1relay_1_1RepeatAttrs.html',1,'tvm::relay']]],
-  ['repeats_3737',['repeats',['../structtvm_1_1relay_1_1RepeatAttrs.html#ade3005a90c1ff77a1f583c4a7ba898e0',1,'tvm::relay::RepeatAttrs']]],
-  ['replace_3738',['Replace',['../classtvm_1_1tir_1_1ScheduleStateNode.html#afedb2fd6e63f79ff5efe44cf8ecbc545',1,'tvm::tir::ScheduleStateNode']]],
-  ['replaceinputs_3739',['ReplaceInputs',['../classtvm_1_1te_1_1OperationNode.html#a9a876a433cfbe32215c3802ef520016e',1,'tvm::te::OperationNode::ReplaceInputs()'],['../classtvm_1_1te_1_1ScanOpNode.html#aca04ce0320a08cbec1c71c30c968c9ab',1,'tvm::te::ScanOpNode::ReplaceInputs()'],['../classtvm_1_1te_1_1ExternOpNode.html#a24e420a11a0b08e6aa02e21732ecc3ed',1,'tvm::te::ExternOpNode::ReplaceInputs()'],['../classtvm_1_1te_1_1HybridOpNode.html#ab46e8dcafa08f1733f9f3f8ebd786100',1,'tvm::te::Hybri [...]
-  ['replayandgetdag_3740',['ReplayAndGetDAG',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#aea9cecc5764ed8cdccf29f5a294b6445',1,'tvm::auto_scheduler::ComputeDAG']]],
-  ['replayfunc_3741',['ReplayFunc',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html#a2ed7b2ef9f7236e54bf229f770fc0a87',1,'tvm::meta_schedule::SearchStrategy']]],
-  ['replaytrace_3742',['ReplayTrace',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html#a0f762ffdc65fe713f47eddb23ec331a0',1,'tvm::meta_schedule::SearchStrategy']]],
-  ['report_3743',['Report',['../classtvm_1_1relay_1_1ErrorReporter.html#a7c04a2d68dc26b002e808390a2836961',1,'tvm::relay::ErrorReporter::Report()'],['../classtvm_1_1runtime_1_1profiling_1_1Report.html#a7a0c4c91a6cb095c98faf5849cdea832',1,'tvm::runtime::profiling::Report::Report()'],['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#ada7becdefd8228af76207606a2a36c55',1,'tvm::runtime::profiling::Profiler::Report()'],['../classtvm_1_1runtime_1_1profiling_1_1Report.html',1,'tvm::runtime: [...]
-  ['reportat_3744',['ReportAt',['../classtvm_1_1relay_1_1ErrorReporter.html#aa12916de10a3656694a3d3e1f292d414',1,'tvm::relay::ErrorReporter::ReportAt(const GlobalVar &amp;global, const ObjectRef &amp;node, std::stringstream &amp;err)'],['../classtvm_1_1relay_1_1ErrorReporter.html#a6fc41a9428f0b9a71a9e0a9de8d79a66',1,'tvm::relay::ErrorReporter::ReportAt(const GlobalVar &amp;global, const ObjectRef &amp;node, const CompileError &amp;err)']]],
-  ['reportnode_3745',['ReportNode',['../classtvm_1_1runtime_1_1profiling_1_1ReportNode.html',1,'tvm::runtime::profiling']]],
-  ['repr_5fprinter_2eh_3746',['repr_printer.h',['../repr__printer_8h.html',1,'']]],
-  ['reprlegacyprinter_3747',['ReprLegacyPrinter',['../classtvm_1_1ReprLegacyPrinter.html#a8ef152b2cbea60e7658f98bad98bc21d',1,'tvm::ReprLegacyPrinter::ReprLegacyPrinter()'],['../classtvm_1_1ReprLegacyPrinter.html',1,'tvm::ReprLegacyPrinter']]],
-  ['reprprinter_3748',['ReprPrinter',['../classtvm_1_1ReprPrinter.html#a05b878a528f2dec33e28278b17ddeb6b',1,'tvm::ReprPrinter::ReprPrinter()'],['../classtvm_1_1ReprPrinter.html',1,'tvm::ReprPrinter']]],
-  ['reps_3749',['reps',['../structtvm_1_1relay_1_1TileAttrs.html#acac0351f86c23beb264227981424022e',1,'tvm::relay::TileAttrs']]],
-  ['requantizeattrs_3750',['RequantizeAttrs',['../structtvm_1_1relay_1_1qnn_1_1RequantizeAttrs.html',1,'tvm::relay::qnn']]],
-  ['require_5fblock_5fvar_5fbound_5fpredicate_3751',['require_block_var_bound_predicate',['../namespacetvm_1_1tir_1_1attr.html#af1b654a57098d16dd2d08efaa949ffe3',1,'tvm::tir::attr']]],
-  ['require_5ftype_3752',['require_type',['../classtvm_1_1relay_1_1DFPatternCallbackNode.html#acf0cbf5a96eb4c9000b770b7adbd9d32',1,'tvm::relay::DFPatternCallbackNode']]],
-  ['required_3753',['required',['../classtvm_1_1transform_1_1PassInfoNode.html#abc4fdfbc0e6db41ae6296d7b2816b534',1,'tvm::transform::PassInfoNode']]],
-  ['required_5fpass_3754',['required_pass',['../classtvm_1_1transform_1_1PassContextNode.html#a029074685b6cfcc0431098697f2bc927',1,'tvm::transform::PassContextNode']]],
-  ['reserve_3755',['reserve',['../classtvm_1_1runtime_1_1Array.html#a1a7727b86efaf35c58a5198ab1c139c8',1,'tvm::runtime::Array']]],
-  ['reserveglobalvar_3756',['ReserveGlobalVar',['../classtvm_1_1GlobalVarSupplyNode.html#a29185b94238fc62c928346a004c43b16',1,'tvm::GlobalVarSupplyNode']]],
-  ['reservename_3757',['ReserveName',['../classtvm_1_1NameSupplyNode.html#a9feb960ebeeee03fb9c5105655a8da17',1,'tvm::NameSupplyNode']]],
-  ['reset_3758',['reset',['../classtvm_1_1runtime_1_1ObjectPtr.html#ac4461465ba0e785794794e0405c96590',1,'tvm::runtime::ObjectPtr::reset()'],['../classtvm_1_1runtime_1_1NDArray.html#af2a8ccab95d432d1ecad7a389e11bcd3',1,'tvm::runtime::NDArray::reset()']]],
-  ['reset_3759',['Reset',['../classtvm_1_1tir_1_1StmtSRefNode.html#a0a81a6ea87f86ef7ebefccdb7cf378da',1,'tvm::tir::StmtSRefNode::Reset()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#a44ff9650ecca8785e33c25c369d2570a',1,'tvm::runtime::micro_rpc::Framer::Reset()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html#ae6279154fe70e9eb85937b51e70a4bf8',1,'tvm::runtime::micro_rpc::Unframer::Reset()'],['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a73b14ea360a9902c2 [...]
-  ['reset_5fattr_3760',['reset_attr',['../classtvm_1_1OpRegEntry.html#a67628f8d3d6dea5b0a47e462c06b7790',1,'tvm::OpRegEntry']]],
-  ['resetstatscounters_3761',['ResetStatsCounters',['../classtvm_1_1arith_1_1RewriteSimplifier.html#aef5b3e9793a5b459c9f457efef179e4f',1,'tvm::arith::RewriteSimplifier']]],
-  ['resetthreadpool_3762',['ResetThreadPool',['../namespacetvm_1_1runtime_1_1threading.html#aafdb21c00248ff146b614a7e888b4fd7',1,'tvm::runtime::threading']]],
-  ['reshape_3763',['reshape',['../namespacetvm_1_1topi.html#a3aad65f2505802109ba7d05359ce9005',1,'tvm::topi']]],
-  ['reshape_5ftensor_3764',['reshape_tensor',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a14372a27f134b259b569715aff8726ca',1,'tvm::runtime::vm::Instruction']]],
-  ['reshapeattrs_3765',['ReshapeAttrs',['../structtvm_1_1relay_1_1ReshapeAttrs.html',1,'tvm::relay']]],
-  ['reshapelikeattrs_3766',['ReshapeLikeAttrs',['../structtvm_1_1relay_1_1ReshapeLikeAttrs.html',1,'tvm::relay']]],
-  ['reshapetensor_3767',['ReshapeTensor',['../namespacetvm_1_1runtime_1_1vm.html#a8d8d95ce8d629c7213f2f595917870ecac6aefdb1a98b77723cb8cbd758d8bd30',1,'tvm::runtime::vm::ReshapeTensor()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a78291b55a638084d12269102ec6a2e90',1,'tvm::runtime::vm::Instruction::ReshapeTensor()']]],
-  ['reshapetensorattrs_3768',['ReshapeTensorAttrs',['../structtvm_1_1relay_1_1ReshapeTensorAttrs.html',1,'tvm::relay']]],
-  ['resize_3769',['resize',['../classtvm_1_1runtime_1_1Array.html#a8064044f8928cf3fed003507d65604c7',1,'tvm::runtime::Array']]],
-  ['resize1dattrs_3770',['Resize1DAttrs',['../structtvm_1_1relay_1_1Resize1DAttrs.html',1,'tvm::relay']]],
-  ['resize2dattrs_3771',['Resize2DAttrs',['../structtvm_1_1relay_1_1Resize2DAttrs.html',1,'tvm::relay']]],
-  ['resize3dattrs_3772',['Resize3DAttrs',['../structtvm_1_1relay_1_1Resize3DAttrs.html',1,'tvm::relay']]],
-  ['resolvedependency_3773',['ResolveDependency',['../classtvm_1_1transform_1_1SequentialNode.html#a5549edf77e0a64bd6fcb692603967b8e',1,'tvm::transform::SequentialNode']]],
-  ['result_3774',['result',['../classtvm_1_1tir_1_1CommReducerNode.html#a7030917568a088215da423fc56882814',1,'tvm::tir::CommReducerNode::result()'],['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderNode.html#ae9bab07b47a5fd7f27576cbcfddab953',1,'tvm::script::ir_builder::IRBuilderNode::result()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#ae0d33229af059c727db2abd3616660e0',1,'tvm::runtime::vm::Instruction::result()']]],
-  ['result_3775',['Result',['../classtvm_1_1meta__schedule_1_1RunnerFutureNode.html#a1b5438c21c436ce7a864487583fd32b2',1,'tvm::meta_schedule::RunnerFutureNode']]],
-  ['result_5f_3776',['result_',['../classtvm_1_1detail_1_1AttrsSEqualVisitor.html#aeda3a91f0b2d1a7a9a075828954ff77f',1,'tvm::detail::AttrsSEqualVisitor']]],
-  ['result_5ftype_3777',['result_type',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html#a24d4a3522ee6c4cdeed80dcdcc1424ad',1,'tvm::TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;::result_type()'],['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html#a82025a966ad57d3a52901f4657a89b70',1,'tvm::tir::StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;::result_type()'],['../classtvm_1_1tir_1_1ExprFunctor_3_01R_07c [...]
-  ['resulttype_3778',['ResultType',['../structtvm_1_1runtime_1_1Array_1_1ValueConverter.html#a0db77cfd8032391d76dffc88eae8e09b',1,'tvm::runtime::Array::ValueConverter']]],
-  ['ret_3779',['Ret',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a25ec217ce2afe8decb3d92c716e31c83',1,'tvm::runtime::vm::Instruction']]],
-  ['ret_3780',['ret',['../namespacetvm_1_1tir_1_1builtin.html#ae7816fdebd5d56f2145cdf371b756eb4',1,'tvm::tir::builtin']]],
-  ['ret_3781',['Ret',['../namespacetvm_1_1runtime_1_1vm.html#a8d8d95ce8d629c7213f2f595917870ecaa4228a09dd66155de8e93a39245768bd',1,'tvm::runtime::vm']]],
-  ['ret_3782',['ret',['../namespacetvm.html#a0da40d3e210aa3b38a17982a7b7866b8',1,'tvm']]],
-  ['ret_5ftype_3783',['ret_type',['../classtvm_1_1FuncTypeNode.html#a4d30bd05ee4751f963daf10f0c69036d',1,'tvm::FuncTypeNode::ret_type()'],['../structtvm_1_1relay_1_1TopKAttrs.html#a5717dfe7edcd2817fc35b2e84dc2305d',1,'tvm::relay::TopKAttrs::ret_type()'],['../classtvm_1_1relay_1_1FunctionNode.html#a075bcae369d873c24b7887eb7c96da40',1,'tvm::relay::FunctionNode::ret_type()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1PrimFuncFrameNode.html#a716400f5d324044ca6b73fe7650eb395',1,'tvm::sc [...]
-  ['ret_5fvalue_3784',['ret_value',['../structTVMPackedFunc.html#a9e4f5b78551e27db970d3e5d48f92dcf',1,'TVMPackedFunc']]],
-  ['return_5fcounts_3785',['return_counts',['../structtvm_1_1relay_1_1UniqueAttrs.html#a5ada31d79efbeb340a0cd7d5ca7c1afb',1,'tvm::relay::UniqueAttrs']]],
-  ['return_5findices_3786',['return_indices',['../structtvm_1_1relay_1_1NonMaximumSuppressionAttrs.html#a595087194062b5cce8a36479fa82716b',1,'tvm::relay::NonMaximumSuppressionAttrs']]],
-  ['return_5fregister_5f_3787',['return_register_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#afd0505002da7e7f86f82b2f3325ff127',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['return_5ftype_3788',['return_type',['../classtvm_1_1script_1_1printer_1_1FunctionDocNode.html#a4df963355e51d3604a23b916715831d9',1,'tvm::script::printer::FunctionDocNode']]],
-  ['returndoc_3789',['ReturnDoc',['../classtvm_1_1script_1_1printer_1_1ReturnDoc.html#afcf99665a7639d31b82c6cacc498a49d',1,'tvm::script::printer::ReturnDoc::ReturnDoc()'],['../classtvm_1_1script_1_1printer_1_1ReturnDoc.html',1,'tvm::script::printer::ReturnDoc']]],
-  ['returndocnode_3790',['ReturnDocNode',['../classtvm_1_1script_1_1printer_1_1ReturnDocNode.html',1,'tvm::script::printer']]],
-  ['reverse_5fiterator_3791',['reverse_iterator',['../classtvm_1_1runtime_1_1Array.html#a4886f1509998e380f032896a5afb27b9',1,'tvm::runtime::Array']]],
-  ['reverse_5fsequence_3792',['reverse_sequence',['../namespacetvm_1_1topi.html#ab8ad5eed3079de21c92a7639ed370096',1,'tvm::topi']]],
-  ['reverseattrs_3793',['ReverseAttrs',['../structtvm_1_1relay_1_1ReverseAttrs.html',1,'tvm::relay']]],
-  ['reversecomputeat_3794',['ReverseComputeAt',['../classtvm_1_1tir_1_1ScheduleNode.html#ad75e0424902b06dca23d46807a9a47d5',1,'tvm::tir::ScheduleNode']]],
-  ['reversecomputeinline_3795',['ReverseComputeInline',['../classtvm_1_1tir_1_1ScheduleNode.html#a99c902d903680da14339842dd2fd29c7',1,'tvm::tir::ScheduleNode']]],
-  ['reverseiteradapter_3796',['ReverseIterAdapter',['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#a579235eb3691b76d29b4ae5f178318ef',1,'tvm::runtime::ReverseIterAdapter::ReverseIterAdapter()'],['../classtvm_1_1runtime_1_1ReverseIterAdapter.html',1,'tvm::runtime::ReverseIterAdapter&lt; Converter, TIter &gt;']]],
-  ['reversesequenceattrs_3797',['ReverseSequenceAttrs',['../structtvm_1_1relay_1_1ReverseSequenceAttrs.html',1,'tvm::relay']]],
-  ['rewrite_3798',['Rewrite',['../classtvm_1_1relay_1_1MixedModeMutator.html#a4c93a9094db80cace013ef02e6bcd724',1,'tvm::relay::MixedModeMutator::Rewrite()'],['../classtvm_1_1relay_1_1ExprRewriter.html#a28cebb8decbe035ff95683c45f69e53b',1,'tvm::relay::ExprRewriter::Rewrite()'],['../classtvm_1_1tir_1_1IndexDataTypeNormalizer.html#afc2a6d4cb922111b859d75e3ba43c150',1,'tvm::tir::IndexDataTypeNormalizer::Rewrite()']]],
-  ['rewrite_5f_3799',['Rewrite_',['../classtvm_1_1relay_1_1ExprRewriter.html#a4a17923abf82534b9574ec74b893a907',1,'tvm::relay::ExprRewriter::Rewrite_()'],['../classtvm_1_1relay_1_1MixedModeMutator.html#a3b53908f4b8cc3708ca75892e47f0929',1,'tvm::relay::MixedModeMutator::Rewrite_(const TupleNode *pre, const Expr &amp;post)'],['../classtvm_1_1relay_1_1MixedModeMutator.html#aedab19fa2803a80d4148f83c1c4b0814',1,'tvm::relay::MixedModeMutator::Rewrite_(const CallNode *pre, const Expr &amp;post) [...]
-  ['rewrite_5fonce_3800',['rewrite_once',['../classtvm_1_1relay_1_1DFPatternCallbackNode.html#a6e4c091ba92fee08251d29633da9b8b8',1,'tvm::relay::DFPatternCallbackNode']]],
-  ['rewrite_5fsimplify_3801',['rewrite_simplify',['../classtvm_1_1arith_1_1Analyzer.html#acc86c6e8c04cb0de4ff9d78e769924b2',1,'tvm::arith::Analyzer']]],
-  ['rewriteannotatedops_3802',['RewriteAnnotatedOps',['../namespacetvm_1_1relay_1_1transform.html#a03b053f3d99d5c420ddc8492e6b987bf',1,'tvm::relay::transform::RewriteAnnotatedOps()'],['../namespacetvm_1_1relay.html#a7917e50fbb560a15995e8a617d65d1ab',1,'tvm::relay::RewriteAnnotatedOps()']]],
-  ['rewritecooperativefetch_3803',['RewriteCooperativeFetch',['../classtvm_1_1meta__schedule_1_1Postproc.html#a8a8e8e047dcdcf89ad9d96eed47c293a',1,'tvm::meta_schedule::Postproc']]],
-  ['rewriteforpretransformed_3804',['RewriteForPreTransformed',['../namespacetvm_1_1auto__scheduler.html#a3e9480be0119338696abbe9c80193b9eabe8f9c447aade4de06bdb3332885303d',1,'tvm::auto_scheduler']]],
-  ['rewritelayout_3805',['RewriteLayout',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#ae36f2943628beb48fbf9b473bb350253',1,'tvm::auto_scheduler::ComputeDAG::RewriteLayout()'],['../classtvm_1_1meta__schedule_1_1Postproc.html#a855ed7567cf6af092d19b59ceea52426',1,'tvm::meta_schedule::Postproc::RewriteLayout()']]],
-  ['rewriteparallelvectorizeunroll_3806',['RewriteParallelVectorizeUnroll',['../classtvm_1_1meta__schedule_1_1Postproc.html#ad9ba0ccb7c8c2340ce64d8b0cb4d141c',1,'tvm::meta_schedule::Postproc']]],
-  ['rewritepatterns_3807',['RewritePatterns',['../namespacetvm_1_1relay.html#ad9fd478e0f590938f8eb15e1bc45dbec',1,'tvm::relay']]],
-  ['rewritereductionblock_3808',['RewriteReductionBlock',['../classtvm_1_1meta__schedule_1_1Postproc.html#a08348595d8c50afe0167a986e034d616',1,'tvm::meta_schedule::Postproc']]],
-  ['rewritesimplifier_3809',['RewriteSimplifier',['../classtvm_1_1arith_1_1RewriteSimplifier.html',1,'tvm::arith']]],
-  ['rewritetensorize_3810',['RewriteTensorize',['../classtvm_1_1meta__schedule_1_1Postproc.html#a95db036cfced4c2575367a26a41498ff',1,'tvm::meta_schedule::Postproc']]],
-  ['rewriteunboundblock_3811',['RewriteUnboundBlock',['../classtvm_1_1meta__schedule_1_1Postproc.html#a1836b2278bc24fdc227c490896d92980',1,'tvm::meta_schedule::Postproc']]],
-  ['rewriteunsafeselect_3812',['RewriteUnsafeSelect',['../namespacetvm_1_1tir_1_1transform.html#a4fe43327c4454dd05b6e925577443f49',1,'tvm::tir::transform']]],
-  ['rfactor_3813',['rfactor',['../classtvm_1_1te_1_1Schedule.html#a34ae85add41bbed0140726d024d08862',1,'tvm::te::Schedule::rfactor()'],['../classtvm_1_1auto__scheduler_1_1State.html#a21c27b06d439267f8b981fa05c5f48a0',1,'tvm::auto_scheduler::State::rfactor()']]],
-  ['rfactor_3814',['RFactor',['../classtvm_1_1tir_1_1ScheduleNode.html#ab185c8eac1065290d84d58e7f4617232',1,'tvm::tir::ScheduleNode']]],
-  ['rfactorstep_3815',['RfactorStep',['../classtvm_1_1auto__scheduler_1_1RfactorStep.html#a26e6f85b55307f18fab4469e3bd4be0c',1,'tvm::auto_scheduler::RfactorStep::RfactorStep(int stage_id, int iter_id, int factor_iter_id)'],['../classtvm_1_1auto__scheduler_1_1RfactorStep.html#a95575c21441177634178245ab562cb4f',1,'tvm::auto_scheduler::RfactorStep::RfactorStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1RfactorStep.html',1,'tvm::auto_scheduler::RfactorStep']]],
-  ['rfactorstepnode_3816',['RfactorStepNode',['../classtvm_1_1auto__scheduler_1_1RfactorStepNode.html',1,'tvm::auto_scheduler']]],
-  ['rhs_3817',['rhs',['../classtvm_1_1relay_1_1ClauseNode.html#a93217eeea15c1f7c1a659da3da86d3bd',1,'tvm::relay::ClauseNode::rhs()'],['../classtvm_1_1script_1_1printer_1_1AssignDocNode.html#a436fcace00d445213fc367ece59c4067',1,'tvm::script::printer::AssignDocNode::rhs()'],['../classtvm_1_1tir_1_1CommReducerNode.html#a2902b0d55dd823febc6941fae9f32337',1,'tvm::tir::CommReducerNode::rhs()'],['../classtvm_1_1script_1_1printer_1_1ScopeDocNode.html#abf3636ac2820118a3d48f2fea32b2b0b',1,'tvm::sc [...]
-  ['rhs_5faxis_3818',['rhs_axis',['../structtvm_1_1relay_1_1qnn_1_1BroadcastAttrs.html#afd0dadf19b60022c3c8cc0b0f9b6c27a',1,'tvm::relay::qnn::BroadcastAttrs']]],
-  ['rhs_5fbegin_3819',['rhs_begin',['../structtvm_1_1relay_1_1ReshapeLikeAttrs.html#a8f25936511569c3ea754e9d065ccff81',1,'tvm::relay::ReshapeLikeAttrs']]],
-  ['rhs_5fend_3820',['rhs_end',['../structtvm_1_1relay_1_1ReshapeLikeAttrs.html#a6d8d5503c7880be0e179c0f54972afad',1,'tvm::relay::ReshapeLikeAttrs']]],
-  ['rhs_5fpath_3821',['rhs_path',['../classtvm_1_1ObjectPathPairNode.html#ab0b0e402bc6722eb21829a8520d0031c',1,'tvm::ObjectPathPairNode']]],
-  ['right_3822',['right',['../classtvm_1_1relay_1_1AltPatternNode.html#a01262756211205f8764d07f2372c5d36',1,'tvm::relay::AltPatternNode::right()'],['../structtvm_1_1relay_1_1SearchSortedAttrs.html#a97bbdca17a8d0657b76b892fa3b7777a',1,'tvm::relay::SearchSortedAttrs::right()']]],
-  ['right_5fshift_3823',['right_shift',['../namespacetvm.html#a98ff4361d0a24570f8dc32d03cde972a',1,'tvm::right_shift()'],['../namespacetvm_1_1topi.html#a8d155306c648c5925352eca1d7b17a60',1,'tvm::topi::right_shift(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;right_shift&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#a4eaac2e77d097d643e0fce3db96e00d0',1,'tvm::topi::right_shift(const tvm::te::Tensor &amp;A, const tvm: [...]
-  ['rms_5fnorm_3824',['rms_norm',['../namespacetvm_1_1topi_1_1nn.html#af39dd2857acc6bb37c10738a01c90778',1,'tvm::topi::nn']]],
-  ['rms_5fnorm_2eh_3825',['rms_norm.h',['../rms__norm_8h.html',1,'']]],
-  ['rocblas_2eh_3826',['rocblas.h',['../rocblas_8h.html',1,'']]],
-  ['rocblas_5fbatch_5fmatmul_3827',['rocblas_batch_matmul',['../namespacetvm_1_1topi_1_1contrib.html#abf1113dd429e1285752b48f62fe12848',1,'tvm::topi::contrib']]],
-  ['rocblas_5fmatmul_3828',['rocblas_matmul',['../namespacetvm_1_1topi_1_1contrib.html#abefad1f2ad083fc038566a9ef6278dff',1,'tvm::topi::contrib']]],
-  ['roi_3829',['roi',['../structtvm_1_1relay_1_1Resize1DAttrs.html#a99ab6ec83637788da75c2d717c40bc68',1,'tvm::relay::Resize1DAttrs::roi()'],['../structtvm_1_1relay_1_1Resize3DAttrs.html#a528fd10df78a285eeb8e72d6476f66c2',1,'tvm::relay::Resize3DAttrs::roi()'],['../structtvm_1_1relay_1_1Resize2DAttrs.html#afe8f8b7a65f4961743089b7119117d3b',1,'tvm::relay::Resize2DAttrs::roi()']]],
-  ['roialignattrs_3830',['ROIAlignAttrs',['../structtvm_1_1relay_1_1ROIAlignAttrs.html',1,'tvm::relay']]],
-  ['roipoolattrs_3831',['ROIPoolAttrs',['../structtvm_1_1relay_1_1ROIPoolAttrs.html',1,'tvm::relay']]],
-  ['rolling_5fbuffer_3832',['rolling_buffer',['../classtvm_1_1te_1_1Stage.html#aa2da6dafa58e8e7a1e251867791839d4',1,'tvm::te::Stage::rolling_buffer()'],['../classtvm_1_1te_1_1StageNode.html#a8a709edc806b64c606a12c703fab22e4',1,'tvm::te::StageNode::rolling_buffer()']]],
-  ['rolling_5fbuffer_5fscope_3833',['rolling_buffer_scope',['../namespacetvm_1_1tir_1_1attr.html#a5d0392acd85eb3cd406315d3c02eadd8',1,'tvm::tir::attr']]],
-  ['rollingbuffer_3834',['RollingBuffer',['../classtvm_1_1tir_1_1ScheduleNode.html#ab1d1f70230fa5f01d406fc122e62b190',1,'tvm::tir::ScheduleNode']]],
-  ['root_3835',['Root',['../classtvm_1_1ObjectPath.html#a21bb9513031109b9a4145fd675033f8f',1,'tvm::ObjectPath']]],
-  ['root_5falloc_5fbuffers_3836',['root_alloc_buffers',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1PrimFuncFrameNode.html#a3f9592997636143b3ad1114d8f9070cc',1,'tvm::script::ir_builder::tir::PrimFuncFrameNode']]],
-  ['root_5fiter_5fvars_3837',['root_iter_vars',['../classtvm_1_1te_1_1ScanOpNode.html#a7a2670bdbf28281b2a8d977e43bc0add',1,'tvm::te::ScanOpNode::root_iter_vars()'],['../classtvm_1_1te_1_1BaseComputeOpNode.html#aab7b5b43122ee14bb00640906267361a',1,'tvm::te::BaseComputeOpNode::root_iter_vars()'],['../classtvm_1_1te_1_1ExternOpNode.html#a4e7c08092b2941fddbc2ad5e9c9584fc',1,'tvm::te::ExternOpNode::root_iter_vars()'],['../classtvm_1_1te_1_1HybridOpNode.html#ade3fee4bd8fd9a06d27b533cc6e09ca2', [...]
-  ['rootmark_3838',['RootMark',['../classtvm_1_1tir_1_1StmtSRef.html#a755ffc34c02e5af0129c7d2eedc1cfb5',1,'tvm::tir::StmtSRef']]],
-  ['rootpath_3839',['RootPath',['../classtvm_1_1RootPath.html',1,'tvm']]],
-  ['rootpathnode_3840',['RootPathNode',['../classtvm_1_1RootPathNode.html#a1242d3ad94ca19e165c04528f7c8e24e',1,'tvm::RootPathNode::RootPathNode()'],['../classtvm_1_1RootPathNode.html',1,'tvm::RootPathNode']]],
-  ['round_3841',['round',['../namespacetvm.html#a54d9c399c82d7f384ee93f235496ab64',1,'tvm::round()'],['../namespacetvm_1_1topi.html#a11030c7cd076210bf364c6f70846de78',1,'tvm::topi::round()']]],
-  ['round_5fup_5fto_5fbyte_5falignment_3842',['round_up_to_byte_alignment',['../classtvm_1_1tir_1_1usmp_1_1algo_1_1GreedyBase.html#a3581ab0723c1ab1e74cf479c7c81a803',1,'tvm::tir::usmp::algo::GreedyBase']]],
-  ['rounding_3843',['rounding',['../structtvm_1_1relay_1_1qnn_1_1RequantizeAttrs.html#ae786b4706ed872d99ad26d6c42467f87',1,'tvm::relay::qnn::RequantizeAttrs']]],
-  ['rounding_5fmethod_3844',['rounding_method',['../structtvm_1_1relay_1_1Resize1DAttrs.html#a1133dc610787d50cc164dc9ed6319f0c',1,'tvm::relay::Resize1DAttrs::rounding_method()'],['../structtvm_1_1relay_1_1Resize2DAttrs.html#a08b8f79180e71137f2990c7123580d7a',1,'tvm::relay::Resize2DAttrs::rounding_method()'],['../structtvm_1_1relay_1_1Resize3DAttrs.html#a6e15ec45b5788ebaf2101e14e7a366fb',1,'tvm::relay::Resize3DAttrs::rounding_method()']]],
-  ['roundrobin_3845',['RoundRobin',['../classtvm_1_1meta__schedule_1_1TaskScheduler.html#add2fb132a9882d815d4dd617f18482f6',1,'tvm::meta_schedule::TaskScheduler']]],
-  ['rpcrunner_3846',['RPCRunner',['../classtvm_1_1auto__scheduler_1_1RPCRunner.html#a58f9c976e0b95dba69cf50b6fc284dca',1,'tvm::auto_scheduler::RPCRunner::RPCRunner()'],['../classtvm_1_1auto__scheduler_1_1RPCRunner.html',1,'tvm::auto_scheduler::RPCRunner']]],
-  ['rpcrunnernode_3847',['RPCRunnerNode',['../classtvm_1_1auto__scheduler_1_1RPCRunnerNode.html',1,'tvm::auto_scheduler']]],
-  ['rpcwrappedfunc_3848',['RPCWrappedFunc',['../classtvm_1_1runtime_1_1NDArray_1_1Container.html#a6ccaf80c7bc6037e59b208845b20db11',1,'tvm::runtime::NDArray::Container']]],
-  ['rpn_5fmin_5fsize_3849',['rpn_min_size',['../structtvm_1_1relay_1_1ProposalAttrs.html#abee4a0809679e2a5a4f00e07e9650b5e',1,'tvm::relay::ProposalAttrs']]],
-  ['rpn_5fpost_5fnms_5ftop_5fn_3850',['rpn_post_nms_top_n',['../structtvm_1_1relay_1_1ProposalAttrs.html#afbd367be5eda478b817075e70cc6edbc',1,'tvm::relay::ProposalAttrs']]],
-  ['rpn_5fpre_5fnms_5ftop_5fn_3851',['rpn_pre_nms_top_n',['../structtvm_1_1relay_1_1ProposalAttrs.html#a87c3a6d7d2e0225ebbab9353bc60af25',1,'tvm::relay::ProposalAttrs']]],
-  ['rr_5fpartitioner_3852',['rr_partitioner',['../namespacetvm_1_1support.html#a4dcfaef2dd891a956d23298c943a3fba',1,'tvm::support']]],
-  ['rsqrt_3853',['rsqrt',['../namespacetvm_1_1topi.html#a87781e08068ff16cbae1356a32331cdc',1,'tvm::topi::rsqrt()'],['../namespacetvm.html#a5196b8d7b5d5453e624ab22d0fefb652',1,'tvm::rsqrt()']]],
-  ['run_3854',['Run',['../classtvm_1_1meta__schedule_1_1RunnerNode.html#ae4a9caee65254c8e65f82d50b038ec7d',1,'tvm::meta_schedule::RunnerNode::Run()'],['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html#aa56cb976ad41b0d2b62a4184ee74b37f',1,'tvm::auto_scheduler::ProgramRunnerNode::Run()'],['../classtvm_1_1auto__scheduler_1_1RPCRunnerNode.html#a3f56b55d156fc2edce046ab3d6686c0b',1,'tvm::auto_scheduler::RPCRunnerNode::Run()'],['../classtvm_1_1auto__scheduler_1_1LocalRunnerNode.html#aca [...]
-  ['run_5ferror_5fcount_3855',['run_error_count',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html#a1482e1c157ec7e4d5e472125a692721a',1,'tvm::meta_schedule::TaskRecordNode']]],
-  ['run_5fsecs_3856',['run_secs',['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html#a00aeeedbe4d1d6008224d98c62739581',1,'tvm::meta_schedule::TuningRecordNode::run_secs()'],['../classtvm_1_1meta__schedule_1_1RunnerResultNode.html#a23d5a15c8d72c17c59ec4e7f2d3fabf3',1,'tvm::meta_schedule::RunnerResultNode::run_secs()']]],
-  ['runafterpass_3857',['RunAfterPass',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#a49332b9ab696afefa427b676dbaba1b0',1,'tvm::instrument::PassInstrumentNode']]],
-  ['runbeforepass_3858',['RunBeforePass',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#a4c5781489ab0113fbfcb9a61e6348b43',1,'tvm::instrument::PassInstrumentNode']]],
-  ['runcallbacks_3859',['RunCallbacks',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#a4bf8f2f816014b03ebea564d50afeba9',1,'tvm::auto_scheduler::SearchPolicyNode']]],
-  ['runloop_3860',['RunLoop',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a08164ef76393a4efb002c1ea6b8ae447',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['runner_3861',['runner',['../classtvm_1_1auto__scheduler_1_1TuningOptionsNode.html#a355d86b2c38f0827ae1b158753d1daa2',1,'tvm::auto_scheduler::TuningOptionsNode::runner()'],['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a0f7a4a84637fd0309b52f61b65bee158',1,'tvm::auto_scheduler::ProgramMeasurerNode::runner()']]],
-  ['runner_3862',['Runner',['../classtvm_1_1meta__schedule_1_1Runner.html',1,'tvm::meta_schedule']]],
-  ['runner_2eh_3863',['runner.h',['../runner_8h.html',1,'']]],
-  ['runner_5ffutures_3864',['runner_futures',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html#ad60e5f61144b13d33841c2364e2c611b',1,'tvm::meta_schedule::TaskRecordNode']]],
-  ['runnerfuture_3865',['RunnerFuture',['../classtvm_1_1meta__schedule_1_1RunnerFuture.html#a88baeedd7be0b5cc46083f75a318317f',1,'tvm::meta_schedule::RunnerFuture::RunnerFuture()'],['../classtvm_1_1meta__schedule_1_1RunnerFuture.html',1,'tvm::meta_schedule::RunnerFuture']]],
-  ['runnerfuturenode_3866',['RunnerFutureNode',['../classtvm_1_1meta__schedule_1_1RunnerFutureNode.html',1,'tvm::meta_schedule']]],
-  ['runnerinput_3867',['RunnerInput',['../classtvm_1_1meta__schedule_1_1RunnerInput.html#a46dcc62de7cbe021e381b8c0a5e6776f',1,'tvm::meta_schedule::RunnerInput::RunnerInput()'],['../classtvm_1_1meta__schedule_1_1RunnerInput.html',1,'tvm::meta_schedule::RunnerInput']]],
-  ['runnerinputnode_3868',['RunnerInputNode',['../classtvm_1_1meta__schedule_1_1RunnerInputNode.html',1,'tvm::meta_schedule']]],
-  ['runnernode_3869',['RunnerNode',['../classtvm_1_1meta__schedule_1_1RunnerNode.html',1,'tvm::meta_schedule']]],
-  ['runnerresult_3870',['RunnerResult',['../classtvm_1_1meta__schedule_1_1RunnerResult.html#ad15dac65a62952e661aa5dc639d6a958',1,'tvm::meta_schedule::RunnerResult::RunnerResult()'],['../classtvm_1_1meta__schedule_1_1RunnerResult.html',1,'tvm::meta_schedule::RunnerResult']]],
-  ['runnerresultnode_3871',['RunnerResultNode',['../classtvm_1_1meta__schedule_1_1RunnerResultNode.html',1,'tvm::meta_schedule']]],
-  ['runtime_3872',['Runtime',['../classtvm_1_1relay_1_1Runtime.html#a301dedc4aefb25ab3fd92cefcba975dd',1,'tvm::relay::Runtime::Runtime()'],['../classtvm_1_1relay_1_1RuntimeRegEntry.html#af3d14e26ba8af9e6cc5a32aad8446de7',1,'tvm::relay::RuntimeRegEntry::Runtime()'],['../classtvm_1_1relay_1_1Runtime.html',1,'tvm::relay::Runtime']]],
-  ['runtime_2eh_3873',['runtime.h',['../runtime_8h.html',1,'']]],
-  ['runtimeenabled_3874',['RuntimeEnabled',['../namespacetvm_1_1runtime.html#ae6d933eb1e7414afa9a9a62d22cd2522',1,'tvm::runtime']]],
-  ['runtimenode_3875',['RuntimeNode',['../classtvm_1_1relay_1_1RuntimeNode.html',1,'tvm::relay']]],
-  ['runtimeobject2string_3876',['RuntimeObject2String',['../namespacetvm_1_1runtime.html#a5e4682aef4c22170449389fa455ab434',1,'tvm::runtime']]],
-  ['runtimeregentry_3877',['RuntimeRegEntry',['../classtvm_1_1relay_1_1RuntimeRegEntry.html',1,'tvm::relay']]],
-  ['runtimetypeindex_3878',['RuntimeTypeIndex',['../classtvm_1_1runtime_1_1Object.html#ad94d79729ac85aa7c976e23d39066383',1,'tvm::runtime::Object']]]
+  ['inplacearraybase_3c_20smallmapnode_2c_20mapnode_3a_3akvtype_20_3e_3592',['InplaceArrayBase&lt; SmallMapNode, MapNode::KVType &gt;',['../classtvm_1_1runtime_1_1SmallMapNode.html#ac34d5957634812ed6f022af20a049c24',1,'tvm::runtime::SmallMapNode']]],
+  ['objallocatorbase_3593',['ObjAllocatorBase',['../classtvm_1_1relay_1_1LetNode.html#a6a52011a5ae07f79856556b25dd1a040',1,'tvm::relay::LetNode::ObjAllocatorBase()'],['../classtvm_1_1relay_1_1CallNode.html#a6a52011a5ae07f79856556b25dd1a040',1,'tvm::relay::CallNode::ObjAllocatorBase()']]],
+  ['packedfuncvalueconverter_3c_20primexpr_20_3e_3594',['PackedFuncValueConverter&lt; PrimExpr &gt;',['../classtvm_1_1PrimExpr.html#ae839d1eaebf8cde84eb37772af36352c',1,'tvm::PrimExpr']]],
+  ['ramp_3595',['Ramp',['../classtvm_1_1tir_1_1Ramp.html#ad5a1ca5d32edf9e016e03366381bc693',1,'tvm::tir::Ramp::Ramp()'],['../classtvm_1_1tir_1_1Ramp.html',1,'tvm::tir::Ramp']]],
+  ['rampnode_3596',['RampNode',['../classtvm_1_1tir_1_1RampNode.html',1,'tvm::tir']]],
+  ['rand_5fstate_3597',['rand_state',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#a3032995b1e84a6396b42ba74f573b6b3',1,'tvm::meta_schedule::TuneContextNode']]],
+  ['random_2eh_3598',['random.h',['../random_8h.html',1,'']]],
+  ['random_5fengine_2eh_3599',['random_engine.h',['../random__engine_8h.html',1,'']]],
+  ['random_5fnumber_5ffunc_3600',['random_number_func',['../classtvm_1_1auto__scheduler_1_1RandomModelNode.html#a983ca23a9dad4db927c2c5787bcbb34e',1,'tvm::auto_scheduler::RandomModelNode']]],
+  ['randomcomputelocation_3601',['RandomComputeLocation',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html#a1bf485537817533eaf711226f687778c',1,'tvm::meta_schedule::ScheduleRule']]],
+  ['randommodel_3602',['RandomModel',['../classtvm_1_1auto__scheduler_1_1RandomModel.html#aa456abf1dc91cbf76935189424d8954f',1,'tvm::auto_scheduler::RandomModel::RandomModel()'],['../classtvm_1_1auto__scheduler_1_1RandomModel.html#ac2b355e61135f2ff57d4f96fe2fba845',1,'tvm::auto_scheduler::RandomModel::RandomModel(::tvm::runtime::ObjectPtr&lt;::tvm::runtime::Object &gt; n)'],['../classtvm_1_1auto__scheduler_1_1RandomModel.html',1,'tvm::auto_scheduler::RandomModel']]],
+  ['randommodelnode_3603',['RandomModelNode',['../classtvm_1_1auto__scheduler_1_1RandomModelNode.html',1,'tvm::auto_scheduler']]],
+  ['range_3604',['Range',['../classtvm_1_1Range.html#a9d58cccc53897fee0c80ab1437da1f0f',1,'tvm::Range']]],
+  ['range_3605',['range',['../classtvm_1_1auto__scheduler_1_1IteratorNode.html#a2751c3164971b3154ffc506e3aebaf91',1,'tvm::auto_scheduler::IteratorNode']]],
+  ['range_3606',['Range',['../classtvm_1_1Range.html',1,'tvm']]],
+  ['rangenode_3607',['RangeNode',['../classtvm_1_1RangeNode.html#ab845f7ed4ed85e360b730df3450d1aab',1,'tvm::RangeNode::RangeNode()'],['../classtvm_1_1RangeNode.html#a4bbc33969cb484c20306da1d2b9fa1fd',1,'tvm::RangeNode::RangeNode(PrimExpr min, PrimExpr extent, Span span=Span())'],['../classtvm_1_1RangeNode.html',1,'tvm::RangeNode']]],
+  ['ranges_3608',['ranges',['../classtvm_1_1arith_1_1IntConstraintsNode.html#ab23d4d806766c88b0df69dbfb5ebd63c',1,'tvm::arith::IntConstraintsNode']]],
+  ['rate_3609',['rate',['../structtvm_1_1relay_1_1DropoutAttrs.html#a0b5a52c24a1be53dbb122a1df9fe22af',1,'tvm::relay::DropoutAttrs']]],
+  ['ratio_3610',['ratio',['../classtvm_1_1runtime_1_1profiling_1_1RatioNode.html#ab7a6874e67a1b663463f59ba3ede162c',1,'tvm::runtime::profiling::RatioNode']]],
+  ['rationode_3611',['RatioNode',['../classtvm_1_1runtime_1_1profiling_1_1RatioNode.html#a8808b20c12329610043b3017d6118ad7',1,'tvm::runtime::profiling::RatioNode::RatioNode()'],['../classtvm_1_1runtime_1_1profiling_1_1RatioNode.html',1,'tvm::runtime::profiling::RatioNode']]],
+  ['ratios_3612',['ratios',['../structtvm_1_1relay_1_1MultiBoxPriorAttrs.html#af674c8ba5ac7021827987bca3e1e4b1a',1,'tvm::relay::MultiBoxPriorAttrs::ratios()'],['../structtvm_1_1relay_1_1ProposalAttrs.html#ad209fd15e12d634ae07ac05b63d54850',1,'tvm::relay::ProposalAttrs::ratios()']]],
+  ['ravel_5funravel_2eh_3613',['ravel_unravel.h',['../ravel__unravel_8h.html',1,'']]],
+  ['rbegin_3614',['rbegin',['../classtvm_1_1runtime_1_1Array.html#af3f2fbd0d476ae66fe526f0685b7cb6c',1,'tvm::runtime::Array']]],
+  ['read_3615',['Read',['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#a6e72ad744b4ef096599eecfb493a2ada',1,'tvm::runtime::micro_rpc::FrameBuffer::Read()'],['../structdmlc_1_1serializer_1_1Handler_3_01DLDataType_01_4.html#a98596da667d1aad2708015f5b15c35d8',1,'dmlc::serializer::Handler&lt; DLDataType &gt;::Read()'],['../structdmlc_1_1serializer_1_1Handler_3_01DLDevice_01_4.html#a19751cd9071d099600509d2daedf2c2e',1,'dmlc::serializer::Handler&lt; DLDevice &gt;::Read()']]],
+  ['read_5fbandwidth_5fbytes_5fper_5fcycle_3616',['read_bandwidth_bytes_per_cycle',['../structtvm_1_1PoolInfoNode.html#a0bdcda7767b88b67bf43320d8012b682',1,'tvm::PoolInfoNode::read_bandwidth_bytes_per_cycle()'],['../structtvm_1_1PoolInfoPropertiesNode.html#af68c3b0893a38f5732849049abc9f5dd',1,'tvm::PoolInfoPropertiesNode::read_bandwidth_bytes_per_cycle()']]],
+  ['read_5fby_3617',['read_by',['../classtvm_1_1auto__scheduler_1_1AccessAnalyzerNode.html#a6f42c7270313500162a8fb668fd45349',1,'tvm::auto_scheduler::AccessAnalyzerNode']]],
+  ['read_5ffrom_3618',['read_from',['../classtvm_1_1auto__scheduler_1_1AccessAnalyzerNode.html#a1123185e37f2be1e6917054f86517c2d',1,'tvm::auto_scheduler::AccessAnalyzerNode']]],
+  ['read_5flatency_5fcycles_3619',['read_latency_cycles',['../structtvm_1_1PoolInfoPropertiesNode.html#ad1a7d2d59d20c9a7761e548fcb6545f6',1,'tvm::PoolInfoPropertiesNode::read_latency_cycles()'],['../structtvm_1_1PoolInfoNode.html#a14108ba60cbc4404014ae13e12399182',1,'tvm::PoolInfoNode::read_latency_cycles()']]],
+  ['readat_3620',['ReadAt',['../classtvm_1_1tir_1_1ScheduleNode.html#a2f454daf29e582a65ffe361e958122df',1,'tvm::tir::ScheduleNode']]],
+  ['readavailable_3621',['ReadAvailable',['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#a3756ff0fca80f9e25576f9748e1f6db2',1,'tvm::runtime::micro_rpc::FrameBuffer']]],
+  ['reader_5fstage_5fids_3622',['reader_stage_ids',['../classtvm_1_1auto__scheduler_1_1CacheReadStepNode.html#a04685c3b15f4b7d885ac63f28a7cb3a6',1,'tvm::auto_scheduler::CacheReadStepNode']]],
+  ['readlines_3623',['ReadLines',['../classtvm_1_1auto__scheduler_1_1RecordReaderNode.html#ad32c4b46cc427da8da0524e4ca3a65b0',1,'tvm::auto_scheduler::RecordReaderNode']]],
+  ['readmeasurerecord_3624',['ReadMeasureRecord',['../namespacetvm_1_1auto__scheduler.html#a2d254ece2b7462cda8b0871749810841',1,'tvm::auto_scheduler']]],
+  ['readnext_3625',['ReadNext',['../classtvm_1_1auto__scheduler_1_1RecordReaderNode.html#a5c336433a578430972bd5bfdc5677a4a',1,'tvm::auto_scheduler::RecordReaderNode']]],
+  ['readregister_3626',['ReadRegister',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a3caf2fbd01a9160d7a68982df566d2d4',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['reads_3627',['reads',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockFrameNode.html#acf1c9ac1eec92cbf916f2177481146fb',1,'tvm::script::ir_builder::tir::BlockFrameNode::reads()'],['../classtvm_1_1tir_1_1BlockNode.html#a31f787d560277fad82ae8713e35ce960',1,'tvm::tir::BlockNode::reads()']]],
+  ['reads_3628',['Reads',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#abd510372ad7140fa5b627c50251cd6c3',1,'tvm::script::ir_builder::tir']]],
+  ['realize_3629',['Realize',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a2e665563a64bcb339d7707bd5758ae89',1,'tvm::script::ir_builder::tir::Realize()'],['../classtvm_1_1relay_1_1TempExprNode.html#a96ae81e7b4eac7ff967ff14b43aaba87',1,'tvm::relay::TempExprNode::Realize()']]],
+  ['realize_5fscope_3630',['realize_scope',['../namespacetvm_1_1tir_1_1attr.html#acf70bbdafa7344811d336422bf95cdc5',1,'tvm::tir::attr']]],
+  ['realizeframe_3631',['RealizeFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1RealizeFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['realizeframenode_3632',['RealizeFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1RealizeFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['rebase_3633',['Rebase',['../classtvm_1_1te_1_1Rebase.html#a9d58c0171fa9ebd37c42c78f40bdb1a7',1,'tvm::te::Rebase::Rebase()'],['../classtvm_1_1te_1_1Rebase.html',1,'tvm::te::Rebase']]],
+  ['rebased_3634',['rebased',['../classtvm_1_1te_1_1RebaseNode.html#a3e718d8fd2ae0f2d76b794e6dfa303f0',1,'tvm::te::RebaseNode']]],
+  ['rebasenode_3635',['RebaseNode',['../classtvm_1_1te_1_1RebaseNode.html',1,'tvm::te']]],
+  ['recclosure_3636',['RecClosure',['../classtvm_1_1relay_1_1RecClosure.html#ad00197077c4b1f91211cdef2d79f01d1',1,'tvm::relay::RecClosure::RecClosure()'],['../classtvm_1_1relay_1_1RecClosure.html',1,'tvm::relay::RecClosure']]],
+  ['recclosureobj_3637',['RecClosureObj',['../classtvm_1_1relay_1_1RecClosureObj.html#a8dded0ea6f2775cd75b697e11497c29d',1,'tvm::relay::RecClosureObj::RecClosureObj()'],['../classtvm_1_1relay_1_1RecClosureObj.html',1,'tvm::relay::RecClosureObj']]],
+  ['receiver_3638',['Receiver',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a4d068350809f475e3ffeefee0197d6c4',1,'tvm::runtime::micro_rpc::Session']]],
+  ['record_5fprefix_5fstr_3639',['record_prefix_str',['../classtvm_1_1auto__scheduler_1_1ComputeRootStepNode.html#a8579a31bec48e0a72e994f29dcfacd63',1,'tvm::auto_scheduler::ComputeRootStepNode::record_prefix_str()'],['../classtvm_1_1auto__scheduler_1_1RfactorStepNode.html#a2dfae6a07aa81480f5b9d8b695f415a6',1,'tvm::auto_scheduler::RfactorStepNode::record_prefix_str()'],['../classtvm_1_1auto__scheduler_1_1CacheWriteStepNode.html#ac94c307899e152b7803ed4d1b0938952',1,'tvm::auto_scheduler::Ca [...]
+  ['recordmismatchpaths_3640',['RecordMismatchPaths',['../classtvm_1_1SEqualReducer.html#a9d7dd06f0cb2ebf44a2e8938aae0ad7e',1,'tvm::SEqualReducer']]],
+  ['recordreader_3641',['RecordReader',['../classtvm_1_1auto__scheduler_1_1RecordReader.html#a37bd4d69ea3098678c40c1ddd474ea6e',1,'tvm::auto_scheduler::RecordReader::RecordReader()'],['../classtvm_1_1auto__scheduler_1_1RecordReader.html',1,'tvm::auto_scheduler::RecordReader']]],
+  ['recordreadernode_3642',['RecordReaderNode',['../classtvm_1_1auto__scheduler_1_1RecordReaderNode.html',1,'tvm::auto_scheduler']]],
+  ['recordtofile_3643',['RecordToFile',['../classtvm_1_1auto__scheduler_1_1RecordToFile.html#a66f2e5f70b3058a9491ffbbbd4a05f0c',1,'tvm::auto_scheduler::RecordToFile::RecordToFile()'],['../classtvm_1_1auto__scheduler_1_1RecordToFile.html',1,'tvm::auto_scheduler::RecordToFile']]],
+  ['recordtofilenode_3644',['RecordToFileNode',['../classtvm_1_1auto__scheduler_1_1RecordToFileNode.html',1,'tvm::auto_scheduler']]],
+  ['recursivelyfillspan_3645',['RecursivelyFillSpan',['../classtvm_1_1SIBuilder.html#afd50ab341a728f687cc5c4ac215ee73b',1,'tvm::SIBuilder::RecursivelyFillSpan(const T &amp;entry, const std::unordered_set&lt; T, ObjectPtrHash, ObjectPtrEqual &gt; &amp;inputs) const'],['../classtvm_1_1SIBuilder.html#a522cadf4474db45f6ec1231f22d0336f',1,'tvm::SIBuilder::RecursivelyFillSpan(const tir::Stmt &amp;entry, const std::unordered_set&lt; tir::Stmt, ObjectPtrHash, ObjectPtrEqual &gt; &amp;inputs) con [...]
+  ['redirect_5fto_3646',['redirect_to',['../classtvm_1_1relay_1_1WildcardPattern.html#ab112eb3a81c9b3ae6c38bcdc85d0f542',1,'tvm::relay::WildcardPattern']]],
+  ['reduce_3647',['Reduce',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html#a7ced54c699ab9665053615d5c6355ffa',1,'tvm::script::ir_builder::tir::axis::Reduce()'],['../classtvm_1_1tir_1_1Reduce.html#a91b058085155b5a548226bcd9feced03',1,'tvm::tir::Reduce::Reduce()'],['../classtvm_1_1tir_1_1Reduce.html',1,'tvm::tir::Reduce']]],
+  ['reduce_2eh_3648',['reduce.h',['../reduce_8h.html',1,'']]],
+  ['reduce_5faxis_3649',['reduce_axis',['../classtvm_1_1te_1_1TensorIntrinCallNode.html#a3bd0fd4dc7145a22d38d62037838ccfe',1,'tvm::te::TensorIntrinCallNode::reduce_axis()'],['../namespacetvm_1_1te.html#aae384e9b73c2271905486e4a74b69265',1,'tvm::te::reduce_axis()'],['../classtvm_1_1te_1_1BaseComputeOpNode.html#ad0df643468fc148d80afd7116abdd2ac',1,'tvm::te::BaseComputeOpNode::reduce_axis()']]],
+  ['reduce_5finit_3650',['reduce_init',['../classtvm_1_1te_1_1TensorIntrinNode.html#a63b22c1a14e6ce3bdc2900019600efee',1,'tvm::te::TensorIntrinNode']]],
+  ['reduce_5fscope_3651',['reduce_scope',['../namespacetvm_1_1tir_1_1attr.html#a50fc9633b9cfbcefa2393dbb2ece1846',1,'tvm::tir::attr']]],
+  ['reduce_5fupdate_3652',['reduce_update',['../classtvm_1_1te_1_1TensorIntrinNode.html#a8d4a891a69aec271eca1c57b16030755',1,'tvm::te::TensorIntrinNode']]],
+  ['reduceattrs_3653',['ReduceAttrs',['../structtvm_1_1relay_1_1ReduceAttrs.html',1,'tvm::relay']]],
+  ['reducenode_3654',['ReduceNode',['../classtvm_1_1tir_1_1ReduceNode.html',1,'tvm::tir']]],
+  ['reduction_3655',['reduction',['../structtvm_1_1relay_1_1NLLLossAttrs.html#a45d62f7da0c849f0737a3d73ac4ba975',1,'tvm::relay::NLLLossAttrs::reduction()'],['../structtvm_1_1relay_1_1ScatterElementsAttrs.html#a7117d5569f809ba9b9496bc41ba94119',1,'tvm::relay::ScatterElementsAttrs::reduction()']]],
+  ['reduction_2eh_3656',['reduction.h',['../cuda_2reduction_8h.html',1,'(Global Namespace)'],['../rocm_2reduction_8h.html',1,'(Global Namespace)'],['../reduction_8h.html',1,'(Global Namespace)']]],
+  ['ref_3657',['ref',['../classtvm_1_1relay_1_1RefWriteNode.html#a5db6e4ad0056f5d15ffc69f6b7bdd68a',1,'tvm::relay::RefWriteNode::ref()'],['../classtvm_1_1relay_1_1RefReadNode.html#ae61d2b27d1102f26f2300aad1ae168a7',1,'tvm::relay::RefReadNode::ref()']]],
+  ['ref_5fcounter_5f_3658',['ref_counter_',['../classtvm_1_1runtime_1_1Object.html#a0d492efee331e2239a093f4b2017c10f',1,'tvm::runtime::Object']]],
+  ['refcountertype_3659',['RefCounterType',['../classtvm_1_1runtime_1_1Object.html#a55549a6c23987890246248682560a03d',1,'tvm::runtime::Object']]],
+  ['refcreate_3660',['RefCreate',['../classtvm_1_1relay_1_1RefCreate.html#ae4d23ea5efe119f3f191162bcfeddeb2',1,'tvm::relay::RefCreate::RefCreate()'],['../classtvm_1_1relay_1_1RefCreate.html',1,'tvm::relay::RefCreate']]],
+  ['refcreatenode_3661',['RefCreateNode',['../classtvm_1_1relay_1_1RefCreateNode.html',1,'tvm::relay']]],
+  ['reference_3662',['reference',['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#a0e19189278a3986380ce021cac7ceded',1,'tvm::runtime::ReverseIterAdapter::reference()'],['../classtvm_1_1support_1_1Span_1_1iterator__base.html#aa07857ffaa49c8e3bdbc9c9287a0e5b9',1,'tvm::support::Span::iterator_base::reference()'],['../classtvm_1_1runtime_1_1IterAdapter.html#a5fe6cfc0f51ecc13f40796d626cdbfd5',1,'tvm::runtime::IterAdapter::reference()'],['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#a [...]
+  ['reflection_2eh_3663',['reflection.h',['../reflection_8h.html',1,'']]],
+  ['reflectiontrait_3664',['ReflectionTrait',['../structtvm_1_1detail_1_1ReflectionTrait.html',1,'tvm::detail']]],
+  ['reflectionvtable_3665',['ReflectionVTable',['../classtvm_1_1ReflectionVTable.html',1,'tvm']]],
+  ['refread_3666',['RefRead',['../classtvm_1_1relay_1_1RefRead.html#ae00e55b7051c34f3f2a57f4566913071',1,'tvm::relay::RefRead::RefRead()'],['../classtvm_1_1relay_1_1RefRead.html',1,'tvm::relay::RefRead']]],
+  ['refreadnode_3667',['RefReadNode',['../classtvm_1_1relay_1_1RefReadNode.html',1,'tvm::relay']]],
+  ['refvalue_3668',['RefValue',['../classtvm_1_1relay_1_1RefValue.html#a00145f9fe1eaf86bfecdbf3c2aac0b0c',1,'tvm::relay::RefValue::RefValue()'],['../classtvm_1_1relay_1_1RefValue.html',1,'tvm::relay::RefValue']]],
+  ['refvalueobj_3669',['RefValueObj',['../structtvm_1_1relay_1_1RefValueObj.html#ab78c67945509950f453e51c6f9ddeb96',1,'tvm::relay::RefValueObj::RefValueObj()'],['../structtvm_1_1relay_1_1RefValueObj.html',1,'tvm::relay::RefValueObj']]],
+  ['refwrite_3670',['RefWrite',['../classtvm_1_1relay_1_1RefWrite.html#ab6d1e69a58b59c18535ca3624ea1c89f',1,'tvm::relay::RefWrite::RefWrite()'],['../classtvm_1_1relay_1_1RefWrite.html',1,'tvm::relay::RefWrite']]],
+  ['refwritenode_3671',['RefWriteNode',['../classtvm_1_1relay_1_1RefWriteNode.html',1,'tvm::relay']]],
+  ['region_3672',['Region',['../namespacetvm_1_1tir.html#a8277e2a3d81a80a4776705673df51e0a',1,'tvm::tir']]],
+  ['region_3673',['region',['../classtvm_1_1tir_1_1BufferRegionNode.html#ae5713a8e3be26e0814ae53b573d8efd2',1,'tvm::tir::BufferRegionNode']]],
+  ['region_5fcover_3674',['region_cover',['../structtvm_1_1tir_1_1BlockInfo.html#acad11c72a22380e65695be584f294d4e',1,'tvm::tir::BlockInfo']]],
+  ['regions_3675',['regions',['../classtvm_1_1te_1_1TensorIntrinCallNode.html#a4c934a6d85bf27b3263ad0d79fb17144',1,'tvm::te::TensorIntrinCallNode']]],
+  ['register_3676',['Register',['../classtvm_1_1ReflectionVTable.html#a775a9d85f429302c05e06ddc64eb9456',1,'tvm::ReflectionVTable::Register()'],['../classtvm_1_1runtime_1_1Registry.html#a838a8aa1c2b4a444e92f5028a07aed2b',1,'tvm::runtime::Registry::Register()'],['../classtvm_1_1tir_1_1TensorIntrin.html#ab7d377d5e592ad6784972cd9f097dedf',1,'tvm::tir::TensorIntrin::Register()']]],
+  ['register_5ffile_3677',['register_file',['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html#a583dcf6c0ed3f3d2916679382c7a0775',1,'tvm::runtime::vm::VMFrame']]],
+  ['register_5ffile_5fsize_3678',['register_file_size',['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html#a5b910095e5c2826cf57793afb4f2078a',1,'tvm::runtime::vm::VMFunction']]],
+  ['register_5ffunc_3679',['register_func',['../classtvm_1_1GenericFunc.html#a2a5ef71cd38881b0ef73efa8f0b5fdc8',1,'tvm::GenericFunc']]],
+  ['registerconfigoption_3680',['RegisterConfigOption',['../classtvm_1_1transform_1_1PassContext.html#a6f1d1040cc97320414b4690203f87919',1,'tvm::transform::PassContext']]],
+  ['registergenericfunc_3681',['RegisterGenericFunc',['../classtvm_1_1GenericFunc.html#a909acecbf2f34f847a34e587a4570dce',1,'tvm::GenericFunc']]],
+  ['registerorget_3682',['RegisterOrGet',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#abaf56cccdcccab83e91c0284096d8314',1,'tvm::tir::InstructionKindRegEntry::RegisterOrGet()'],['../classtvm_1_1OpRegEntry.html#a39a4d3e7f905eb4e29ca464bcedb05bd',1,'tvm::OpRegEntry::RegisterOrGet()'],['../classtvm_1_1relay_1_1ExecutorRegEntry.html#a03347a2b68269b853a7c0399994951ef',1,'tvm::relay::ExecutorRegEntry::RegisterOrGet()'],['../classtvm_1_1relay_1_1RuntimeRegEntry.html#ae8b479159ccd8b35b75 [...]
+  ['registry_3683',['registry',['../structTVMModule.html#a6db21005b9e983207b341e65af4c4ab7',1,'TVMModule']]],
+  ['registry_3684',['Registry',['../classtvm_1_1ReflectionVTable_1_1Registry.html#ac8f4637640aa9dffed745303a4cfa827',1,'tvm::ReflectionVTable::Registry']]],
+  ['registry_3685',['registry',['../structTVMMutableFuncRegistry.html#acc1fcd6554c627c1bf3b3c00e1120e9b',1,'TVMMutableFuncRegistry']]],
+  ['registry_3686',['Registry',['../classtvm_1_1ReflectionVTable_1_1Registry.html',1,'tvm::ReflectionVTable::Registry'],['../classtvm_1_1runtime_1_1Registry.html',1,'tvm::runtime::Registry']]],
+  ['registry_2eh_3687',['registry.h',['../registry_8h.html',1,'']]],
+  ['regname_3688',['RegName',['../namespacetvm_1_1runtime_1_1vm.html#a3bbbf700719e9dc3dda2bc25210c18ae',1,'tvm::runtime::vm']]],
+  ['regularnonmaximumsuppressionattrs_3689',['RegularNonMaximumSuppressionAttrs',['../structtvm_1_1relay_1_1RegularNonMaximumSuppressionAttrs.html',1,'tvm::relay']]],
+  ['reindex_3690',['ReIndex',['../classtvm_1_1tir_1_1ScheduleNode.html#a9e36a8a0e37a76e55068dd534e28c8c5',1,'tvm::tir::ScheduleNode']]],
+  ['reindexcacheread_3691',['ReindexCacheRead',['../classtvm_1_1tir_1_1ScheduleNode.html#a2625f87c74fe0cbc95006c763bb172b3',1,'tvm::tir::ScheduleNode']]],
+  ['reindexcachewrite_3692',['ReindexCacheWrite',['../classtvm_1_1tir_1_1ScheduleNode.html#af3983a7f5d094529049d83ce22d7b729',1,'tvm::tir::ScheduleNode']]],
+  ['reinterpret_3693',['reinterpret',['../namespacetvm_1_1topi.html#a25239505894bdae140e53f4abc146f92',1,'tvm::topi::reinterpret()'],['../namespacetvm.html#a34084606675cd2c73c6b0f10e1618280',1,'tvm::reinterpret()']]],
+  ['reinterpret_3694',['Reinterpret',['../classtvm_1_1BaseValueHash.html#a5ca1332d212969bb1bf6c865d5703b39',1,'tvm::BaseValueHash']]],
+  ['reinterpret_3695',['reinterpret',['../namespacetvm_1_1tir_1_1builtin.html#a7b555bc5cca2f5e7b26c1037bc0001ce',1,'tvm::tir::builtin']]],
+  ['relations_3696',['relations',['../classtvm_1_1arith_1_1IntConstraintsNode.html#a078c29fba655311710227460312e78b5',1,'tvm::arith::IntConstraintsNode::relations()'],['../classtvm_1_1te_1_1StageNode.html#ad1c0f8dc1f0f406a2abcd05fdad8fad5',1,'tvm::te::StageNode::relations()']]],
+  ['relay_5fdebug_3697',['RELAY_DEBUG',['../relay_2base_8h.html#aa85debc943daeee5d755b1eab7c9d108',1,'base.h']]],
+  ['relay_5fdebug_5finterp_3698',['RELAY_DEBUG_INTERP',['../relay_2base_8h.html#afa058e31494092764d9108d5923b81e7',1,'base.h']]],
+  ['relay_5fdfpattern_5ffunctor_5fdispatch_3699',['RELAY_DFPATTERN_FUNCTOR_DISPATCH',['../dataflow__pattern__functor_8h.html#a6fdd29214fd88f479fb7e0835db99217',1,'dataflow_pattern_functor.h']]],
+  ['relay_5fexpr_5ffunctor_5fdispatch_3700',['RELAY_EXPR_FUNCTOR_DISPATCH',['../relay_2expr__functor_8h.html#a3276cc9ffce54170fd990719da56ce05',1,'expr_functor.h']]],
+  ['relay_5fexpr_5frewriter_5fdispatch_3701',['RELAY_EXPR_REWRITER_DISPATCH',['../relay_2expr__functor_8h.html#a24d70e15165d0bc6c640e8beb7db4e9a',1,'expr_functor.h']]],
+  ['relay_5fpattern_5ffunctor_5fdispatch_3702',['RELAY_PATTERN_FUNCTOR_DISPATCH',['../pattern__functor_8h.html#a13148fe77ea6e32c9dbeb05ddc37fa77',1,'pattern_functor.h']]],
+  ['relay_5fregister_5fop_3703',['RELAY_REGISTER_OP',['../relay_2op_8h.html#a058614533a3fb289e0e7d958e90806a8',1,'op.h']]],
+  ['relayexpr_3704',['RelayExpr',['../classtvm_1_1RelayExpr.html',1,'tvm']]],
+  ['relayexprnode_3705',['RelayExprNode',['../classtvm_1_1RelayExprNode.html',1,'tvm']]],
+  ['relaynode_3706',['RelayNode',['../classtvm_1_1relay_1_1RelayNode.html',1,'tvm::relay']]],
+  ['relayreftype_3707',['RelayRefType',['../namespacetvm_1_1relay.html#a13fd39dbc2a639262858e9b72e8fb37f',1,'tvm::relay::RelayRefType()'],['../classtvm_1_1RelayRefType.html#a36d7d0022c0bd3218269356bd368b9fd',1,'tvm::RelayRefType::RelayRefType()'],['../classtvm_1_1RelayRefType.html',1,'tvm::RelayRefType']]],
+  ['relayreftypenode_3708',['RelayRefTypeNode',['../namespacetvm_1_1relay.html#ae665239bd9119c8afb037d47781bf237',1,'tvm::relay::RelayRefTypeNode()'],['../classtvm_1_1RelayRefTypeNode.html#a23840592da71d366e7f7dbaaf42d906d',1,'tvm::RelayRefTypeNode::RelayRefTypeNode()'],['../classtvm_1_1RelayRefTypeNode.html',1,'tvm::RelayRefTypeNode']]],
+  ['relaytotirtargethook_3709',['RelayToTIRTargetHook',['../namespacetvm_1_1relay_1_1transform.html#a153ef0a87fd83343b4855b78e376bd66',1,'tvm::relay::transform']]],
+  ['relu_3710',['relu',['../namespacetvm_1_1topi.html#ae99fdff7b3aaceb091b636b8dadd4f5e',1,'tvm::topi']]],
+  ['remaining_5ftasks_5f_3711',['remaining_tasks_',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#a11935a9326ac14e4e0c41fbb38c9fccf',1,'tvm::meta_schedule::TaskSchedulerNode']]],
+  ['remap_3712',['Remap',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html#adaa90ddfa1661d0b59328e5b65a7a714',1,'tvm::script::ir_builder::tir::axis']]],
+  ['remapthreadaxis_3713',['RemapThreadAxis',['../namespacetvm_1_1tir_1_1transform.html#a25b5de58d543c6786325d87eaad83692',1,'tvm::tir::transform']]],
+  ['remove_3714',['Remove',['../classtvm_1_1IRModuleNode.html#a1350c7d68665605f9c4f10850f4a90b9',1,'tvm::IRModuleNode::Remove()'],['../classtvm_1_1runtime_1_1Registry.html#a2500263465a20b0eea77fc298f25a849',1,'tvm::runtime::Registry::Remove()']]],
+  ['remove_5fdispatch_3715',['remove_dispatch',['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#a2aa93f9f756c15e09e58b35470f8a7d2',1,'tvm::script::printer::IRDocsifierFunctor']]],
+  ['remove_5ffallback_3716',['remove_fallback',['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#a82d3a3b7ef744706df18a4696677b94e',1,'tvm::script::printer::IRDocsifierFunctor']]],
+  ['removebuildartifact_3717',['RemoveBuildArtifact',['../classtvm_1_1meta__schedule_1_1MeasureCallback.html#aefe7cc6f3c245794a1ad03b043c6eb4f',1,'tvm::meta_schedule::MeasureCallback']]],
+  ['removenoop_3718',['RemoveNoOp',['../namespacetvm_1_1tir_1_1transform.html#a8aad1159425e29be796562b2ec629b10',1,'tvm::tir::transform']]],
+  ['removerpcsessionmask_3719',['RemoveRPCSessionMask',['../namespacetvm_1_1runtime.html#af32398517b6b915361c5716f8e32c16f',1,'tvm::runtime']]],
+  ['removerv_3720',['RemoveRV',['../classtvm_1_1tir_1_1ScheduleNode.html#a70d353bb52f6fa29fedeb90a6ff872d5',1,'tvm::tir::ScheduleNode::RemoveRV(const BlockRV &amp;block_rv)=0'],['../classtvm_1_1tir_1_1ScheduleNode.html#a7c44d4f4ea662291ccb9d79383b6fefe',1,'tvm::tir::ScheduleNode::RemoveRV(const LoopRV &amp;loop_rv)=0'],['../classtvm_1_1tir_1_1ScheduleNode.html#a00fcf343d2bc8f36f170c04e5e29d2dc',1,'tvm::tir::ScheduleNode::RemoveRV(const ExprRV &amp;expr_rv)=0']]],
+  ['removestandalonereshapes_3721',['RemoveStandaloneReshapes',['../namespacetvm_1_1relay_1_1transform.html#abf8753e6152a3ce13488eea22827cac9',1,'tvm::relay::transform']]],
+  ['removeunusedfunctions_3722',['RemoveUnusedFunctions',['../namespacetvm_1_1relay_1_1transform.html#afbbf5f3e5ffb775fafb9c48473dbfa24',1,'tvm::relay::transform']]],
+  ['removevar_3723',['RemoveVar',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#ad6bbe086197861a45275303dd5f0db99',1,'tvm::script::printer::IRDocsifierNode']]],
+  ['removeweightlayoutrewriteblock_3724',['RemoveWeightLayoutRewriteBlock',['../namespacetvm_1_1tir_1_1transform.html#a889dbda25befe68a8e8999f3e8ea8dc4',1,'tvm::tir::transform']]],
+  ['renamevariables_3725',['RenameVariables',['../classtvm_1_1tir_1_1IndexMap.html#a883c6f58e4dac5926d1b05516844437b',1,'tvm::tir::IndexMap']]],
+  ['rend_3726',['rend',['../classtvm_1_1runtime_1_1Array.html#a1dda4b706346d1299cea059957e9ee70',1,'tvm::runtime::Array']]],
+  ['render_3727',['Render',['../classtvm_1_1DiagnosticRenderer.html#a186c087a55cedd9f55b56c2925f5a559',1,'tvm::DiagnosticRenderer::Render()'],['../classtvm_1_1DiagnosticContext.html#a118fc9eccb99eb0772013eca507d97eb',1,'tvm::DiagnosticContext::Render()']]],
+  ['renderer_3728',['renderer',['../classtvm_1_1DiagnosticRendererNode.html#a8cb2c50460583e5eeee486cf044adfbe',1,'tvm::DiagnosticRendererNode::renderer()'],['../classtvm_1_1DiagnosticContextNode.html#aea5532b73702d459a53ee0c358607284',1,'tvm::DiagnosticContextNode::renderer()']]],
+  ['rendererrors_3729',['RenderErrors',['../classtvm_1_1relay_1_1ErrorReporter.html#a79a887b4f7e46a0fcc2bb23ede14604c',1,'tvm::relay::ErrorReporter']]],
+  ['renewdefs_3730',['RenewDefs',['../namespacetvm_1_1tir.html#a2e639c81d1c6875ead7764ab8a7cd553',1,'tvm::tir']]],
+  ['renormalizesplitpattern_3731',['RenormalizeSplitPattern',['../namespacetvm_1_1tir_1_1transform.html#a5c670c9efcd740f2f168b62e624c8c57',1,'tvm::tir::transform']]],
+  ['reorder_3732',['Reorder',['../classtvm_1_1tir_1_1ScheduleNode.html#a059229fe0e254961da406807a97f7a3d',1,'tvm::tir::ScheduleNode']]],
+  ['reorder_3733',['reorder',['../classtvm_1_1auto__scheduler_1_1State.html#a16e95966b46977eff629a5f4f1564533',1,'tvm::auto_scheduler::State::reorder()'],['../classtvm_1_1te_1_1Stage.html#ad96cd240a92df9cafae89cdf2a7e302e',1,'tvm::te::Stage::reorder()']]],
+  ['reorderblockitervar_3734',['ReorderBlockIterVar',['../classtvm_1_1tir_1_1ScheduleNode.html#a3c3024de7f2da68069e593bb8ad64f7f',1,'tvm::tir::ScheduleNode']]],
+  ['reorderstep_3735',['ReorderStep',['../classtvm_1_1auto__scheduler_1_1ReorderStep.html#a83b9dab5f38d5a4d42c6424ba437bc10',1,'tvm::auto_scheduler::ReorderStep::ReorderStep(int stage_id, const Array&lt; Integer &gt; &amp;after_ids)'],['../classtvm_1_1auto__scheduler_1_1ReorderStep.html#a9586534afef3e0f57ab31e8374e70792',1,'tvm::auto_scheduler::ReorderStep::ReorderStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1ReorderStep.html',1,'tvm::auto_scheduler::ReorderStep']]],
+  ['reorderstepnode_3736',['ReorderStepNode',['../classtvm_1_1auto__scheduler_1_1ReorderStepNode.html',1,'tvm::auto_scheduler']]],
+  ['reorg_3737',['reorg',['../namespacetvm_1_1topi_1_1vision.html#a1014df582489005202c4218e51792314',1,'tvm::topi::vision']]],
+  ['reorg_2eh_3738',['reorg.h',['../reorg_8h.html',1,'']]],
+  ['repeat_3739',['repeat',['../namespacetvm_1_1topi.html#afe9f6d9103b2dfbc601bfd2304a4e687',1,'tvm::topi::repeat()'],['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html#a8d5523fcffda00fccd916fbebb4be00a',1,'tvm::auto_scheduler::ProgramRunnerNode::repeat()']]],
+  ['repeatattrs_3740',['RepeatAttrs',['../structtvm_1_1relay_1_1RepeatAttrs.html',1,'tvm::relay']]],
+  ['repeats_3741',['repeats',['../structtvm_1_1relay_1_1RepeatAttrs.html#ade3005a90c1ff77a1f583c4a7ba898e0',1,'tvm::relay::RepeatAttrs']]],
+  ['replace_3742',['Replace',['../classtvm_1_1tir_1_1ScheduleStateNode.html#afedb2fd6e63f79ff5efe44cf8ecbc545',1,'tvm::tir::ScheduleStateNode']]],
+  ['replaceinputs_3743',['ReplaceInputs',['../classtvm_1_1te_1_1OperationNode.html#a9a876a433cfbe32215c3802ef520016e',1,'tvm::te::OperationNode::ReplaceInputs()'],['../classtvm_1_1te_1_1ScanOpNode.html#aca04ce0320a08cbec1c71c30c968c9ab',1,'tvm::te::ScanOpNode::ReplaceInputs()'],['../classtvm_1_1te_1_1ExternOpNode.html#a24e420a11a0b08e6aa02e21732ecc3ed',1,'tvm::te::ExternOpNode::ReplaceInputs()'],['../classtvm_1_1te_1_1HybridOpNode.html#ab46e8dcafa08f1733f9f3f8ebd786100',1,'tvm::te::Hybri [...]
+  ['replayandgetdag_3744',['ReplayAndGetDAG',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#aea9cecc5764ed8cdccf29f5a294b6445',1,'tvm::auto_scheduler::ComputeDAG']]],
+  ['replayfunc_3745',['ReplayFunc',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html#a2ed7b2ef9f7236e54bf229f770fc0a87',1,'tvm::meta_schedule::SearchStrategy']]],
+  ['replaytrace_3746',['ReplayTrace',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html#a0f762ffdc65fe713f47eddb23ec331a0',1,'tvm::meta_schedule::SearchStrategy']]],
+  ['report_3747',['Report',['../classtvm_1_1relay_1_1ErrorReporter.html#a7c04a2d68dc26b002e808390a2836961',1,'tvm::relay::ErrorReporter::Report()'],['../classtvm_1_1runtime_1_1profiling_1_1Report.html#a7a0c4c91a6cb095c98faf5849cdea832',1,'tvm::runtime::profiling::Report::Report()'],['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#ada7becdefd8228af76207606a2a36c55',1,'tvm::runtime::profiling::Profiler::Report()'],['../classtvm_1_1runtime_1_1profiling_1_1Report.html',1,'tvm::runtime: [...]
+  ['reportat_3748',['ReportAt',['../classtvm_1_1relay_1_1ErrorReporter.html#aa12916de10a3656694a3d3e1f292d414',1,'tvm::relay::ErrorReporter::ReportAt(const GlobalVar &amp;global, const ObjectRef &amp;node, std::stringstream &amp;err)'],['../classtvm_1_1relay_1_1ErrorReporter.html#a6fc41a9428f0b9a71a9e0a9de8d79a66',1,'tvm::relay::ErrorReporter::ReportAt(const GlobalVar &amp;global, const ObjectRef &amp;node, const CompileError &amp;err)']]],
+  ['reportnode_3749',['ReportNode',['../classtvm_1_1runtime_1_1profiling_1_1ReportNode.html',1,'tvm::runtime::profiling']]],
+  ['repr_5fprinter_2eh_3750',['repr_printer.h',['../repr__printer_8h.html',1,'']]],
+  ['reprlegacyprinter_3751',['ReprLegacyPrinter',['../classtvm_1_1ReprLegacyPrinter.html#a8ef152b2cbea60e7658f98bad98bc21d',1,'tvm::ReprLegacyPrinter::ReprLegacyPrinter()'],['../classtvm_1_1ReprLegacyPrinter.html',1,'tvm::ReprLegacyPrinter']]],
+  ['reprprinter_3752',['ReprPrinter',['../classtvm_1_1ReprPrinter.html#a05b878a528f2dec33e28278b17ddeb6b',1,'tvm::ReprPrinter::ReprPrinter()'],['../classtvm_1_1ReprPrinter.html',1,'tvm::ReprPrinter']]],
+  ['reps_3753',['reps',['../structtvm_1_1relay_1_1TileAttrs.html#acac0351f86c23beb264227981424022e',1,'tvm::relay::TileAttrs']]],
+  ['requantizeattrs_3754',['RequantizeAttrs',['../structtvm_1_1relay_1_1qnn_1_1RequantizeAttrs.html',1,'tvm::relay::qnn']]],
+  ['require_5fblock_5fvar_5fbound_5fpredicate_3755',['require_block_var_bound_predicate',['../namespacetvm_1_1tir_1_1attr.html#af1b654a57098d16dd2d08efaa949ffe3',1,'tvm::tir::attr']]],
+  ['require_5ftype_3756',['require_type',['../classtvm_1_1relay_1_1DFPatternCallbackNode.html#acf0cbf5a96eb4c9000b770b7adbd9d32',1,'tvm::relay::DFPatternCallbackNode']]],
+  ['required_3757',['required',['../classtvm_1_1transform_1_1PassInfoNode.html#abc4fdfbc0e6db41ae6296d7b2816b534',1,'tvm::transform::PassInfoNode']]],
+  ['required_5fpass_3758',['required_pass',['../classtvm_1_1transform_1_1PassContextNode.html#a029074685b6cfcc0431098697f2bc927',1,'tvm::transform::PassContextNode']]],
+  ['reserve_3759',['reserve',['../classtvm_1_1runtime_1_1Array.html#a1a7727b86efaf35c58a5198ab1c139c8',1,'tvm::runtime::Array']]],
+  ['reserveglobalvar_3760',['ReserveGlobalVar',['../classtvm_1_1GlobalVarSupplyNode.html#a29185b94238fc62c928346a004c43b16',1,'tvm::GlobalVarSupplyNode']]],
+  ['reservename_3761',['ReserveName',['../classtvm_1_1NameSupplyNode.html#a9feb960ebeeee03fb9c5105655a8da17',1,'tvm::NameSupplyNode']]],
+  ['reset_3762',['reset',['../classtvm_1_1runtime_1_1ObjectPtr.html#ac4461465ba0e785794794e0405c96590',1,'tvm::runtime::ObjectPtr::reset()'],['../classtvm_1_1runtime_1_1NDArray.html#af2a8ccab95d432d1ecad7a389e11bcd3',1,'tvm::runtime::NDArray::reset()']]],
+  ['reset_3763',['Reset',['../classtvm_1_1tir_1_1StmtSRefNode.html#a0a81a6ea87f86ef7ebefccdb7cf378da',1,'tvm::tir::StmtSRefNode::Reset()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#a44ff9650ecca8785e33c25c369d2570a',1,'tvm::runtime::micro_rpc::Framer::Reset()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html#ae6279154fe70e9eb85937b51e70a4bf8',1,'tvm::runtime::micro_rpc::Unframer::Reset()'],['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a73b14ea360a9902c2 [...]
+  ['reset_5fattr_3764',['reset_attr',['../classtvm_1_1OpRegEntry.html#a67628f8d3d6dea5b0a47e462c06b7790',1,'tvm::OpRegEntry']]],
+  ['resetstatscounters_3765',['ResetStatsCounters',['../classtvm_1_1arith_1_1RewriteSimplifier.html#aef5b3e9793a5b459c9f457efef179e4f',1,'tvm::arith::RewriteSimplifier']]],
+  ['resetthreadpool_3766',['ResetThreadPool',['../namespacetvm_1_1runtime_1_1threading.html#aafdb21c00248ff146b614a7e888b4fd7',1,'tvm::runtime::threading']]],
+  ['reshape_3767',['reshape',['../namespacetvm_1_1topi.html#a3aad65f2505802109ba7d05359ce9005',1,'tvm::topi']]],
+  ['reshape_5ftensor_3768',['reshape_tensor',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a14372a27f134b259b569715aff8726ca',1,'tvm::runtime::vm::Instruction']]],
+  ['reshapeattrs_3769',['ReshapeAttrs',['../structtvm_1_1relay_1_1ReshapeAttrs.html',1,'tvm::relay']]],
+  ['reshapelikeattrs_3770',['ReshapeLikeAttrs',['../structtvm_1_1relay_1_1ReshapeLikeAttrs.html',1,'tvm::relay']]],
+  ['reshapetensor_3771',['ReshapeTensor',['../namespacetvm_1_1runtime_1_1vm.html#a8d8d95ce8d629c7213f2f595917870ecac6aefdb1a98b77723cb8cbd758d8bd30',1,'tvm::runtime::vm::ReshapeTensor()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a78291b55a638084d12269102ec6a2e90',1,'tvm::runtime::vm::Instruction::ReshapeTensor()']]],
+  ['reshapetensorattrs_3772',['ReshapeTensorAttrs',['../structtvm_1_1relay_1_1ReshapeTensorAttrs.html',1,'tvm::relay']]],
+  ['resize_3773',['resize',['../classtvm_1_1runtime_1_1Array.html#a8064044f8928cf3fed003507d65604c7',1,'tvm::runtime::Array']]],
+  ['resize1dattrs_3774',['Resize1DAttrs',['../structtvm_1_1relay_1_1Resize1DAttrs.html',1,'tvm::relay']]],
+  ['resize2dattrs_3775',['Resize2DAttrs',['../structtvm_1_1relay_1_1Resize2DAttrs.html',1,'tvm::relay']]],
+  ['resize3dattrs_3776',['Resize3DAttrs',['../structtvm_1_1relay_1_1Resize3DAttrs.html',1,'tvm::relay']]],
+  ['resolvedependency_3777',['ResolveDependency',['../classtvm_1_1transform_1_1SequentialNode.html#a5549edf77e0a64bd6fcb692603967b8e',1,'tvm::transform::SequentialNode']]],
+  ['result_3778',['result',['../classtvm_1_1tir_1_1CommReducerNode.html#a7030917568a088215da423fc56882814',1,'tvm::tir::CommReducerNode::result()'],['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderNode.html#ae9bab07b47a5fd7f27576cbcfddab953',1,'tvm::script::ir_builder::IRBuilderNode::result()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#ae0d33229af059c727db2abd3616660e0',1,'tvm::runtime::vm::Instruction::result()']]],
+  ['result_3779',['Result',['../classtvm_1_1meta__schedule_1_1RunnerFutureNode.html#a1b5438c21c436ce7a864487583fd32b2',1,'tvm::meta_schedule::RunnerFutureNode']]],
+  ['result_5f_3780',['result_',['../classtvm_1_1detail_1_1AttrsSEqualVisitor.html#aeda3a91f0b2d1a7a9a075828954ff77f',1,'tvm::detail::AttrsSEqualVisitor']]],
+  ['result_5ftype_3781',['result_type',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html#a24d4a3522ee6c4cdeed80dcdcc1424ad',1,'tvm::TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;::result_type()'],['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html#a82025a966ad57d3a52901f4657a89b70',1,'tvm::tir::StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;::result_type()'],['../classtvm_1_1tir_1_1ExprFunctor_3_01R_07c [...]
+  ['resulttype_3782',['ResultType',['../structtvm_1_1runtime_1_1Array_1_1ValueConverter.html#a0db77cfd8032391d76dffc88eae8e09b',1,'tvm::runtime::Array::ValueConverter']]],
+  ['ret_3783',['Ret',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a25ec217ce2afe8decb3d92c716e31c83',1,'tvm::runtime::vm::Instruction']]],
+  ['ret_3784',['ret',['../namespacetvm_1_1tir_1_1builtin.html#ae7816fdebd5d56f2145cdf371b756eb4',1,'tvm::tir::builtin']]],
+  ['ret_3785',['Ret',['../namespacetvm_1_1runtime_1_1vm.html#a8d8d95ce8d629c7213f2f595917870ecaa4228a09dd66155de8e93a39245768bd',1,'tvm::runtime::vm']]],
+  ['ret_3786',['ret',['../namespacetvm.html#a0da40d3e210aa3b38a17982a7b7866b8',1,'tvm']]],
+  ['ret_5ftype_3787',['ret_type',['../classtvm_1_1FuncTypeNode.html#a4d30bd05ee4751f963daf10f0c69036d',1,'tvm::FuncTypeNode::ret_type()'],['../structtvm_1_1relay_1_1TopKAttrs.html#a5717dfe7edcd2817fc35b2e84dc2305d',1,'tvm::relay::TopKAttrs::ret_type()'],['../classtvm_1_1relay_1_1FunctionNode.html#a075bcae369d873c24b7887eb7c96da40',1,'tvm::relay::FunctionNode::ret_type()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1PrimFuncFrameNode.html#a716400f5d324044ca6b73fe7650eb395',1,'tvm::sc [...]
+  ['ret_5fvalue_3788',['ret_value',['../structTVMPackedFunc.html#a9e4f5b78551e27db970d3e5d48f92dcf',1,'TVMPackedFunc']]],
+  ['return_5fcounts_3789',['return_counts',['../structtvm_1_1relay_1_1UniqueAttrs.html#a5ada31d79efbeb340a0cd7d5ca7c1afb',1,'tvm::relay::UniqueAttrs']]],
+  ['return_5findices_3790',['return_indices',['../structtvm_1_1relay_1_1NonMaximumSuppressionAttrs.html#a595087194062b5cce8a36479fa82716b',1,'tvm::relay::NonMaximumSuppressionAttrs']]],
+  ['return_5fregister_5f_3791',['return_register_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#afd0505002da7e7f86f82b2f3325ff127',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['return_5ftype_3792',['return_type',['../classtvm_1_1script_1_1printer_1_1FunctionDocNode.html#a4df963355e51d3604a23b916715831d9',1,'tvm::script::printer::FunctionDocNode']]],
+  ['returndoc_3793',['ReturnDoc',['../classtvm_1_1script_1_1printer_1_1ReturnDoc.html#afcf99665a7639d31b82c6cacc498a49d',1,'tvm::script::printer::ReturnDoc::ReturnDoc()'],['../classtvm_1_1script_1_1printer_1_1ReturnDoc.html',1,'tvm::script::printer::ReturnDoc']]],
+  ['returndocnode_3794',['ReturnDocNode',['../classtvm_1_1script_1_1printer_1_1ReturnDocNode.html',1,'tvm::script::printer']]],
+  ['reverse_5fiterator_3795',['reverse_iterator',['../classtvm_1_1runtime_1_1Array.html#a4886f1509998e380f032896a5afb27b9',1,'tvm::runtime::Array']]],
+  ['reverse_5fsequence_3796',['reverse_sequence',['../namespacetvm_1_1topi.html#ab8ad5eed3079de21c92a7639ed370096',1,'tvm::topi']]],
+  ['reverseattrs_3797',['ReverseAttrs',['../structtvm_1_1relay_1_1ReverseAttrs.html',1,'tvm::relay']]],
+  ['reversecomputeat_3798',['ReverseComputeAt',['../classtvm_1_1tir_1_1ScheduleNode.html#ad75e0424902b06dca23d46807a9a47d5',1,'tvm::tir::ScheduleNode']]],
+  ['reversecomputeinline_3799',['ReverseComputeInline',['../classtvm_1_1tir_1_1ScheduleNode.html#a99c902d903680da14339842dd2fd29c7',1,'tvm::tir::ScheduleNode']]],
+  ['reverseiteradapter_3800',['ReverseIterAdapter',['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#a579235eb3691b76d29b4ae5f178318ef',1,'tvm::runtime::ReverseIterAdapter::ReverseIterAdapter()'],['../classtvm_1_1runtime_1_1ReverseIterAdapter.html',1,'tvm::runtime::ReverseIterAdapter&lt; Converter, TIter &gt;']]],
+  ['reversesequenceattrs_3801',['ReverseSequenceAttrs',['../structtvm_1_1relay_1_1ReverseSequenceAttrs.html',1,'tvm::relay']]],
+  ['rewrite_3802',['Rewrite',['../classtvm_1_1relay_1_1MixedModeMutator.html#a4c93a9094db80cace013ef02e6bcd724',1,'tvm::relay::MixedModeMutator::Rewrite()'],['../classtvm_1_1relay_1_1ExprRewriter.html#a28cebb8decbe035ff95683c45f69e53b',1,'tvm::relay::ExprRewriter::Rewrite()'],['../classtvm_1_1tir_1_1IndexDataTypeNormalizer.html#afc2a6d4cb922111b859d75e3ba43c150',1,'tvm::tir::IndexDataTypeNormalizer::Rewrite()']]],
+  ['rewrite_5f_3803',['Rewrite_',['../classtvm_1_1relay_1_1ExprRewriter.html#a4a17923abf82534b9574ec74b893a907',1,'tvm::relay::ExprRewriter::Rewrite_()'],['../classtvm_1_1relay_1_1MixedModeMutator.html#a3b53908f4b8cc3708ca75892e47f0929',1,'tvm::relay::MixedModeMutator::Rewrite_(const TupleNode *pre, const Expr &amp;post)'],['../classtvm_1_1relay_1_1MixedModeMutator.html#aedab19fa2803a80d4148f83c1c4b0814',1,'tvm::relay::MixedModeMutator::Rewrite_(const CallNode *pre, const Expr &amp;post) [...]
+  ['rewrite_5fonce_3804',['rewrite_once',['../classtvm_1_1relay_1_1DFPatternCallbackNode.html#a6e4c091ba92fee08251d29633da9b8b8',1,'tvm::relay::DFPatternCallbackNode']]],
+  ['rewrite_5fsimplify_3805',['rewrite_simplify',['../classtvm_1_1arith_1_1Analyzer.html#acc86c6e8c04cb0de4ff9d78e769924b2',1,'tvm::arith::Analyzer']]],
+  ['rewriteannotatedops_3806',['RewriteAnnotatedOps',['../namespacetvm_1_1relay_1_1transform.html#a03b053f3d99d5c420ddc8492e6b987bf',1,'tvm::relay::transform::RewriteAnnotatedOps()'],['../namespacetvm_1_1relay.html#a7917e50fbb560a15995e8a617d65d1ab',1,'tvm::relay::RewriteAnnotatedOps()']]],
+  ['rewritecooperativefetch_3807',['RewriteCooperativeFetch',['../classtvm_1_1meta__schedule_1_1Postproc.html#a8a8e8e047dcdcf89ad9d96eed47c293a',1,'tvm::meta_schedule::Postproc']]],
+  ['rewriteforpretransformed_3808',['RewriteForPreTransformed',['../namespacetvm_1_1auto__scheduler.html#a3e9480be0119338696abbe9c80193b9eabe8f9c447aade4de06bdb3332885303d',1,'tvm::auto_scheduler']]],
+  ['rewritelayout_3809',['RewriteLayout',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html#ae36f2943628beb48fbf9b473bb350253',1,'tvm::auto_scheduler::ComputeDAG::RewriteLayout()'],['../classtvm_1_1meta__schedule_1_1Postproc.html#a855ed7567cf6af092d19b59ceea52426',1,'tvm::meta_schedule::Postproc::RewriteLayout()']]],
+  ['rewriteparallelvectorizeunroll_3810',['RewriteParallelVectorizeUnroll',['../classtvm_1_1meta__schedule_1_1Postproc.html#ad9ba0ccb7c8c2340ce64d8b0cb4d141c',1,'tvm::meta_schedule::Postproc']]],
+  ['rewritepatterns_3811',['RewritePatterns',['../namespacetvm_1_1relay.html#ad9fd478e0f590938f8eb15e1bc45dbec',1,'tvm::relay']]],
+  ['rewritereductionblock_3812',['RewriteReductionBlock',['../classtvm_1_1meta__schedule_1_1Postproc.html#a08348595d8c50afe0167a986e034d616',1,'tvm::meta_schedule::Postproc']]],
+  ['rewritesimplifier_3813',['RewriteSimplifier',['../classtvm_1_1arith_1_1RewriteSimplifier.html',1,'tvm::arith']]],
+  ['rewritetensorize_3814',['RewriteTensorize',['../classtvm_1_1meta__schedule_1_1Postproc.html#a95db036cfced4c2575367a26a41498ff',1,'tvm::meta_schedule::Postproc']]],
+  ['rewriteunboundblock_3815',['RewriteUnboundBlock',['../classtvm_1_1meta__schedule_1_1Postproc.html#a1836b2278bc24fdc227c490896d92980',1,'tvm::meta_schedule::Postproc']]],
+  ['rewriteunsafeselect_3816',['RewriteUnsafeSelect',['../namespacetvm_1_1tir_1_1transform.html#a4fe43327c4454dd05b6e925577443f49',1,'tvm::tir::transform']]],
+  ['rfactor_3817',['rfactor',['../classtvm_1_1te_1_1Schedule.html#a34ae85add41bbed0140726d024d08862',1,'tvm::te::Schedule::rfactor()'],['../classtvm_1_1auto__scheduler_1_1State.html#a21c27b06d439267f8b981fa05c5f48a0',1,'tvm::auto_scheduler::State::rfactor()']]],
+  ['rfactor_3818',['RFactor',['../classtvm_1_1tir_1_1ScheduleNode.html#ab185c8eac1065290d84d58e7f4617232',1,'tvm::tir::ScheduleNode']]],
+  ['rfactorstep_3819',['RfactorStep',['../classtvm_1_1auto__scheduler_1_1RfactorStep.html#a26e6f85b55307f18fab4469e3bd4be0c',1,'tvm::auto_scheduler::RfactorStep::RfactorStep(int stage_id, int iter_id, int factor_iter_id)'],['../classtvm_1_1auto__scheduler_1_1RfactorStep.html#a95575c21441177634178245ab562cb4f',1,'tvm::auto_scheduler::RfactorStep::RfactorStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1RfactorStep.html',1,'tvm::auto_scheduler::RfactorStep']]],
+  ['rfactorstepnode_3820',['RfactorStepNode',['../classtvm_1_1auto__scheduler_1_1RfactorStepNode.html',1,'tvm::auto_scheduler']]],
+  ['rhs_3821',['rhs',['../classtvm_1_1relay_1_1ClauseNode.html#a93217eeea15c1f7c1a659da3da86d3bd',1,'tvm::relay::ClauseNode::rhs()'],['../classtvm_1_1script_1_1printer_1_1AssignDocNode.html#a436fcace00d445213fc367ece59c4067',1,'tvm::script::printer::AssignDocNode::rhs()'],['../classtvm_1_1tir_1_1CommReducerNode.html#a2902b0d55dd823febc6941fae9f32337',1,'tvm::tir::CommReducerNode::rhs()'],['../classtvm_1_1script_1_1printer_1_1ScopeDocNode.html#abf3636ac2820118a3d48f2fea32b2b0b',1,'tvm::sc [...]
+  ['rhs_5faxis_3822',['rhs_axis',['../structtvm_1_1relay_1_1qnn_1_1BroadcastAttrs.html#afd0dadf19b60022c3c8cc0b0f9b6c27a',1,'tvm::relay::qnn::BroadcastAttrs']]],
+  ['rhs_5fbegin_3823',['rhs_begin',['../structtvm_1_1relay_1_1ReshapeLikeAttrs.html#a8f25936511569c3ea754e9d065ccff81',1,'tvm::relay::ReshapeLikeAttrs']]],
+  ['rhs_5fend_3824',['rhs_end',['../structtvm_1_1relay_1_1ReshapeLikeAttrs.html#a6d8d5503c7880be0e179c0f54972afad',1,'tvm::relay::ReshapeLikeAttrs']]],
+  ['rhs_5fpath_3825',['rhs_path',['../classtvm_1_1ObjectPathPairNode.html#ab0b0e402bc6722eb21829a8520d0031c',1,'tvm::ObjectPathPairNode']]],
+  ['right_3826',['right',['../classtvm_1_1relay_1_1AltPatternNode.html#a01262756211205f8764d07f2372c5d36',1,'tvm::relay::AltPatternNode::right()'],['../structtvm_1_1relay_1_1SearchSortedAttrs.html#a97bbdca17a8d0657b76b892fa3b7777a',1,'tvm::relay::SearchSortedAttrs::right()']]],
+  ['right_5fshift_3827',['right_shift',['../namespacetvm.html#a98ff4361d0a24570f8dc32d03cde972a',1,'tvm::right_shift()'],['../namespacetvm_1_1topi.html#a8d155306c648c5925352eca1d7b17a60',1,'tvm::topi::right_shift(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;right_shift&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#a4eaac2e77d097d643e0fce3db96e00d0',1,'tvm::topi::right_shift(const tvm::te::Tensor &amp;A, const tvm: [...]
+  ['rms_5fnorm_3828',['rms_norm',['../namespacetvm_1_1topi_1_1nn.html#af39dd2857acc6bb37c10738a01c90778',1,'tvm::topi::nn']]],
+  ['rms_5fnorm_2eh_3829',['rms_norm.h',['../rms__norm_8h.html',1,'']]],
+  ['rocblas_2eh_3830',['rocblas.h',['../rocblas_8h.html',1,'']]],
+  ['rocblas_5fbatch_5fmatmul_3831',['rocblas_batch_matmul',['../namespacetvm_1_1topi_1_1contrib.html#abf1113dd429e1285752b48f62fe12848',1,'tvm::topi::contrib']]],
+  ['rocblas_5fmatmul_3832',['rocblas_matmul',['../namespacetvm_1_1topi_1_1contrib.html#abefad1f2ad083fc038566a9ef6278dff',1,'tvm::topi::contrib']]],
+  ['roi_3833',['roi',['../structtvm_1_1relay_1_1Resize1DAttrs.html#a99ab6ec83637788da75c2d717c40bc68',1,'tvm::relay::Resize1DAttrs::roi()'],['../structtvm_1_1relay_1_1Resize3DAttrs.html#a528fd10df78a285eeb8e72d6476f66c2',1,'tvm::relay::Resize3DAttrs::roi()'],['../structtvm_1_1relay_1_1Resize2DAttrs.html#afe8f8b7a65f4961743089b7119117d3b',1,'tvm::relay::Resize2DAttrs::roi()']]],
+  ['roialignattrs_3834',['ROIAlignAttrs',['../structtvm_1_1relay_1_1ROIAlignAttrs.html',1,'tvm::relay']]],
+  ['roipoolattrs_3835',['ROIPoolAttrs',['../structtvm_1_1relay_1_1ROIPoolAttrs.html',1,'tvm::relay']]],
+  ['rolling_5fbuffer_3836',['rolling_buffer',['../classtvm_1_1te_1_1Stage.html#aa2da6dafa58e8e7a1e251867791839d4',1,'tvm::te::Stage::rolling_buffer()'],['../classtvm_1_1te_1_1StageNode.html#a8a709edc806b64c606a12c703fab22e4',1,'tvm::te::StageNode::rolling_buffer()']]],
+  ['rolling_5fbuffer_5fscope_3837',['rolling_buffer_scope',['../namespacetvm_1_1tir_1_1attr.html#a5d0392acd85eb3cd406315d3c02eadd8',1,'tvm::tir::attr']]],
+  ['rollingbuffer_3838',['RollingBuffer',['../classtvm_1_1tir_1_1ScheduleNode.html#ab1d1f70230fa5f01d406fc122e62b190',1,'tvm::tir::ScheduleNode']]],
+  ['root_3839',['Root',['../classtvm_1_1ObjectPath.html#a21bb9513031109b9a4145fd675033f8f',1,'tvm::ObjectPath']]],
+  ['root_5falloc_5fbuffers_3840',['root_alloc_buffers',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1PrimFuncFrameNode.html#a3f9592997636143b3ad1114d8f9070cc',1,'tvm::script::ir_builder::tir::PrimFuncFrameNode']]],
+  ['root_5fiter_5fvars_3841',['root_iter_vars',['../classtvm_1_1te_1_1ScanOpNode.html#a7a2670bdbf28281b2a8d977e43bc0add',1,'tvm::te::ScanOpNode::root_iter_vars()'],['../classtvm_1_1te_1_1BaseComputeOpNode.html#aab7b5b43122ee14bb00640906267361a',1,'tvm::te::BaseComputeOpNode::root_iter_vars()'],['../classtvm_1_1te_1_1ExternOpNode.html#a4e7c08092b2941fddbc2ad5e9c9584fc',1,'tvm::te::ExternOpNode::root_iter_vars()'],['../classtvm_1_1te_1_1HybridOpNode.html#ade3fee4bd8fd9a06d27b533cc6e09ca2', [...]
+  ['rootmark_3842',['RootMark',['../classtvm_1_1tir_1_1StmtSRef.html#a755ffc34c02e5af0129c7d2eedc1cfb5',1,'tvm::tir::StmtSRef']]],
+  ['rootpath_3843',['RootPath',['../classtvm_1_1RootPath.html',1,'tvm']]],
+  ['rootpathnode_3844',['RootPathNode',['../classtvm_1_1RootPathNode.html#a1242d3ad94ca19e165c04528f7c8e24e',1,'tvm::RootPathNode::RootPathNode()'],['../classtvm_1_1RootPathNode.html',1,'tvm::RootPathNode']]],
+  ['round_3845',['round',['../namespacetvm.html#a54d9c399c82d7f384ee93f235496ab64',1,'tvm::round()'],['../namespacetvm_1_1topi.html#a11030c7cd076210bf364c6f70846de78',1,'tvm::topi::round()']]],
+  ['round_5fup_5fto_5fbyte_5falignment_3846',['round_up_to_byte_alignment',['../classtvm_1_1tir_1_1usmp_1_1algo_1_1GreedyBase.html#a3581ab0723c1ab1e74cf479c7c81a803',1,'tvm::tir::usmp::algo::GreedyBase']]],
+  ['rounding_3847',['rounding',['../structtvm_1_1relay_1_1qnn_1_1RequantizeAttrs.html#ae786b4706ed872d99ad26d6c42467f87',1,'tvm::relay::qnn::RequantizeAttrs']]],
+  ['rounding_5fmethod_3848',['rounding_method',['../structtvm_1_1relay_1_1Resize1DAttrs.html#a1133dc610787d50cc164dc9ed6319f0c',1,'tvm::relay::Resize1DAttrs::rounding_method()'],['../structtvm_1_1relay_1_1Resize2DAttrs.html#a08b8f79180e71137f2990c7123580d7a',1,'tvm::relay::Resize2DAttrs::rounding_method()'],['../structtvm_1_1relay_1_1Resize3DAttrs.html#a6e15ec45b5788ebaf2101e14e7a366fb',1,'tvm::relay::Resize3DAttrs::rounding_method()']]],
+  ['roundrobin_3849',['RoundRobin',['../classtvm_1_1meta__schedule_1_1TaskScheduler.html#add2fb132a9882d815d4dd617f18482f6',1,'tvm::meta_schedule::TaskScheduler']]],
+  ['rpcrunner_3850',['RPCRunner',['../classtvm_1_1auto__scheduler_1_1RPCRunner.html#a58f9c976e0b95dba69cf50b6fc284dca',1,'tvm::auto_scheduler::RPCRunner::RPCRunner()'],['../classtvm_1_1auto__scheduler_1_1RPCRunner.html',1,'tvm::auto_scheduler::RPCRunner']]],
+  ['rpcrunnernode_3851',['RPCRunnerNode',['../classtvm_1_1auto__scheduler_1_1RPCRunnerNode.html',1,'tvm::auto_scheduler']]],
+  ['rpcwrappedfunc_3852',['RPCWrappedFunc',['../classtvm_1_1runtime_1_1NDArray_1_1Container.html#a6ccaf80c7bc6037e59b208845b20db11',1,'tvm::runtime::NDArray::Container']]],
+  ['rpn_5fmin_5fsize_3853',['rpn_min_size',['../structtvm_1_1relay_1_1ProposalAttrs.html#abee4a0809679e2a5a4f00e07e9650b5e',1,'tvm::relay::ProposalAttrs']]],
+  ['rpn_5fpost_5fnms_5ftop_5fn_3854',['rpn_post_nms_top_n',['../structtvm_1_1relay_1_1ProposalAttrs.html#afbd367be5eda478b817075e70cc6edbc',1,'tvm::relay::ProposalAttrs']]],
+  ['rpn_5fpre_5fnms_5ftop_5fn_3855',['rpn_pre_nms_top_n',['../structtvm_1_1relay_1_1ProposalAttrs.html#a87c3a6d7d2e0225ebbab9353bc60af25',1,'tvm::relay::ProposalAttrs']]],
+  ['rr_5fpartitioner_3856',['rr_partitioner',['../namespacetvm_1_1support.html#a4dcfaef2dd891a956d23298c943a3fba',1,'tvm::support']]],
+  ['rsqrt_3857',['rsqrt',['../namespacetvm_1_1topi.html#a87781e08068ff16cbae1356a32331cdc',1,'tvm::topi::rsqrt()'],['../namespacetvm.html#a5196b8d7b5d5453e624ab22d0fefb652',1,'tvm::rsqrt()']]],
+  ['run_3858',['Run',['../classtvm_1_1meta__schedule_1_1RunnerNode.html#ae4a9caee65254c8e65f82d50b038ec7d',1,'tvm::meta_schedule::RunnerNode::Run()'],['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html#aa56cb976ad41b0d2b62a4184ee74b37f',1,'tvm::auto_scheduler::ProgramRunnerNode::Run()'],['../classtvm_1_1auto__scheduler_1_1RPCRunnerNode.html#a3f56b55d156fc2edce046ab3d6686c0b',1,'tvm::auto_scheduler::RPCRunnerNode::Run()'],['../classtvm_1_1auto__scheduler_1_1LocalRunnerNode.html#aca [...]
+  ['run_5ferror_5fcount_3859',['run_error_count',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html#a1482e1c157ec7e4d5e472125a692721a',1,'tvm::meta_schedule::TaskRecordNode']]],
+  ['run_5fsecs_3860',['run_secs',['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html#a00aeeedbe4d1d6008224d98c62739581',1,'tvm::meta_schedule::TuningRecordNode::run_secs()'],['../classtvm_1_1meta__schedule_1_1RunnerResultNode.html#a23d5a15c8d72c17c59ec4e7f2d3fabf3',1,'tvm::meta_schedule::RunnerResultNode::run_secs()']]],
+  ['runafterpass_3861',['RunAfterPass',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#a49332b9ab696afefa427b676dbaba1b0',1,'tvm::instrument::PassInstrumentNode']]],
+  ['runbeforepass_3862',['RunBeforePass',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#a4c5781489ab0113fbfcb9a61e6348b43',1,'tvm::instrument::PassInstrumentNode']]],
+  ['runcallbacks_3863',['RunCallbacks',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#a4bf8f2f816014b03ebea564d50afeba9',1,'tvm::auto_scheduler::SearchPolicyNode']]],
+  ['runloop_3864',['RunLoop',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a08164ef76393a4efb002c1ea6b8ae447',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['runner_3865',['runner',['../classtvm_1_1auto__scheduler_1_1TuningOptionsNode.html#a355d86b2c38f0827ae1b158753d1daa2',1,'tvm::auto_scheduler::TuningOptionsNode::runner()'],['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a0f7a4a84637fd0309b52f61b65bee158',1,'tvm::auto_scheduler::ProgramMeasurerNode::runner()']]],
+  ['runner_3866',['Runner',['../classtvm_1_1meta__schedule_1_1Runner.html',1,'tvm::meta_schedule']]],
+  ['runner_2eh_3867',['runner.h',['../runner_8h.html',1,'']]],
+  ['runner_5ffutures_3868',['runner_futures',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html#ad60e5f61144b13d33841c2364e2c611b',1,'tvm::meta_schedule::TaskRecordNode']]],
+  ['runnerfuture_3869',['RunnerFuture',['../classtvm_1_1meta__schedule_1_1RunnerFuture.html#a88baeedd7be0b5cc46083f75a318317f',1,'tvm::meta_schedule::RunnerFuture::RunnerFuture()'],['../classtvm_1_1meta__schedule_1_1RunnerFuture.html',1,'tvm::meta_schedule::RunnerFuture']]],
+  ['runnerfuturenode_3870',['RunnerFutureNode',['../classtvm_1_1meta__schedule_1_1RunnerFutureNode.html',1,'tvm::meta_schedule']]],
+  ['runnerinput_3871',['RunnerInput',['../classtvm_1_1meta__schedule_1_1RunnerInput.html#a46dcc62de7cbe021e381b8c0a5e6776f',1,'tvm::meta_schedule::RunnerInput::RunnerInput()'],['../classtvm_1_1meta__schedule_1_1RunnerInput.html',1,'tvm::meta_schedule::RunnerInput']]],
+  ['runnerinputnode_3872',['RunnerInputNode',['../classtvm_1_1meta__schedule_1_1RunnerInputNode.html',1,'tvm::meta_schedule']]],
+  ['runnernode_3873',['RunnerNode',['../classtvm_1_1meta__schedule_1_1RunnerNode.html',1,'tvm::meta_schedule']]],
+  ['runnerresult_3874',['RunnerResult',['../classtvm_1_1meta__schedule_1_1RunnerResult.html#ad15dac65a62952e661aa5dc639d6a958',1,'tvm::meta_schedule::RunnerResult::RunnerResult()'],['../classtvm_1_1meta__schedule_1_1RunnerResult.html',1,'tvm::meta_schedule::RunnerResult']]],
+  ['runnerresultnode_3875',['RunnerResultNode',['../classtvm_1_1meta__schedule_1_1RunnerResultNode.html',1,'tvm::meta_schedule']]],
+  ['runtime_3876',['Runtime',['../classtvm_1_1relay_1_1Runtime.html#a301dedc4aefb25ab3fd92cefcba975dd',1,'tvm::relay::Runtime::Runtime()'],['../classtvm_1_1relay_1_1RuntimeRegEntry.html#af3d14e26ba8af9e6cc5a32aad8446de7',1,'tvm::relay::RuntimeRegEntry::Runtime()'],['../classtvm_1_1relay_1_1Runtime.html',1,'tvm::relay::Runtime']]],
+  ['runtime_2eh_3877',['runtime.h',['../runtime_8h.html',1,'']]],
+  ['runtimeenabled_3878',['RuntimeEnabled',['../namespacetvm_1_1runtime.html#ae6d933eb1e7414afa9a9a62d22cd2522',1,'tvm::runtime']]],
+  ['runtimenode_3879',['RuntimeNode',['../classtvm_1_1relay_1_1RuntimeNode.html',1,'tvm::relay']]],
+  ['runtimeobject2string_3880',['RuntimeObject2String',['../namespacetvm_1_1runtime.html#a5e4682aef4c22170449389fa455ab434',1,'tvm::runtime']]],
+  ['runtimeregentry_3881',['RuntimeRegEntry',['../classtvm_1_1relay_1_1RuntimeRegEntry.html',1,'tvm::relay']]],
+  ['runtimetypeindex_3882',['RuntimeTypeIndex',['../classtvm_1_1runtime_1_1Object.html#ad94d79729ac85aa7c976e23d39066383',1,'tvm::runtime::Object']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_14.js b/docs/reference/api/doxygen/search/all_14.js
index 65c1d758ce..346f664d8d 100644
--- a/docs/reference/api/doxygen/search/all_14.js
+++ b/docs/reference/api/doxygen/search/all_14.js
@@ -1,483 +1,481 @@
 var searchData=
 [
-  ['same_5fas_3879',['same_as',['../classtvm_1_1runtime_1_1ObjectRef.html#ae31a5b9f40781d60a2901994ead700e8',1,'tvm::runtime::ObjectRef']]],
-  ['sample_5fratio_3880',['sample_ratio',['../structtvm_1_1relay_1_1ROIAlignAttrs.html#a44a199408088e3c6d2b0ae13e13eff40',1,'tvm::relay::ROIAlignAttrs']]],
-  ['samplecategorical_3881',['SampleCategorical',['../classtvm_1_1tir_1_1ScheduleNode.html#ab9d2b3a98335b88f168b69deed49eb19',1,'tvm::tir::ScheduleNode']]],
-  ['samplecomputelocation_3882',['SampleComputeLocation',['../classtvm_1_1tir_1_1ScheduleNode.html#abf9fbec94271b7512c24b6eced230c39',1,'tvm::tir::ScheduleNode']]],
-  ['samplepartitionedtile_3883',['SamplePartitionedTile',['../classtvm_1_1tir_1_1ScheduleNode.html#a6d5170f35f095f0a581ea48f477e2f08',1,'tvm::tir::ScheduleNode']]],
-  ['sampleperfecttile_3884',['SamplePerfectTile',['../classtvm_1_1tir_1_1ScheduleNode.html#a2c62b3f9486dd35714df50bc424d6698',1,'tvm::tir::ScheduleNode']]],
-  ['sanitizename_3885',['SanitizeName',['../namespacetvm_1_1runtime.html#abffd1371d92046a4129a4961ef40755c',1,'tvm::runtime']]],
-  ['save_3886',['Save',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#af949a66ffb86ea941dec43fe95f8d898',1,'tvm::runtime::vm::Executable::Save()'],['../classtvm_1_1meta__schedule_1_1CostModelNode.html#a09774a50dbf33a58b8bc33a182a95bce',1,'tvm::meta_schedule::CostModelNode::Save()'],['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#a41fc20e8506595fc41c44b69a67a6d93',1,'tvm::meta_schedule::PyCostModelNode::Save()'],['../classtvm_1_1runtime_1_1NDArray.html#ae6f82ad564a648d21e9a2e4d [...]
-  ['saved_5fdeleter_5f_3887',['saved_deleter_',['../classtvm_1_1relay_1_1CallNode.html#a918ffd45556abd8b476e8e5d701e95fc',1,'tvm::relay::CallNode::saved_deleter_()'],['../classtvm_1_1relay_1_1LetNode.html#af0689c200f81b39141564e667b435cd7',1,'tvm::relay::LetNode::saved_deleter_()']]],
-  ['savedltensor_3888',['SaveDLTensor',['../namespacetvm_1_1runtime.html#a8fb37910dcd9bb6899e6a3a47f006514',1,'tvm::runtime']]],
-  ['savejson_3889',['SaveJSON',['../namespacetvm.html#aad98af358976b1598430090b7db92823',1,'tvm']]],
-  ['savetobinary_3890',['SaveToBinary',['../classtvm_1_1runtime_1_1ModuleNode.html#acd613ea7faf2fc100d1e8b0fd80020c3',1,'tvm::runtime::ModuleNode::SaveToBinary()'],['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a6fa56dfff8df1b3c353f076ee02935b0',1,'tvm::runtime::vm::Executable::SaveToBinary()']]],
-  ['savetofile_3891',['SaveToFile',['../classtvm_1_1runtime_1_1ModuleNode.html#a031fdd218e368bc96e119ccdabf482ee',1,'tvm::runtime::ModuleNode::SaveToFile()'],['../classtvm_1_1runtime_1_1vm_1_1Executable.html#aa8bb96a33b7e540b169b2994ae75a400',1,'tvm::runtime::vm::Executable::SaveToFile()']]],
-  ['scalar_3892',['Scalar',['../classtvm_1_1TensorType.html#ab3d79794f932115e9ad71d616648d05d',1,'tvm::TensorType']]],
-  ['scalar_5finputs_3893',['scalar_inputs',['../classtvm_1_1te_1_1TensorIntrinCallNode.html#a862c22c7362a799b0f44de41920bffc8',1,'tvm::te::TensorIntrinCallNode::scalar_inputs()'],['../classtvm_1_1te_1_1TensorComputeOpNode.html#ae96efdee192da92765d2a64aff1f9999',1,'tvm::te::TensorComputeOpNode::scalar_inputs()']]],
-  ['scalar_5fparams_3894',['scalar_params',['../classtvm_1_1te_1_1TensorIntrinNode.html#a9bd674ec6c6a695ea81a3651e99a78f0',1,'tvm::te::TensorIntrinNode']]],
-  ['scale_3895',['scale',['../classtvm_1_1TensorAffineTypeNode.html#a8443f9693d6f3574b85ff0b6e37b298b',1,'tvm::TensorAffineTypeNode::scale()'],['../structtvm_1_1relay_1_1GroupNormAttrs.html#aafc02cdca5286cca8ee5c7f23cf091ba',1,'tvm::relay::GroupNormAttrs::scale()'],['../structtvm_1_1relay_1_1InstanceNormAttrs.html#a3c3ea1bc3de46864e1a355711ac7d2a1',1,'tvm::relay::InstanceNormAttrs::scale()'],['../classtvm_1_1arith_1_1IterSplitExprNode.html#a93c760bb7a5af0c7c442dc879d7bc55a',1,'tvm::arith [...]
-  ['scale_5fd_3896',['scale_d',['../structtvm_1_1relay_1_1UpSampling3DAttrs.html#a73f52d511b9d564724930bc40497cee7',1,'tvm::relay::UpSampling3DAttrs']]],
-  ['scale_5fh_3897',['scale_h',['../structtvm_1_1relay_1_1UpSampling3DAttrs.html#a8ce387249c84609450b32fd69d719366',1,'tvm::relay::UpSampling3DAttrs::scale_h()'],['../structtvm_1_1relay_1_1UpSamplingAttrs.html#a0783ffc51d1f90cf5c0762052c4eaf5c',1,'tvm::relay::UpSamplingAttrs::scale_h()']]],
-  ['scale_5fshift_5fnchw_3898',['scale_shift_nchw',['../namespacetvm_1_1topi_1_1nn.html#a07b8a04f27f17af58dd9f18218cfe081',1,'tvm::topi::nn']]],
-  ['scale_5fshift_5fnhwc_3899',['scale_shift_nhwc',['../namespacetvm_1_1topi_1_1nn.html#acaf3bc4e89fc55b8444d2550d25f4f22',1,'tvm::topi::nn']]],
-  ['scale_5fw_3900',['scale_w',['../structtvm_1_1relay_1_1UpSamplingAttrs.html#af6c8568dcaaf3106502660a74b5847dd',1,'tvm::relay::UpSamplingAttrs::scale_w()'],['../structtvm_1_1relay_1_1UpSampling3DAttrs.html#a270613b4109d2b24766b7bfbac2539c1',1,'tvm::relay::UpSampling3DAttrs::scale_w()']]],
-  ['scales_3901',['scales',['../structtvm_1_1relay_1_1ProposalAttrs.html#a0fbb2676833987768b1062753e2df1a3',1,'tvm::relay::ProposalAttrs']]],
-  ['scan_3902',['scan',['../namespacetvm_1_1te.html#a2211520c227ed5ec6fe220076a495eea',1,'tvm::te']]],
-  ['scan_3903',['Scan',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html#a9c92d0b33d1cf1e8c9a57eefc7b4430f',1,'tvm::script::ir_builder::tir::axis']]],
-  ['scan_5faxis_3904',['scan_axis',['../classtvm_1_1te_1_1ScanOpNode.html#a9c67e6383696efbba8e1ff9bd7470c8a',1,'tvm::te::ScanOpNode']]],
-  ['scan_5finit_5fscope_3905',['scan_init_scope',['../namespacetvm_1_1tir_1_1attr.html#af18e3a9ba11d436e02b55e420647b22b',1,'tvm::tir::attr']]],
-  ['scan_5fupdate_5fscope_3906',['scan_update_scope',['../namespacetvm_1_1tir_1_1attr.html#a84f5d42e968fd8f4cdd7a4aac7ba2137',1,'tvm::tir::attr']]],
-  ['scanop_3907',['ScanOp',['../classtvm_1_1te_1_1ScanOp.html#ac09bdcae5190ada19d18f1f77f2c8a65',1,'tvm::te::ScanOp::ScanOp()'],['../classtvm_1_1te_1_1ScanOp.html',1,'tvm::te::ScanOp']]],
-  ['scanopattrs_3908',['ScanopAttrs',['../structtvm_1_1relay_1_1ScanopAttrs.html',1,'tvm::relay']]],
-  ['scanopnode_3909',['ScanOpNode',['../classtvm_1_1te_1_1ScanOpNode.html#a1b681295f74cb94732ef167a15a8488f',1,'tvm::te::ScanOpNode::ScanOpNode()'],['../classtvm_1_1te_1_1ScanOpNode.html',1,'tvm::te::ScanOpNode']]],
-  ['scatterelementsattrs_3910',['ScatterElementsAttrs',['../structtvm_1_1relay_1_1ScatterElementsAttrs.html',1,'tvm::relay']]],
-  ['scatterndattrs_3911',['ScatterNDAttrs',['../structtvm_1_1relay_1_1ScatterNDAttrs.html',1,'tvm::relay']]],
-  ['sch_3912',['sch',['../classtvm_1_1meta__schedule_1_1MeasureCandidateNode.html#a09ca07d9214f494e04ea57972109c6d6',1,'tvm::meta_schedule::MeasureCandidateNode']]],
-  ['sch_5frules_3913',['sch_rules',['../classtvm_1_1meta__schedule_1_1SpaceGeneratorNode.html#a13d999ca33bcf255fb66cb466137a402',1,'tvm::meta_schedule::SpaceGeneratorNode']]],
-  ['schedulable_5fndim_3914',['schedulable_ndim',['../classtvm_1_1te_1_1TensorComputeOpNode.html#af9fbd1dbdccbfbef35a87137b6a1bee6',1,'tvm::te::TensorComputeOpNode']]],
-  ['schedule_3915',['Schedule',['../classtvm_1_1te_1_1Schedule.html#a1eb19ccb06835a11edc39ed1410f01af',1,'tvm::te::Schedule::Schedule()'],['../classtvm_1_1tir_1_1ScheduleNode.html#aae5808dc2e987bf17ef42196457a654d',1,'tvm::tir::ScheduleNode::Schedule()'],['../classtvm_1_1relay_1_1OpImplementation.html#a13a90107dc66983c53faf1620b022d28',1,'tvm::relay::OpImplementation::Schedule()'],['../classtvm_1_1te_1_1Schedule.html#a90fb6686f85d6a0be14fe52de8f48402',1,'tvm::te::Schedule::Schedule(Array [...]
-  ['schedule_2eh_3916',['schedule.h',['../tir_2schedule_2schedule_8h.html',1,'(Global Namespace)'],['../te_2schedule_8h.html',1,'(Global Namespace)']]],
-  ['schedule_5fbinarize_5fpack_3917',['schedule_binarize_pack',['../namespacetvm_1_1topi_1_1x86.html#a147c5543900d2577386840c2df896a42',1,'tvm::topi::x86']]],
-  ['schedule_5fbinary_5fdense_3918',['schedule_binary_dense',['../namespacetvm_1_1topi_1_1x86.html#a816e1819d7c26cb68aa858474bc97e46',1,'tvm::topi::x86']]],
-  ['schedule_5fdense_3919',['schedule_dense',['../namespacetvm_1_1topi_1_1cuda.html#a67def722e608bf15e836cec8181f75ff',1,'tvm::topi::cuda::schedule_dense()'],['../namespacetvm_1_1topi_1_1rocm.html#abe13cfee88cd67a15c064d16f4af46ad',1,'tvm::topi::rocm::schedule_dense()']]],
-  ['schedule_5fextern_3920',['schedule_extern',['../namespacetvm_1_1topi_1_1generic.html#a6ffba9caa2147c24b8f2fa53f6618e5b',1,'tvm::topi::generic']]],
-  ['schedule_5fglobal_5fpool_3921',['schedule_global_pool',['../namespacetvm_1_1topi_1_1cuda.html#ad29a3518671a48fab5b0eb18de35e787',1,'tvm::topi::cuda::schedule_global_pool()'],['../namespacetvm_1_1topi_1_1rocm.html#a45aee34b0000f98aafd958ffe9baebc0',1,'tvm::topi::rocm::schedule_global_pool()']]],
-  ['schedule_5finjective_3922',['schedule_injective',['../namespacetvm_1_1topi_1_1generic.html#a74857e4462b70fadef2d1761e2fbf514',1,'tvm::topi::generic::schedule_injective()'],['../namespacetvm_1_1topi_1_1cuda.html#a9a137fa03c26e87448d89697f344c9ce',1,'tvm::topi::cuda::schedule_injective()'],['../namespacetvm_1_1topi_1_1rocm.html#aecff022e332d1556f92d9606af40ccb1',1,'tvm::topi::rocm::schedule_injective()'],['../namespacetvm_1_1topi_1_1x86.html#a9ff21a27a270e187c985a93b565232c4',1,'tvm::t [...]
-  ['schedule_5finjective_5ffrom_5fexisting_3923',['schedule_injective_from_existing',['../namespacetvm_1_1topi_1_1generic.html#ac702cf597da4bd20ca41c12c9d6f339c',1,'tvm::topi::generic::schedule_injective_from_existing()'],['../namespacetvm_1_1topi_1_1cuda.html#acd6a96595f034560c78038c593dd9c3e',1,'tvm::topi::cuda::schedule_injective_from_existing()'],['../namespacetvm_1_1topi_1_1rocm.html#aade0f256897bf615640b06ce02171b14',1,'tvm::topi::rocm::schedule_injective_from_existing()'],['../nam [...]
-  ['schedule_5fpass_2eh_3924',['schedule_pass.h',['../schedule__pass_8h.html',1,'']]],
-  ['schedule_5fpool_3925',['schedule_pool',['../namespacetvm_1_1topi_1_1cuda.html#a8730c64578bca631c66bfde693730ca6',1,'tvm::topi::cuda::schedule_pool()'],['../namespacetvm_1_1topi_1_1rocm.html#a7d6d48e5cbcec1b7cc0a90c7399e2a8a',1,'tvm::topi::rocm::schedule_pool()']]],
-  ['schedule_5frecord_3926',['schedule_record',['../classtvm_1_1te_1_1ScheduleNode.html#a52983b1afd658ec3b885b3b076c6203d',1,'tvm::te::ScheduleNode']]],
-  ['schedule_5freduce_3927',['schedule_reduce',['../namespacetvm_1_1topi_1_1cuda.html#a674cabb64c0a45fd58c595389beb4919',1,'tvm::topi::cuda::schedule_reduce()'],['../namespacetvm_1_1topi_1_1rocm.html#aa4e0bacdd895904427bfc300ca9ace32',1,'tvm::topi::rocm::schedule_reduce()']]],
-  ['schedule_5frule_2eh_3928',['schedule_rule.h',['../schedule__rule_8h.html',1,'']]],
-  ['schedule_5fsoftmax_3929',['schedule_softmax',['../namespacetvm_1_1topi_1_1rocm.html#ab71ce2b3685f0ce5f30d2d661c5e799b',1,'tvm::topi::rocm::schedule_softmax()'],['../namespacetvm_1_1topi_1_1cuda.html#a4a2a33ae8186abc6af4dae2ffd12ff91',1,'tvm::topi::cuda::schedule_softmax()']]],
-  ['schedulecontext_3930',['ScheduleContext',['../classtvm_1_1te_1_1ScheduleContext.html',1,'tvm::te']]],
-  ['scheduledebugmask_3931',['ScheduleDebugMask',['../namespacetvm_1_1tir.html#a230fa4eb6152910f125f636dab3bd4e0',1,'tvm::tir']]],
-  ['scheduleerrorrenderlevel_3932',['ScheduleErrorRenderLevel',['../namespacetvm_1_1tir.html#a9ae244600a5e56c4adc9faf6d88f931e',1,'tvm::tir']]],
-  ['schedulefn_3933',['ScheduleFn',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a4a7bf04c99138534f38508157baf602c',1,'tvm::meta_schedule::SpaceGenerator']]],
-  ['schedulefndatabase_3934',['ScheduleFnDatabase',['../classtvm_1_1meta__schedule_1_1Database.html#a75f998ad3493c37b4ad2a1d93f454443',1,'tvm::meta_schedule::Database']]],
-  ['schedulenode_3935',['ScheduleNode',['../classtvm_1_1te_1_1ScheduleNode.html',1,'tvm::te::ScheduleNode'],['../classtvm_1_1tir_1_1ScheduleNode.html',1,'tvm::tir::ScheduleNode']]],
-  ['scheduleops_3936',['ScheduleOps',['../namespacetvm_1_1te.html#ac5f0fdd7c2d3deb15b7855c5b1ff1aff',1,'tvm::te']]],
-  ['schedulepostproctoprimfunc_3937',['SchedulePostProcToPrimFunc',['../namespacetvm_1_1te.html#a9eb39ad3aa5af348b1b27e755074f525',1,'tvm::te']]],
-  ['schedulereduce_3938',['ScheduleReduce',['../namespacetvm_1_1topi_1_1cuda.html#a3dbbf8bdb78533c15e62ab0e874eb360',1,'tvm::topi::cuda']]],
-  ['schedulerule_3939',['ScheduleRule',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html',1,'tvm::meta_schedule']]],
-  ['schedulerulenode_3940',['ScheduleRuleNode',['../classtvm_1_1meta__schedule_1_1ScheduleRuleNode.html',1,'tvm::meta_schedule']]],
-  ['schedulestate_3941',['ScheduleState',['../classtvm_1_1tir_1_1ScheduleState.html#a00cb7c3bda192835122e95de78925a7b',1,'tvm::tir::ScheduleState::ScheduleState()'],['../classtvm_1_1tir_1_1ScheduleState.html',1,'tvm::tir::ScheduleState']]],
-  ['schedulestatenode_3942',['ScheduleStateNode',['../classtvm_1_1tir_1_1ScheduleStateNode.html',1,'tvm::tir']]],
-  ['scheduletomodule_3943',['ScheduleToModule',['../namespacetvm.html#a16d68709766f3c808c5a8921d4f72274',1,'tvm']]],
-  ['scope_3944',['scope',['../classtvm_1_1tir_1_1Buffer.html#a27744860792f4dd5980ac62c0b9c4405',1,'tvm::tir::Buffer::scope()'],['../structtvm_1_1tir_1_1BlockInfo.html#a26f43b05e354b8e860a365c4c98c6f11',1,'tvm::tir::BlockInfo::scope()'],['../classtvm_1_1te_1_1StageNode.html#a55acf027a39738cd1ddd063b27086038',1,'tvm::te::StageNode::scope()']]],
-  ['scope_5fname_3945',['scope_name',['../classtvm_1_1auto__scheduler_1_1CacheReadStepNode.html#a3acb0811d66ee113a91c7c3501bbf01a',1,'tvm::auto_scheduler::CacheReadStepNode::scope_name()'],['../classtvm_1_1auto__scheduler_1_1CacheWriteStepNode.html#ae296d4462c2def1263a95a4c57ce9514',1,'tvm::auto_scheduler::CacheWriteStepNode::scope_name()']]],
-  ['scopedoc_3946',['ScopeDoc',['../classtvm_1_1script_1_1printer_1_1ScopeDoc.html#aec595b3a2a9e9fb8fbc22c3f58a9dec7',1,'tvm::script::printer::ScopeDoc::ScopeDoc(Optional&lt; ExprDoc &gt; lhs, ExprDoc rhs, Array&lt; StmtDoc &gt; body)'],['../classtvm_1_1script_1_1printer_1_1ScopeDoc.html#a04590cd32fa1ed52f376549be09c214e',1,'tvm::script::printer::ScopeDoc::ScopeDoc(ExprDoc rhs, Array&lt; StmtDoc &gt; body)'],['../classtvm_1_1script_1_1printer_1_1ScopeDoc.html',1,'tvm::script::printer::Sc [...]
-  ['scopedocnode_3947',['ScopeDocNode',['../classtvm_1_1script_1_1printer_1_1ScopeDocNode.html',1,'tvm::script::printer']]],
-  ['scopedtimer_3948',['ScopedTimer',['../classtvm_1_1meta__schedule_1_1ScopedTimer.html',1,'tvm::meta_schedule']]],
-  ['score_5findex_3949',['score_index',['../structtvm_1_1relay_1_1GetValidCountsAttrs.html#ac1f6c8ed8f47f543c20501af98cff87b',1,'tvm::relay::GetValidCountsAttrs::score_index()'],['../structtvm_1_1relay_1_1NonMaximumSuppressionAttrs.html#aef590e963d5af653e09e6327808c4723',1,'tvm::relay::NonMaximumSuppressionAttrs::score_index()']]],
-  ['score_5fthreshold_3950',['score_threshold',['../structtvm_1_1relay_1_1GetValidCountsAttrs.html#ad9cb7822369b93d0be7b2eeb01e2bcd5',1,'tvm::relay::GetValidCountsAttrs::score_threshold()'],['../structtvm_1_1relay_1_1RegularNonMaximumSuppressionAttrs.html#a8fb99d0720c0a115ce3165f9411022d8',1,'tvm::relay::RegularNonMaximumSuppressionAttrs::score_threshold()']]],
-  ['script_3951',['Script',['../classtvm_1_1TVMScriptPrinter.html#a703e7ac95c8a0672506323f6a0ea09f4',1,'tvm::TVMScriptPrinter']]],
-  ['script_5fparsing_5fdetect_5faccess_3952',['script_parsing_detect_access',['../namespacetvm_1_1tir_1_1attr.html#a3c66b2c968e985c3f4264979c18622e8',1,'tvm::tir::attr']]],
-  ['script_5fprinter_2eh_3953',['script_printer.h',['../script__printer_8h.html',1,'']]],
-  ['scriptdtypeprintlocation_3954',['ScriptDtypePrintLocation',['../namespacetvm_1_1tir.html#ae52a7f5a7dfc8ed07258b2f526df603e',1,'tvm::tir']]],
-  ['search_3955',['Search',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#aa118c6b76b8b70ef2ee614553532a49c',1,'tvm::auto_scheduler::SearchPolicyNode']]],
-  ['search_5fpolicy_2eh_3956',['search_policy.h',['../search__policy_8h.html',1,'']]],
-  ['search_5fstrategy_3957',['search_strategy',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#ac0030a1f3321be5cbc75226be5690b4b',1,'tvm::meta_schedule::TuneContextNode']]],
-  ['search_5fstrategy_2eh_3958',['search_strategy.h',['../search__strategy_8h.html',1,'']]],
-  ['search_5ftask_3959',['search_task',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#a47000a055992988ae674b5219ae5f607',1,'tvm::auto_scheduler::SearchPolicyNode']]],
-  ['search_5ftask_2eh_3960',['search_task.h',['../search__task_8h.html',1,'']]],
-  ['searchcallback_3961',['SearchCallback',['../classtvm_1_1auto__scheduler_1_1SearchCallback.html',1,'tvm::auto_scheduler']]],
-  ['searchcallbacknode_3962',['SearchCallbackNode',['../classtvm_1_1auto__scheduler_1_1SearchCallbackNode.html',1,'tvm::auto_scheduler']]],
-  ['searchpolicy_3963',['SearchPolicy',['../classtvm_1_1auto__scheduler_1_1SearchPolicy.html',1,'tvm::auto_scheduler']]],
-  ['searchpolicykey_3964',['SearchPolicyKey',['../structtvm_1_1auto__scheduler_1_1SearchPolicyKey.html',1,'tvm::auto_scheduler']]],
-  ['searchpolicynode_3965',['SearchPolicyNode',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html',1,'tvm::auto_scheduler']]],
-  ['searchsortedattrs_3966',['SearchSortedAttrs',['../structtvm_1_1relay_1_1SearchSortedAttrs.html',1,'tvm::relay']]],
-  ['searchstrategy_3967',['SearchStrategy',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html',1,'tvm::meta_schedule']]],
-  ['searchstrategynode_3968',['SearchStrategyNode',['../classtvm_1_1meta__schedule_1_1SearchStrategyNode.html',1,'tvm::meta_schedule']]],
-  ['searchtask_3969',['SearchTask',['../classtvm_1_1auto__scheduler_1_1SearchTask.html#ad222f58917a347f10ee27fd6afdab144',1,'tvm::auto_scheduler::SearchTask::SearchTask()'],['../classtvm_1_1auto__scheduler_1_1SearchTask.html',1,'tvm::auto_scheduler::SearchTask']]],
-  ['searchtasknode_3970',['SearchTaskNode',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html',1,'tvm::auto_scheduler']]],
-  ['seed_3971',['Seed',['../classtvm_1_1tir_1_1ScheduleNode.html#a3cb60d6112fe5a443ef39bc005c9fbf1',1,'tvm::tir::ScheduleNode::Seed()'],['../classtvm_1_1support_1_1LinearCongruentialEngine.html#a4cfd606560acb061fdf2681f7272c9df',1,'tvm::support::LinearCongruentialEngine::Seed()']]],
-  ['select_3972',['Select',['../classtvm_1_1tir_1_1Select.html#acd72de75a457efce463983c4ab01b07d',1,'tvm::tir::Select::Select()'],['../classtvm_1_1tir_1_1Select.html',1,'tvm::tir::Select']]],
-  ['select_5flast_5findex_3973',['select_last_index',['../structtvm_1_1relay_1_1ArgReduceAttrs.html#a8c2cc26237f538ad5fa20b0dc7bef0ed',1,'tvm::relay::ArgReduceAttrs']]],
-  ['selectnode_3974',['SelectNode',['../classtvm_1_1tir_1_1SelectNode.html',1,'tvm::tir']]],
-  ['selectplacementpool_3975',['SelectPlacementPool',['../classtvm_1_1tir_1_1usmp_1_1algo_1_1GreedyBase.html#a1b5b649e57b00a10336e4c192b00c294',1,'tvm::tir::usmp::algo::GreedyBase']]],
-  ['selectsequalreduce_3976',['SelectSEqualReduce',['../structtvm_1_1detail_1_1SelectSEqualReduce.html',1,'tvm::detail']]],
-  ['selectsequalreduce_3c_20t_2c_20traitname_2c_20false_20_3e_3977',['SelectSEqualReduce&lt; T, TraitName, false &gt;',['../structtvm_1_1detail_1_1SelectSEqualReduce_3_01T_00_01TraitName_00_01false_01_4.html',1,'tvm::detail']]],
-  ['selectshashreduce_3978',['SelectSHashReduce',['../structtvm_1_1detail_1_1SelectSHashReduce.html',1,'tvm::detail']]],
-  ['selectshashreduce_3c_20t_2c_20traitname_2c_20false_20_3e_3979',['SelectSHashReduce&lt; T, TraitName, false &gt;',['../structtvm_1_1detail_1_1SelectSHashReduce_3_01T_00_01TraitName_00_01false_01_4.html',1,'tvm::detail']]],
-  ['selectvisitattrs_3980',['SelectVisitAttrs',['../structtvm_1_1detail_1_1SelectVisitAttrs.html',1,'tvm::detail']]],
-  ['selectvisitattrs_3c_20t_2c_20traitname_2c_20false_20_3e_3981',['SelectVisitAttrs&lt; T, TraitName, false &gt;',['../structtvm_1_1detail_1_1SelectVisitAttrs_3_01T_00_01TraitName_00_01false_01_4.html',1,'tvm::detail']]],
-  ['self_3982',['Self',['../classtvm_1_1runtime_1_1InplaceArrayBase.html#ae447f7c7a742fb3f5613a632706509df',1,'tvm::runtime::InplaceArrayBase']]],
-  ['self_3983',['self',['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#a5bac4439279428fb3c0d44aa6b1cc798',1,'tvm::runtime::MapNode::iterator']]],
-  ['sendbodychunk_3984',['SendBodyChunk',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a37b77101825145283cced6cd05eb502c',1,'tvm::runtime::micro_rpc::Session']]],
-  ['sendmessage_3985',['SendMessage',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a6e540521a7e9188564da712c0641619c',1,'tvm::runtime::micro_rpc::Session']]],
-  ['seq_3986',['seq',['../classtvm_1_1tir_1_1SeqStmtNode.html#a0e548955529d35c56e646fcaac38f865',1,'tvm::tir::SeqStmtNode']]],
-  ['seq_5faxis_3987',['seq_axis',['../structtvm_1_1relay_1_1ReverseSequenceAttrs.html#ab107432fff56dfd9ef4a0600ee616ade',1,'tvm::relay::ReverseSequenceAttrs']]],
-  ['seq_5findex_3988',['seq_index',['../classtvm_1_1tir_1_1StmtSRefNode.html#a1ce9920064b0c2ed42be0e9412fd3939',1,'tvm::tir::StmtSRefNode']]],
-  ['seqstmt_3989',['SeqStmt',['../classtvm_1_1tir_1_1SeqStmt.html#afe971b51fb6990008a118d4aa16c0920',1,'tvm::tir::SeqStmt::SeqStmt()'],['../classtvm_1_1tir_1_1SeqStmt.html',1,'tvm::tir::SeqStmt']]],
-  ['seqstmtnode_3990',['SeqStmtNode',['../classtvm_1_1tir_1_1SeqStmtNode.html',1,'tvm::tir']]],
-  ['sequalhandlerdefault_3991',['SEqualHandlerDefault',['../classtvm_1_1SEqualHandlerDefault.html#a843bd92c063e719d7459228594fc9237',1,'tvm::SEqualHandlerDefault::SEqualHandlerDefault()'],['../classtvm_1_1SEqualHandlerDefault.html',1,'tvm::SEqualHandlerDefault']]],
-  ['sequalreduce_3992',['SEqualReduce',['../structtvm_1_1WorkspaceMemoryPoolsNode.html#a83c9882d87f8afdf241e727886651fe8',1,'tvm::WorkspaceMemoryPoolsNode::SEqualReduce()'],['../classtvm_1_1RangeNode.html#a53988be7b3181aa3b55eb991b615c48d',1,'tvm::RangeNode::SEqualReduce()'],['../classtvm_1_1PointerTypeNode.html#a6ebf93cad9d56332ee2d90ad21aee08c',1,'tvm::PointerTypeNode::SEqualReduce()'],['../structtvm_1_1ConstantMemoryPoolsNode.html#a298cff0d8167978183f155d4b28eaac7',1,'tvm::ConstantMem [...]
-  ['sequalreducer_3993',['SEqualReducer',['../classtvm_1_1SEqualReducer.html#abb85d3142af880fb96ae79423e5c382e',1,'tvm::SEqualReducer::SEqualReducer()=default'],['../classtvm_1_1SEqualReducer.html#a97eb296b45fee73c0ff6064c8eebdd7c',1,'tvm::SEqualReducer::SEqualReducer(Handler *handler, const PathTracingData *tracing_data, bool map_free_vars)'],['../classtvm_1_1SEqualReducer.html',1,'tvm::SEqualReducer']]],
-  ['sequence_5fmask_3994',['sequence_mask',['../namespacetvm_1_1topi.html#a037a112cc5c556107797e36e7feb0873',1,'tvm::topi']]],
-  ['sequencemaskattrs_3995',['SequenceMaskAttrs',['../structtvm_1_1relay_1_1SequenceMaskAttrs.html',1,'tvm::relay']]],
-  ['sequential_3996',['Sequential',['../namespacetvm_1_1relay_1_1transform.html#a3ae923037d22b4640b450c06e6c1e33e',1,'tvm::relay::transform::Sequential()'],['../classtvm_1_1transform_1_1Sequential.html#ad8a15a63b3d4a2b10518c7a61a761416',1,'tvm::transform::Sequential::Sequential(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1transform_1_1Sequential.html#abfea4507335788cb4d2cf0cfe605d3d6',1,'tvm::transform::Sequential::Sequential()=default'],['../classtvm_1_1transform_1_1Sequential.html#a [...]
-  ['sequentialnode_3997',['SequentialNode',['../classtvm_1_1transform_1_1SequentialNode.html',1,'tvm::transform']]],
-  ['sequentialspan_3998',['SequentialSpan',['../classtvm_1_1SequentialSpan.html#a271a8abb10ee43d964fc783955b337e0',1,'tvm::SequentialSpan::SequentialSpan(Array&lt; Span &gt; spans)'],['../classtvm_1_1SequentialSpan.html#aa5adb345b82e0be21d8fbc2a13573846',1,'tvm::SequentialSpan::SequentialSpan(std::initializer_list&lt; Span &gt; init)'],['../classtvm_1_1SequentialSpan.html',1,'tvm::SequentialSpan']]],
-  ['sequentialspannode_3999',['SequentialSpanNode',['../classtvm_1_1SequentialSpanNode.html',1,'tvm']]],
-  ['serial_4000',['Serial',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a4bc5b417d21541e3b45a9eaa5c438a96',1,'tvm::script::ir_builder::tir']]],
-  ['serialization_2eh_4001',['serialization.h',['../serialization_8h.html',1,'']]],
-  ['serializemoduletobytes_4002',['SerializeModuleToBytes',['../namespacetvm_1_1codegen.html#a45234a2d35685aa86c803ae4426e0315',1,'tvm::codegen']]],
-  ['serializer_2eh_4003',['serializer.h',['../serializer_8h.html',1,'']]],
-  ['session_4004',['Session',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#abaf0fe1fe09c268c7c44719dd0cbfa8c',1,'tvm::runtime::micro_rpc::Session::Session()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html',1,'tvm::runtime::micro_rpc::Session']]],
-  ['session_2eh_4005',['session.h',['../session_8h.html',1,'']]],
-  ['session_5fid_4006',['session_id',['../structtvm_1_1runtime_1_1micro__rpc_1_1SessionHeader.html#ae3a24ea6c4a1569d540f1d5330bf73d5',1,'tvm::runtime::micro_rpc::SessionHeader']]],
-  ['sessionheader_4007',['SessionHeader',['../namespacetvm_1_1runtime_1_1micro__rpc.html#aa46cb8bcbeecd0a410328ab51aa3b737',1,'tvm::runtime::micro_rpc::SessionHeader()'],['../structtvm_1_1runtime_1_1micro__rpc_1_1SessionHeader.html',1,'tvm::runtime::micro_rpc::SessionHeader']]],
-  ['set_4008',['Set',['../classtvm_1_1runtime_1_1Array.html#ab5db2ee9a8be71931324dac552be24c4',1,'tvm::runtime::Array::Set()'],['../classtvm_1_1runtime_1_1Map.html#ad3a78d88e3a9292d11ce04ff2dfe0702',1,'tvm::runtime::Map::Set()']]],
-  ['set_5fapply_5fto_5fschedule_4009',['set_apply_to_schedule',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#a672657caeb76b9f017a3e4e26638ed8e',1,'tvm::tir::InstructionKindRegEntry']]],
-  ['set_5fas_5fpython_4010',['set_as_python',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#ace9c0ce6dc6a0262db9e58e555baf316',1,'tvm::tir::InstructionKindRegEntry']]],
-  ['set_5fattr_4011',['set_attr',['../classtvm_1_1OpRegEntry.html#adc8816f7e131c9a2fd1935265c8af783',1,'tvm::OpRegEntry::set_attr()'],['../classtvm_1_1TargetKindRegEntry.html#a4fa4f8e5fa280ddf3dc71310afd467a5',1,'tvm::TargetKindRegEntry::set_attr()']]],
-  ['set_5fattrs_5fas_5fjson_4012',['set_attrs_as_json',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#a42abbb999a4b1ae4201da5b89a84ec37',1,'tvm::tir::InstructionKindRegEntry']]],
-  ['set_5fattrs_5ffrom_5fjson_4013',['set_attrs_from_json',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#a2f0749de5261c72cbf8bdac2bd22b31e',1,'tvm::tir::InstructionKindRegEntry']]],
-  ['set_5fattrs_5fpreprocessor_4014',['set_attrs_preprocessor',['../classtvm_1_1TargetKindRegEntry.html#a00b1eb0ab1927210a6a519baecb3085e',1,'tvm::TargetKindRegEntry']]],
-  ['set_5fattrs_5ftype_4015',['set_attrs_type',['../classtvm_1_1OpRegEntry.html#aa86816470fa8482a20558739f2691c23',1,'tvm::OpRegEntry']]],
-  ['set_5fattrs_5ftype_5fkey_4016',['set_attrs_type_key',['../classtvm_1_1OpRegEntry.html#a0c6a92638cb8252abb4ddd55e7c9380f',1,'tvm::OpRegEntry']]],
-  ['set_5faxis_5fseparators_4017',['set_axis_separators',['../classtvm_1_1te_1_1Stage.html#af550fb58b5827bb536a3f5f68354a8e5',1,'tvm::te::Stage']]],
-  ['set_5fbody_4018',['set_body',['../classtvm_1_1runtime_1_1Registry.html#a4070955dda6e6127dcc9d418c79cc9bd',1,'tvm::runtime::Registry::set_body(TCallable f)'],['../classtvm_1_1runtime_1_1Registry.html#aed802ed365a13a9cef9de4e9f601b62a',1,'tvm::runtime::Registry::set_body(PackedFunc f)']]],
-  ['set_5fbody_5fmethod_4019',['set_body_method',['../classtvm_1_1runtime_1_1Registry.html#a106607f2535dc7c838646aabd029aa60',1,'tvm::runtime::Registry::set_body_method(R(TNode::*f)(Args...) const)'],['../classtvm_1_1runtime_1_1Registry.html#ae5fcbc18ff9a33ad700592dc8e46bd90',1,'tvm::runtime::Registry::set_body_method(R(TNode::*f)(Args...))'],['../classtvm_1_1runtime_1_1Registry.html#ae5f7cc19067b609a79e1bb32b7b6f086',1,'tvm::runtime::Registry::set_body_method(R(T::*f)(Args...))'],['../c [...]
-  ['set_5fbody_5ftyped_4020',['set_body_typed',['../classtvm_1_1runtime_1_1Registry.html#a607848df01d8c33d7e2cf40e7dae42b1',1,'tvm::runtime::Registry']]],
-  ['set_5fconfig_4021',['set_config',['../classtvm_1_1TargetTagRegEntry.html#a3c1b66885a103360f56a17ef1e4dde2e',1,'tvm::TargetTagRegEntry']]],
-  ['set_5fcreator_4022',['set_creator',['../classtvm_1_1ReflectionVTable_1_1Registry.html#a33948eae2c61e1c80c637f08b516594a',1,'tvm::ReflectionVTable::Registry']]],
-  ['set_5fdefault_4023',['set_default',['../structtvm_1_1detail_1_1AttrNopEntry.html#a370e92bafbada9ba805a52e72881f98b',1,'tvm::detail::AttrNopEntry::set_default()'],['../structtvm_1_1detail_1_1AttrInitEntry.html#ae6f6e6264a5b6373b2daada1f55a1dca',1,'tvm::detail::AttrInitEntry::set_default()'],['../classtvm_1_1detail_1_1AttrDocEntry.html#a2a0d680fbaaef688f3ffb9e5d897e417',1,'tvm::detail::AttrDocEntry::set_default()'],['../structtvm_1_1detail_1_1AttrTriggerNonDefaultEntry.html#ae88a65b8d9 [...]
-  ['set_5fdefault_5fdevice_5ftype_4024',['set_default_device_type',['../classtvm_1_1TargetKindRegEntry.html#aa34789ae275e36dcd6696aa3881bbc92',1,'tvm::TargetKindRegEntry']]],
-  ['set_5fdefault_5fkeys_4025',['set_default_keys',['../classtvm_1_1TargetKindRegEntry.html#a2995c32e12246e892f7f4cb621a2819c',1,'tvm::TargetKindRegEntry']]],
-  ['set_5fdispatch_4026',['set_dispatch',['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#ae278c90765380fdcb96043f403006420',1,'tvm::script::printer::IRDocsifierFunctor::set_dispatch(String token, TCallable f)'],['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#ac8f03bc06fa0f52d9f0d22fcc94d9f9c',1,'tvm::script::printer::IRDocsifierFunctor::set_dispatch(String token, uint32_t type_index, runtime::PackedFunc f)'],['../classtvm_1_1NodeFunctor_3_01R_07const_01ObjectR [...]
-  ['set_5ffallback_4027',['set_fallback',['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#a89cc270c31e8d39d38fabc8b92b35cc8',1,'tvm::script::printer::IRDocsifierFunctor::set_fallback(runtime::PackedFunc f)'],['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#a27680aed8d4a7014870951bc3c53d7b4',1,'tvm::script::printer::IRDocsifierFunctor::set_fallback(TCallable f)']]],
-  ['set_5fis_5fpure_4028',['set_is_pure',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#ade332453b008e4fce49a3e3ebb4721c5',1,'tvm::tir::InstructionKindRegEntry']]],
-  ['set_5flower_5fbound_4029',['set_lower_bound',['../structtvm_1_1detail_1_1AttrNopEntry.html#a36da34fc54009d63283d07e9d41657f7',1,'tvm::detail::AttrNopEntry::set_lower_bound()'],['../structtvm_1_1detail_1_1AttrInitEntry.html#a5608a2a457a397bf11f2be2776ec0653',1,'tvm::detail::AttrInitEntry::set_lower_bound()'],['../classtvm_1_1detail_1_1AttrDocEntry.html#a201e9d6c937d2f444d91fcc8185f8309',1,'tvm::detail::AttrDocEntry::set_lower_bound()'],['../structtvm_1_1detail_1_1AttrTriggerNonDefault [...]
-  ['set_5fname_4030',['set_name',['../classtvm_1_1OpRegEntry.html#a655190541a44ee287902ae1fead3ff94',1,'tvm::OpRegEntry::set_name()'],['../classtvm_1_1TargetTagRegEntry.html#a2bf53ad591587d2b3f710d01a0b6d5bb',1,'tvm::TargetTagRegEntry::set_name()'],['../classtvm_1_1TargetKindRegEntry.html#a36f21402bccb03300478d6c85bd05512',1,'tvm::TargetKindRegEntry::set_name()'],['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#ac2c87342f8a017e55474e0094561f79c',1,'tvm::tir::InstructionKindRegEntry:: [...]
-  ['set_5fnum_5finputs_4031',['set_num_inputs',['../classtvm_1_1OpRegEntry.html#af3bbb881b17dcc8c19ddb8ec9b40e462',1,'tvm::OpRegEntry']]],
-  ['set_5foutputs_5fenabled_5f_4032',['set_outputs_enabled_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a6a3eb3eb9362ee6567e9ee6a6bc7ccf9',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['set_5frepr_5fbytes_4033',['set_repr_bytes',['../classtvm_1_1ReflectionVTable_1_1Registry.html#a27f62397ab10a8468c7de8350a6d1cee',1,'tvm::ReflectionVTable::Registry']]],
-  ['set_5fscope_4034',['set_scope',['../classtvm_1_1te_1_1Stage.html#a0dc42f190125f0cf63e8d749ae66af7c',1,'tvm::te::Stage']]],
-  ['set_5fstore_5fpredicate_4035',['set_store_predicate',['../classtvm_1_1te_1_1Stage.html#a0056636228aed23f71eecc0810731436',1,'tvm::te::Stage']]],
-  ['set_5fsupport_5flevel_4036',['set_support_level',['../classtvm_1_1OpRegEntry.html#ab4f7e0f99c8acf2153e15f7cbb6c3c97',1,'tvm::OpRegEntry']]],
-  ['set_5ftarget_5fparser_4037',['set_target_parser',['../classtvm_1_1TargetKindRegEntry.html#a21152c83f61180dcb6293226a98025a8',1,'tvm::TargetKindRegEntry']]],
-  ['set_5fupper_5fbound_4038',['set_upper_bound',['../structtvm_1_1detail_1_1AttrNopEntry.html#add2843b725ee43be26672a8d2d641cce',1,'tvm::detail::AttrNopEntry::set_upper_bound()'],['../structtvm_1_1detail_1_1AttrInitEntry.html#a69e876dfc10eed9573c3043ea5ef2013',1,'tvm::detail::AttrInitEntry::set_upper_bound()'],['../classtvm_1_1detail_1_1AttrDocEntry.html#aec039b071d826ab164c5abe123aefaa3',1,'tvm::detail::AttrDocEntry::set_upper_bound()'],['../structtvm_1_1detail_1_1AttrTriggerNonDefault [...]
-  ['setargs_4039',['SetArgs',['../structTVMPackedFunc.html#a455396ff85d8e8cff95e39ae15ad5cc6',1,'TVMPackedFunc']]],
-  ['setaxisseparator_4040',['SetAxisSeparator',['../classtvm_1_1tir_1_1ScheduleNode.html#a025b5eef0c2516fc1f72eed9ced88807',1,'tvm::tir::ScheduleNode']]],
-  ['setcommonprefix_4041',['SetCommonPrefix',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#adb1e2b064e6c76df9fa2cc8656a73311',1,'tvm::script::printer::IRDocsifierNode']]],
-  ['setcomputeatiter_4042',['SetComputeAtIter',['../classtvm_1_1auto__scheduler_1_1AttachMap.html#af29900c08d7d6a108bb79eaf8325e8a1',1,'tvm::auto_scheduler::AttachMap']]],
-  ['setconflicts_4043',['SetConflicts',['../structtvm_1_1tir_1_1usmp_1_1BufferInfoNode.html#a71406cb97aa80ff7e870bd4afaf24c84',1,'tvm::tir::usmp::BufferInfoNode']]],
-  ['setdeleter_4044',['SetDeleter',['../classtvm_1_1runtime_1_1NDArray_1_1Container.html#a56109cfc826b26172f084c3790144351',1,'tvm::runtime::NDArray::Container']]],
-  ['setdevice_4045',['SetDevice',['../classtvm_1_1runtime_1_1DeviceAPI.html#a58c818adae878c1c5dca759ee59ad3e3',1,'tvm::runtime::DeviceAPI']]],
-  ['setenabledextensions_4046',['SetEnabledExtensions',['../classtvm_1_1arith_1_1RewriteSimplifier.html#a44b7c12d6a5d9adfc4ee4cee8d935e38',1,'tvm::arith::RewriteSimplifier']]],
-  ['setinput_4047',['SetInput',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a0594113c83505e3368a7f99394a14595',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['setintvalue_4048',['SetIntValue',['../namespacetvm_1_1detail.html#af7e68b984c27ffe1726578bc9cc59322',1,'tvm::detail']]],
-  ['setitem_4049',['SetItem',['../classtvm_1_1runtime_1_1ArrayNode.html#a22cafc90ebeb8d6a50ce3bc4e183a403',1,'tvm::runtime::ArrayNode']]],
-  ['setlib_4050',['SetLib',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a04183f3e1f3de5dc36bc587e27f00aa0',1,'tvm::runtime::vm::Executable']]],
-  ['setmaxconcurrency_4051',['SetMaxConcurrency',['../namespacetvm_1_1runtime_1_1threading.html#ad66f7fc304b915bebdcfc5c7004016c7',1,'tvm::runtime::threading']]],
-  ['setmaximumrewritesteps_4052',['SetMaximumRewriteSteps',['../classtvm_1_1arith_1_1RewriteSimplifier.html#a95dd28018de6b7aa1a5a7ee76f3ba178',1,'tvm::arith::RewriteSimplifier']]],
-  ['setobject_4053',['SetObject',['../classtvm_1_1runtime_1_1TVMArgsSetter.html#adfc052b0786ef1296d29e0b87f71a03e',1,'tvm::runtime::TVMArgsSetter']]],
-  ['setoneinput_4054',['SetOneInput',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#ab66c1484cfb630914dd41da36ab91db1',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['setoutputs_4055',['SetOutputs',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a2ee3a67f145ed44cabfb4d456c048445',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['setoutputtensorstoregister_4056',['SetOutputTensorsToRegister',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a95a0552177a93f4839ab431756efeaf3',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['setscope_4057',['SetScope',['../classtvm_1_1tir_1_1ScheduleNode.html#aa4760135d373af488a08aaeba7114c48',1,'tvm::tir::ScheduleNode']]],
-  ['setseqindex_4058',['SetSeqIndex',['../namespacetvm_1_1tir.html#a5f6070cccf4bb454e7a87bbb6d066c55',1,'tvm::tir']]],
-  ['setseqindexinchildren_4059',['SetSeqIndexInChildren',['../namespacetvm_1_1tir.html#a4dd0feb99db08fa0ae2ddb4f47f64dca',1,'tvm::tir']]],
-  ['setspan_4060',['SetSpan',['../classtvm_1_1TypeReporterNode.html#ade00cc26624883a064e9ed2097133cea',1,'tvm::TypeReporterNode']]],
-  ['setstream_4061',['SetStream',['../classtvm_1_1runtime_1_1DeviceAPI.html#adeff47304ec4145d12e0dd20004c7a3d',1,'tvm::runtime::DeviceAPI']]],
-  ['setvalue_4062',['SetValue',['../namespacetvm_1_1detail.html#ae91709b0cb7daf1bca69432bee0a3568',1,'tvm::detail']]],
-  ['setvalue_3c_20bool_20_3e_4063',['SetValue&lt; bool &gt;',['../namespacetvm_1_1detail.html#addd17cedbd26f5b4b257d7651ca5b6fe',1,'tvm::detail']]],
-  ['setvalue_3c_20datatype_20_3e_4064',['SetValue&lt; DataType &gt;',['../namespacetvm_1_1detail.html#a30d53b5033faeae26ad5ec6443376723',1,'tvm::detail']]],
-  ['setvalue_3c_20double_20_3e_4065',['SetValue&lt; double &gt;',['../namespacetvm_1_1detail.html#a2565d82719660de2ab39178c917d6628',1,'tvm::detail']]],
-  ['setvalue_3c_20int_20_3e_4066',['SetValue&lt; int &gt;',['../namespacetvm_1_1detail.html#a107ebbb0ef4a94f47cd25cb2213dcd96',1,'tvm::detail']]],
-  ['setvalue_3c_20int64_5ft_20_3e_4067',['SetValue&lt; int64_t &gt;',['../namespacetvm_1_1detail.html#ad20586749a52e831a52c20984a926d67',1,'tvm::detail']]],
-  ['setvalue_3c_20uint64_5ft_20_3e_4068',['SetValue&lt; uint64_t &gt;',['../namespacetvm_1_1detail.html#acb3382242cbf538f64edae13e4ec5a84',1,'tvm::detail']]],
-  ['shallowcopy_4069',['ShallowCopy',['../classtvm_1_1IRModuleNode.html#a86bbdc4b857ce5958a2b5f29e1d6fcb6',1,'tvm::IRModuleNode']]],
-  ['shallowcopyirmodule_4070',['ShallowCopyIRModule',['../classtvm_1_1IRModule.html#aea8b821cf92cf525bd87bf15f5d31889',1,'tvm::IRModule']]],
-  ['shape_4071',['Shape',['../classtvm_1_1runtime_1_1NDArray.html#ad273c7bc59b73fb026fd64fc764cbebc',1,'tvm::runtime::NDArray']]],
-  ['shape_4072',['shape',['../namespacetvm_1_1topi.html#af30c02f3a3f37c7963b3af60fb9c72a1',1,'tvm::topi']]],
-  ['shape_4073',['Shape',['../namespacetvm_1_1relay.html#a4d64348ae2b75977e167109fb4af4409',1,'tvm::relay']]],
-  ['shape_4074',['shape',['../classtvm_1_1runtime_1_1metadata_1_1TensorInfoNode.html#a5ddcd966b82c4df89084dbdf92d3108e',1,'tvm::runtime::metadata::TensorInfoNode::shape()'],['../classtvm_1_1tir_1_1BufferNode.html#a5372baafb343f5fb263f5507b079412e',1,'tvm::tir::BufferNode::shape()'],['../classtvm_1_1te_1_1TensorNode.html#a0ba732bc2def0d467854585752911351',1,'tvm::te::TensorNode::shape()'],['../classtvm_1_1te_1_1PlaceholderOpNode.html#a301fb989a618e248d69120f6c7b33c3f',1,'tvm::te::Placehol [...]
-  ['shape_5f_4075',['shape_',['../classtvm_1_1runtime_1_1NDArray_1_1ContainerBase.html#aa5597a1760c9f8c9d1fd51584b1283fb',1,'tvm::runtime::NDArray::ContainerBase']]],
-  ['shape_5fbackward_5frule_4076',['shape_backward_rule',['../classtvm_1_1tir_1_1BijectiveLayoutNode.html#a0befdd0a2371c0d12970e8ac6623b59b',1,'tvm::tir::BijectiveLayoutNode']]],
-  ['shape_5fcount_4077',['shape_count',['../structTVMGraphExecutorGraphAttr.html#a182b228582f1186f2a15de50a25b3375',1,'TVMGraphExecutorGraphAttr']]],
-  ['shape_5fforward_5frule_4078',['shape_forward_rule',['../classtvm_1_1tir_1_1BijectiveLayoutNode.html#aa566842624cdd7eaebcb019b0d0d4a7c',1,'tvm::tir::BijectiveLayoutNode']]],
-  ['shape_5fof_4079',['shape_of',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a4f852208b807032439294e197377121e',1,'tvm::runtime::vm::Instruction']]],
-  ['shape_5fregister_4080',['shape_register',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a0a650382fcb2cacf9d6de7007aecf3c5',1,'tvm::runtime::vm::Instruction']]],
-  ['shape_5ftuple_2eh_4081',['shape_tuple.h',['../shape__tuple_8h.html',1,'']]],
-  ['shapediv_4082',['shapediv',['../namespacetvm.html#a15f25703cfce73c75cb4cd33c74ea8f0',1,'tvm']]],
-  ['shapefuncattrs_4083',['ShapeFuncAttrs',['../structtvm_1_1relay_1_1ShapeFuncAttrs.html',1,'tvm::relay']]],
-  ['shapeindex_4084',['ShapeIndex',['../classtvm_1_1runtime_1_1DataType.html#a04f0e069017af3f0da47bc0c1fd80916',1,'tvm::runtime::DataType']]],
-  ['shapeof_4085',['ShapeOf',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a5f278c637580946bc06b020f5852e44a',1,'tvm::runtime::vm::Instruction::ShapeOf()'],['../namespacetvm_1_1runtime_1_1vm.html#a8d8d95ce8d629c7213f2f595917870ecaf5c9bcc39a24e338f1837a43c549a855',1,'tvm::runtime::vm::ShapeOf()']]],
-  ['shapeofattrs_4086',['ShapeOfAttrs',['../structtvm_1_1relay_1_1ShapeOfAttrs.html',1,'tvm::relay']]],
-  ['shapepattern_4087',['ShapePattern',['../classtvm_1_1relay_1_1ShapePattern.html#a853201a9d5df8de96713a58c292e8698',1,'tvm::relay::ShapePattern::ShapePattern()'],['../classtvm_1_1relay_1_1ShapePattern.html',1,'tvm::relay::ShapePattern']]],
-  ['shapepatternnode_4088',['ShapePatternNode',['../classtvm_1_1relay_1_1ShapePatternNode.html',1,'tvm::relay']]],
-  ['shapestring_4089',['ShapeString',['../namespacetvm_1_1runtime_1_1profiling.html#ad7ca3a291b9d8d5ec27e5367cd2d0edf',1,'tvm::runtime::profiling::ShapeString(NDArray shape, DLDataType dtype)'],['../namespacetvm_1_1runtime_1_1profiling.html#a0db7b8aaaf50308b175b79c8fee98f13',1,'tvm::runtime::profiling::ShapeString(const std::vector&lt; NDArray &gt; &amp;shapes)'],['../namespacetvm_1_1runtime_1_1profiling.html#ad031e70431cc716c8ff9c36aaad18197',1,'tvm::runtime::profiling::ShapeString(cons [...]
-  ['shapetuple_4090',['ShapeTuple',['../classtvm_1_1runtime_1_1ShapeTuple.html#a6836ad757cbcac207ddf025a74ac2ef2',1,'tvm::runtime::ShapeTuple::ShapeTuple(IterType begin, IterType end)'],['../classtvm_1_1runtime_1_1ShapeTuple.html#aa54548a384457ae73d758886881b6136',1,'tvm::runtime::ShapeTuple::ShapeTuple()'],['../classtvm_1_1runtime_1_1ShapeTupleObj_1_1FromStd.html#a1233caa001a4dd2bebeef49633724641',1,'tvm::runtime::ShapeTupleObj::FromStd::ShapeTuple()'],['../classtvm_1_1runtime_1_1ShapeT [...]
-  ['shapetupleobj_4091',['ShapeTupleObj',['../classtvm_1_1runtime_1_1ShapeTupleObj.html',1,'tvm::runtime']]],
-  ['shash_4092',['shash',['../classtvm_1_1meta__schedule_1_1WorkloadNode.html#a96812f1418c68d5aeb11331fd76aab1c',1,'tvm::meta_schedule::WorkloadNode']]],
-  ['shashhandlerdefault_4093',['SHashHandlerDefault',['../classtvm_1_1SHashHandlerDefault.html#a99557165284bc9ccdae707acf4bbad9c',1,'tvm::SHashHandlerDefault::SHashHandlerDefault()'],['../classtvm_1_1SHashHandlerDefault.html',1,'tvm::SHashHandlerDefault']]],
-  ['shashreduce_4094',['SHashReduce',['../classtvm_1_1relay_1_1PatternVarNode.html#a0247a0e67c79f873f8c5e38768867e27',1,'tvm::relay::PatternVarNode::SHashReduce()'],['../classtvm_1_1relay_1_1VarNode.html#a85f049a3d23ebc9d32016d8e59643444',1,'tvm::relay::VarNode::SHashReduce()'],['../classtvm_1_1relay_1_1TupleNode.html#a230f0b6982c424992a1aaf4bb130ef4b',1,'tvm::relay::TupleNode::SHashReduce()'],['../classtvm_1_1relay_1_1ConstantNode.html#a2d79295886e49572205c576a33d6ef25',1,'tvm::relay::C [...]
-  ['shashreducefreevar_4095',['SHashReduceFreeVar',['../classtvm_1_1SHashHandlerDefault.html#ae78eec7dceb80b34105b11804d833e07',1,'tvm::SHashHandlerDefault::SHashReduceFreeVar()'],['../classtvm_1_1SHashReducer_1_1Handler.html#ab2fe484814770615ef9ddb1f6a6f2dfb',1,'tvm::SHashReducer::Handler::SHashReduceFreeVar()']]],
-  ['shashreducehashedvalue_4096',['SHashReduceHashedValue',['../classtvm_1_1SHashHandlerDefault.html#ab0a21453a43353dccf16512730439a98',1,'tvm::SHashHandlerDefault::SHashReduceHashedValue()'],['../classtvm_1_1SHashReducer_1_1Handler.html#a7b84ae4df5ab177758105f382395aeb2',1,'tvm::SHashReducer::Handler::SHashReduceHashedValue()']]],
-  ['shashreducer_4097',['SHashReducer',['../classtvm_1_1SHashReducer.html#acb4d90cb74f617620d0027649adf8f17',1,'tvm::SHashReducer::SHashReducer(Handler *handler, bool map_free_vars)'],['../classtvm_1_1SHashReducer.html#af7eb7ccc3e5863fe580f1b8dceac5d88',1,'tvm::SHashReducer::SHashReducer()=default'],['../classtvm_1_1SHashReducer.html',1,'tvm::SHashReducer']]],
-  ['shift_4098',['shift',['../structtvm_1_1relay_1_1FixedPointMultiplyAttrs.html#ac5095656867b0bad8cd53d0f957a1d9d',1,'tvm::relay::FixedPointMultiplyAttrs']]],
-  ['shift_5fleft_4099',['shift_left',['../namespacetvm_1_1tir_1_1builtin.html#a26472adf05d821f1929cfbc02bc3c231',1,'tvm::tir::builtin']]],
-  ['shift_5fright_4100',['shift_right',['../namespacetvm_1_1tir_1_1builtin.html#af103ae0715d4ebcbaccd49d2b6a12afe',1,'tvm::tir::builtin']]],
-  ['shouldlinkparameters_4101',['ShouldLinkParameters',['../classtvm_1_1relay_1_1ExecutorNode.html#a8e3cabcfef4e40924bd4182c613a71f9',1,'tvm::relay::ExecutorNode']]],
-  ['shouldrun_4102',['ShouldRun',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#a2b21cac2b6f389c98b359a9a0d640de5',1,'tvm::instrument::PassInstrumentNode']]],
-  ['show_5fmeta_4103',['show_meta',['../classtvm_1_1PrinterConfigNode.html#aa11acd5d0e720eda7619ae1c7baa8c2c',1,'tvm::PrinterConfigNode']]],
-  ['show_5fobject_5faddress_4104',['show_object_address',['../classtvm_1_1PrinterConfigNode.html#a895ea453f71467ba1ff0f0febbec410f',1,'tvm::PrinterConfigNode']]],
-  ['shuffle_4105',['Shuffle',['../classtvm_1_1tir_1_1Shuffle.html#a2d37f2f8afe5357f1a6ffc4c2b147ac4',1,'tvm::tir::Shuffle::Shuffle()'],['../classtvm_1_1tir_1_1Shuffle.html',1,'tvm::tir::Shuffle']]],
-  ['shufflenode_4106',['ShuffleNode',['../classtvm_1_1tir_1_1ShuffleNode.html',1,'tvm::tir']]],
-  ['si_5fbuilder_2eh_4107',['si_builder.h',['../si__builder_8h.html',1,'']]],
-  ['sibuilder_4108',['SIBuilder',['../classtvm_1_1SIBuilder.html#a3c16b413d12243d3355a347e03fd778a',1,'tvm::SIBuilder::SIBuilder(const Span &amp;span=Span())'],['../classtvm_1_1SIBuilder.html#a3f282d145f481e9a74648ae8625bceb3',1,'tvm::SIBuilder::SIBuilder(const Array&lt; Span &gt; &amp;spans=Array&lt; Span &gt;())'],['../classtvm_1_1SIBuilder.html#acaa034873f5dfea8fc9c0f7881f91f59',1,'tvm::SIBuilder::SIBuilder(const std::initializer_list&lt; Span &gt; &amp;init)'],['../classtvm_1_1SIBuil [...]
-  ['sideeffect_4109',['SideEffect',['../namespacetvm_1_1tir.html#aeb03afda344eb4d3a5d2d3fd4e1d266d',1,'tvm::tir']]],
-  ['sigmoid_4110',['sigmoid',['../namespacetvm_1_1topi.html#aa5367be5a614c34937a676655ca53075',1,'tvm::topi::sigmoid()'],['../namespacetvm.html#aa048961a5d19e9f32071c1372809ecbd',1,'tvm::sigmoid()']]],
-  ['sign_4111',['sign',['../namespacetvm_1_1topi.html#af580cd1bea6e862f41c7fad4c4c7eea3',1,'tvm::topi']]],
-  ['signatureprinter_4112',['SignaturePrinter',['../structtvm_1_1runtime_1_1SignaturePrinter.html',1,'tvm::runtime']]],
-  ['signtype_4113',['SignType',['../namespacetvm_1_1arith.html#aca8806e355ad3dd5f1df9c1eca9aac9d',1,'tvm::arith']]],
-  ['silentmeasure_4114',['SilentMeasure',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a4beac97e57e780b2f41539403fbcaf38',1,'tvm::auto_scheduler::ProgramMeasurerNode']]],
-  ['simpleobjallocator_4115',['SimpleObjAllocator',['../classtvm_1_1runtime_1_1SimpleObjAllocator.html',1,'tvm::runtime']]],
-  ['simplified_4116',['Simplified',['../classtvm_1_1tir_1_1TraceNode.html#abc2556f52aedab72ecd38a5c0ab0b7da',1,'tvm::tir::TraceNode']]],
-  ['simplify_4117',['Simplify',['../namespacetvm_1_1tir_1_1transform.html#a817801e8c9488f712804d2d0b821acf0',1,'tvm::tir::transform::Simplify()'],['../classtvm_1_1arith_1_1Analyzer.html#a9b440f852f12ad0a4d8ed5ed97054425',1,'tvm::arith::Analyzer::Simplify()']]],
-  ['simplify_5fconst_5ftensor_5findices_4118',['simplify_const_tensor_indices',['../structtvm_1_1auto__scheduler_1_1SearchPolicyKey.html#a51408f2c5791a7bc90a32be3f8b06055',1,'tvm::auto_scheduler::SearchPolicyKey']]],
-  ['simplifyexpr_4119',['SimplifyExpr',['../namespacetvm_1_1relay_1_1transform.html#a42d4de119382b9457742433bc7109ffe',1,'tvm::relay::transform']]],
-  ['simplifyexprpostalterop_4120',['SimplifyExprPostAlterOp',['../namespacetvm_1_1relay_1_1transform.html#a5d53204853b9d77afcda3d83756a11aa',1,'tvm::relay::transform']]],
-  ['simplifyinference_4121',['SimplifyInference',['../namespacetvm_1_1relay_1_1transform.html#add13a2ad7b216ad4683141bbbb4f6943',1,'tvm::relay::transform']]],
-  ['simulatedquantizeattrs_4122',['SimulatedQuantizeAttrs',['../structtvm_1_1relay_1_1qnn_1_1SimulatedQuantizeAttrs.html',1,'tvm::relay::qnn']]],
-  ['sin_4123',['sin',['../namespacetvm_1_1topi.html#ae1d58f6cabd998f16f74cd1b1dc6f5a6',1,'tvm::topi::sin()'],['../namespacetvm.html#a532ceddde4b8c713b0b1d7e737fcf5fb',1,'tvm::sin()']]],
-  ['singlepoint_4124',['SinglePoint',['../classtvm_1_1arith_1_1IntSet.html#a58aeb0d34656b1b43ac2532e4dfa12ed',1,'tvm::arith::IntSet']]],
-  ['singleton_4125',['Singleton',['../classtvm_1_1te_1_1Singleton.html#a94450b853dcd5e9865546d8c8fe351a1',1,'tvm::te::Singleton::Singleton()'],['../classtvm_1_1te_1_1Singleton.html',1,'tvm::te::Singleton']]],
-  ['singletonnode_4126',['SingletonNode',['../classtvm_1_1te_1_1SingletonNode.html',1,'tvm::te']]],
-  ['sinh_4127',['sinh',['../namespacetvm.html#ad828bc801c73df761c58d9f8877d52ee',1,'tvm::sinh()'],['../namespacetvm_1_1topi.html#af9694f5470ba2cabc19866be3b00fe8d',1,'tvm::topi::sinh()']]],
-  ['size_4128',['size',['../classtvm_1_1support_1_1Span.html#aea96121da76a6800ff034e717cfe7bf1',1,'tvm::support::Span::size()'],['../structTVMByteArray.html#a86d8e8341ce407b7d9374d887143e476',1,'TVMByteArray::size()'],['../classtvm_1_1runtime_1_1ADTObj.html#a699d898a036382a0c86fba219bcf8102',1,'tvm::runtime::ADTObj::size()'],['../classtvm_1_1runtime_1_1ShapeTupleObj.html#a56072ebae55fe9291f61ea0e57516cd0',1,'tvm::runtime::ShapeTupleObj::size()'],['../classtvm_1_1runtime_1_1StringObj.html [...]
-  ['size_4129',['Size',['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#ae395a0f1c6e79e825aa7a244c74a5d7b',1,'tvm::runtime::micro_rpc::FrameBuffer::Size()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a36817d04978253571fef7d01427ce9c0',1,'tvm::meta_schedule::PyDatabaseNode::Size()'],['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#aae5b9ab9f7e497654b90c23a2159a5cc',1,'tvm::meta_schedule::DatabaseNode::Size()'],['../classtvm_1_1TensorTypeNode.html#a1f08dac86ae8aea [...]
-  ['size_4130',['size',['../structtvm_1_1relay_1_1Resize3DAttrs.html#aab61649fe8417a8a7fbc849090bac083',1,'tvm::relay::Resize3DAttrs']]],
-  ['size_5f_4131',['size_',['../classtvm_1_1runtime_1_1MapNode.html#a2285f106f6afa29f512a7818ad59e9e5',1,'tvm::runtime::MapNode']]],
-  ['size_5fbytes_4132',['size_bytes',['../structtvm_1_1tir_1_1usmp_1_1BufferInfoNode.html#a0a5d4bd6072c268df05b90d267b4c0a0',1,'tvm::tir::usmp::BufferInfoNode']]],
-  ['size_5fhint_5fbytes_4133',['size_hint_bytes',['../structtvm_1_1PoolInfoNode.html#ac073aeb75bf031ff8687e132bc112f92',1,'tvm::PoolInfoNode::size_hint_bytes()'],['../structtvm_1_1PoolInfoPropertiesNode.html#aed7c5573ffc8db9424e77e3a85cad120',1,'tvm::PoolInfoPropertiesNode::size_hint_bytes()']]],
-  ['sizes_4134',['sizes',['../structtvm_1_1relay_1_1MultiBoxPriorAttrs.html#ad6d089344fa741021584222ffa70a451',1,'tvm::relay::MultiBoxPriorAttrs']]],
-  ['sizevar_4135',['SizeVar',['../classtvm_1_1tir_1_1SizeVar.html#ab089bab85206d8e306cc61e879e525be',1,'tvm::tir::SizeVar::SizeVar(String name_hint, Type type_annotation, Span span=Span())'],['../classtvm_1_1tir_1_1SizeVar.html#a0f8cb8a92feb96343939d223db90f7cd',1,'tvm::tir::SizeVar::SizeVar(String name_hint=&quot;s&quot;, DataType t=DataType::Int(32), Span span=Span())'],['../classtvm_1_1tir_1_1SizeVar.html#ac470249315d9e395ad581d35dd5dcb05',1,'tvm::tir::SizeVar::SizeVar(ObjectPtr&lt; O [...]
-  ['sizevarnode_4136',['SizeVarNode',['../classtvm_1_1tir_1_1SizeVarNode.html',1,'tvm::tir']]],
-  ['skipassert_4137',['SkipAssert',['../namespacetvm_1_1tir_1_1transform.html#a6fdd5910b00af823071dcdddd21cd2d3',1,'tvm::tir::transform']]],
-  ['slice_4138',['Slice',['../classtvm_1_1te_1_1Tensor_1_1Slice.html#ab314819e8bcca6421e9a4f33e48578c3',1,'tvm::te::Tensor::Slice::Slice()'],['../classtvm_1_1te_1_1Tensor_1_1Slice.html',1,'tvm::te::Tensor::Slice']]],
-  ['slice_5fmode_4139',['slice_mode',['../structtvm_1_1relay_1_1StridedSliceAttrs.html#ab3a282ef18dc4fa3a69917bfbcddce55',1,'tvm::relay::StridedSliceAttrs']]],
-  ['slicedoc_4140',['SliceDoc',['../classtvm_1_1script_1_1printer_1_1SliceDoc.html#a155b7f646934b0baf23aabf4475f8c96',1,'tvm::script::printer::SliceDoc::SliceDoc()'],['../classtvm_1_1script_1_1printer_1_1SliceDoc.html',1,'tvm::script::printer::SliceDoc']]],
-  ['slicedocnode_4141',['SliceDocNode',['../classtvm_1_1script_1_1printer_1_1SliceDocNode.html',1,'tvm::script::printer']]],
-  ['slicelikeattrs_4142',['SliceLikeAttrs',['../structtvm_1_1relay_1_1SliceLikeAttrs.html',1,'tvm::relay']]],
-  ['sliding_5fwindow_4143',['sliding_window',['../namespacetvm_1_1topi.html#a3230e1735957c2045c89cf190e0f8c34',1,'tvm::topi']]],
-  ['slidingwindowattrs_4144',['SlidingWindowAttrs',['../structtvm_1_1relay_1_1SlidingWindowAttrs.html',1,'tvm::relay']]],
-  ['slots_5f_4145',['slots_',['../classtvm_1_1runtime_1_1MapNode.html#ab7ea406f099e235de4944fa94c43812e',1,'tvm::runtime::MapNode']]],
-  ['smallmapnode_4146',['SmallMapNode',['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#aa0828044326a83e6aa287a1cf03477ce',1,'tvm::runtime::MapNode::iterator::SmallMapNode()'],['../classtvm_1_1runtime_1_1SmallMapNode.html',1,'tvm::runtime::SmallMapNode']]],
-  ['smithnormalformdiag_4147',['SmithNormalFormDiag',['../namespacetvm_1_1arith.html#a5f821e7f25de9769c5733cfacbe132d6',1,'tvm::arith']]],
-  ['softmax_4148',['softmax',['../namespacetvm_1_1topi_1_1nn.html#aa2cb22c64412c3eacb351c12b883333b',1,'tvm::topi::nn']]],
-  ['softmax_2eh_4149',['softmax.h',['../nn_2softmax_8h.html',1,'(Global Namespace)'],['../cuda_2softmax_8h.html',1,'(Global Namespace)'],['../rocm_2softmax_8h.html',1,'(Global Namespace)']]],
-  ['softmaxattrs_4150',['SoftmaxAttrs',['../structtvm_1_1relay_1_1SoftmaxAttrs.html',1,'tvm::relay']]],
-  ['software_5fpipeline_5fasync_5fstages_4151',['software_pipeline_async_stages',['../namespacetvm_1_1tir_1_1attr.html#a7ff3ec02ce6acef9dd5c20f1aacc19bc',1,'tvm::tir::attr']]],
-  ['software_5fpipeline_5forder_4152',['software_pipeline_order',['../namespacetvm_1_1tir_1_1attr.html#a064b547bf5b0579f9b42906c6a9c581d',1,'tvm::tir::attr']]],
-  ['software_5fpipeline_5fstage_4153',['software_pipeline_stage',['../namespacetvm_1_1tir_1_1attr.html#a2e695603b89f78f4a481817dbaf7a082',1,'tvm::tir::attr']]],
-  ['solveinequalitiesdeskewrange_4154',['SolveInequalitiesDeskewRange',['../namespacetvm_1_1arith.html#ab667739c074bb7bf1e63302904c78176',1,'tvm::arith']]],
-  ['solveinequalitiestorange_4155',['SolveInequalitiesToRange',['../namespacetvm_1_1arith.html#a6277cb1deea497e60474e5bf83dfc636',1,'tvm::arith']]],
-  ['solvelinearequations_4156',['SolveLinearEquations',['../namespacetvm_1_1arith.html#ae0290f04432523ab8e5f76edde80071a',1,'tvm::arith']]],
-  ['solvelinearinequalities_4157',['SolveLinearInequalities',['../namespacetvm_1_1arith.html#ac59d63560e04431f108e81457b212fdc',1,'tvm::arith']]],
-  ['sorted_4158',['sorted',['../structtvm_1_1relay_1_1UniqueAttrs.html#aef434799646533ec9d796393ba01db44',1,'tvm::relay::UniqueAttrs']]],
-  ['source_4159',['source',['../classtvm_1_1tir_1_1MatchBufferRegionNode.html#ae5093b92baee42fdd853f6f5f287ac31',1,'tvm::tir::MatchBufferRegionNode::source()'],['../classtvm_1_1arith_1_1IterMarkNode.html#a8b885a675c88e5a5d142fa68bcba048a',1,'tvm::arith::IterMarkNode::source()'],['../classtvm_1_1arith_1_1IterSplitExprNode.html#a7a129dc9b432359a07c1a1e286c3c66f',1,'tvm::arith::IterSplitExprNode::source()'],['../classtvm_1_1SourceNode.html#a6a31f8a2bf412edf7a529c5931185529',1,'tvm::SourceNo [...]
-  ['source_4160',['Source',['../classtvm_1_1Source.html#a794f5989e4e89cb39b638a5cd837eaa9',1,'tvm::Source::Source()'],['../classtvm_1_1Source.html',1,'tvm::Source']]],
-  ['source_5fmap_4161',['source_map',['../classtvm_1_1SourceMapNode.html#abd44bcd7252397ade5584c0387845342',1,'tvm::SourceMapNode::source_map()'],['../classtvm_1_1IRModuleNode.html#abc7bd8d734f093ecb6abe8969d6dbcba',1,'tvm::IRModuleNode::source_map()']]],
-  ['source_5fmap_2eh_4162',['source_map.h',['../source__map_8h.html',1,'']]],
-  ['source_5fname_4163',['source_name',['../classtvm_1_1SourceNode.html#ad4002d5ddb822b7340fd16c460a1ec6f',1,'tvm::SourceNode::source_name()'],['../classtvm_1_1SpanNode.html#ad573167f93facbfbee19983b08bbba3d',1,'tvm::SpanNode::source_name()'],['../classtvm_1_1DiagnosticBuilder.html#a92d320e1ede24fe5ff47862365002691',1,'tvm::DiagnosticBuilder::source_name()']]],
-  ['source_5fpaths_4164',['source_paths',['../classtvm_1_1script_1_1printer_1_1DocNode.html#a29e21c8f39639d1d30697971267847a8',1,'tvm::script::printer::DocNode']]],
-  ['sourcemap_4165',['SourceMap',['../classtvm_1_1SourceMap.html#a9f10049893326844c3f01daad7c121e9',1,'tvm::SourceMap::SourceMap()'],['../classtvm_1_1SourceMap.html#ad4517cedaea581d34c28cb9903205eeb',1,'tvm::SourceMap::SourceMap(std::initializer_list&lt; std::pair&lt; SourceName, Source &gt;&gt; source_map)'],['../classtvm_1_1SourceMap.html#aba19719f4f2d32431ff1dfb80f5a0dfc',1,'tvm::SourceMap::SourceMap(Map&lt; SourceName, Source &gt; source_map)'],['../classtvm_1_1SourceMap.html',1,'tvm [...]
-  ['sourcemapnode_4166',['SourceMapNode',['../classtvm_1_1SourceMapNode.html',1,'tvm']]],
-  ['sourcename_4167',['SourceName',['../namespacetvm_1_1relay.html#a14eacafcb803b0757e48654bb1bac655',1,'tvm::relay::SourceName()'],['../classtvm_1_1SourceName.html',1,'tvm::SourceName']]],
-  ['sourcenamenode_4168',['SourceNameNode',['../classtvm_1_1SourceNameNode.html',1,'tvm']]],
-  ['sourcenode_4169',['SourceNode',['../classtvm_1_1SourceNode.html',1,'tvm']]],
-  ['space_5fgenerator_4170',['space_generator',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#a7bdfdd48530bfe380c5f6c143158a07f',1,'tvm::meta_schedule::TuneContextNode']]],
-  ['space_5fgenerator_2eh_4171',['space_generator.h',['../space__generator_8h.html',1,'']]],
-  ['space_5fto_5fbatch_5fnd_4172',['space_to_batch_nd',['../namespacetvm_1_1topi.html#a8bca55122fbfcf38079f4d4bc22ce572',1,'tvm::topi']]],
-  ['spacegenerator_4173',['SpaceGenerator',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a4f11a841acdf7a66893eeefc1feb04ae',1,'tvm::meta_schedule::SpaceGenerator::SpaceGenerator()'],['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html',1,'tvm::meta_schedule::SpaceGenerator']]],
-  ['spacegeneratornode_4174',['SpaceGeneratorNode',['../classtvm_1_1meta__schedule_1_1SpaceGeneratorNode.html',1,'tvm::meta_schedule']]],
-  ['spacegeneratorunion_4175',['SpaceGeneratorUnion',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a44828204c6ae3b7f390b9a9c3fdb9aa7',1,'tvm::meta_schedule::SpaceGenerator']]],
-  ['spacetobatchndattrs_4176',['SpaceToBatchNDAttrs',['../structtvm_1_1relay_1_1SpaceToBatchNDAttrs.html',1,'tvm::relay']]],
-  ['span_4177',['Span',['../classtvm_1_1Span.html#a5216631b639e8c802263d87d3fe9e5f6',1,'tvm::Span::Span()'],['../namespacetvm_1_1relay.html#af40ca6124bc2e88f2323eeb79d326cc0',1,'tvm::relay::Span()']]],
-  ['span_4178',['span',['../classtvm_1_1tir_1_1IterVarNode.html#aa4d51a49e06cf6941988c317c53c1b8c',1,'tvm::tir::IterVarNode']]],
-  ['span_4179',['Span',['../classtvm_1_1support_1_1Span.html#a3c22dd06856e7029e7107adf38eb72f5',1,'tvm::support::Span::Span(T *begin, T *end)'],['../classtvm_1_1support_1_1Span.html#a77653730a2542edf93b7c4413a72f3ec',1,'tvm::support::Span::Span(T *begin, int num_elements)']]],
-  ['span_4180',['span',['../classtvm_1_1AffineTypeNode.html#aa45c91e3c8ebcff609d10f6a921f3fa2',1,'tvm::AffineTypeNode::span()'],['../classtvm_1_1DiagnosticNode.html#af5469f228f87711ad8bd3f4f78f3bb54',1,'tvm::DiagnosticNode::span()'],['../classtvm_1_1DiagnosticBuilder.html#a52d9cc3cb33e655c5d82af47daa74c66',1,'tvm::DiagnosticBuilder::span()'],['../classtvm_1_1BaseExprNode.html#ae3a0760a9f8b1379bc86f13e8bb3a22e',1,'tvm::BaseExprNode::span()'],['../classtvm_1_1RangeNode.html#aee167e954efc66 [...]
-  ['span_4181',['Span',['../classtvm_1_1Span.html',1,'tvm::Span'],['../classtvm_1_1support_1_1Span.html',1,'tvm::support::Span&lt; T, W &gt;']]],
-  ['span_2eh_4182',['span.h',['../span_8h.html',1,'']]],
-  ['spannode_4183',['SpanNode',['../namespacetvm_1_1relay.html#a7d0fa6578e97d0d64b08865f94f04827',1,'tvm::relay::SpanNode()'],['../classtvm_1_1SpanNode.html',1,'tvm::SpanNode']]],
-  ['spans_4184',['spans',['../classtvm_1_1SequentialSpanNode.html#a888a0972d2373cef6ad941ff9d8acc1c',1,'tvm::SequentialSpanNode']]],
-  ['sparse_5flhs_4185',['sparse_lhs',['../structtvm_1_1relay_1_1SparseDenseAttrs.html#ae52d5465cb3421f342607abcc1cb1d5c',1,'tvm::relay::SparseDenseAttrs']]],
-  ['sparse_5fto_5fdense_4186',['sparse_to_dense',['../namespacetvm_1_1topi.html#a877e6fdffb6b6c051c29602ec6fe995c',1,'tvm::topi']]],
-  ['sparseconv2dattrs_4187',['SparseConv2DAttrs',['../structtvm_1_1relay_1_1SparseConv2DAttrs.html',1,'tvm::relay']]],
-  ['sparsedenseattrs_4188',['SparseDenseAttrs',['../structtvm_1_1relay_1_1SparseDenseAttrs.html',1,'tvm::relay']]],
-  ['sparsetodenseattrs_4189',['SparseToDenseAttrs',['../structtvm_1_1relay_1_1SparseToDenseAttrs.html',1,'tvm::relay']]],
-  ['sparsetransposeattrs_4190',['SparseTransposeAttrs',['../structtvm_1_1relay_1_1SparseTransposeAttrs.html',1,'tvm::relay']]],
-  ['spatial_4191',['Spatial',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html#ac6de6454b9002f401977546aa9385301',1,'tvm::script::ir_builder::tir::axis']]],
-  ['spatial_5faxis_5f_4192',['spatial_axis_',['../classtvm_1_1te_1_1ScanOpNode.html#ab52af0e689bd723c0a9c853c78a8bc78',1,'tvm::te::ScanOpNode']]],
-  ['spatial_5fscale_4193',['spatial_scale',['../structtvm_1_1relay_1_1ROIPoolAttrs.html#ae51a330f9c855c0370bfb9c48ef6a977',1,'tvm::relay::ROIPoolAttrs::spatial_scale()'],['../structtvm_1_1relay_1_1ROIAlignAttrs.html#a11d752e35f8fdefad7d6243e2b746e19',1,'tvm::relay::ROIAlignAttrs::spatial_scale()']]],
-  ['specializations_4194',['specializations',['../classtvm_1_1relay_1_1OpStrategyNode.html#a32d7610bdfd32c485b5f579daac2aeff',1,'tvm::relay::OpStrategyNode']]],
-  ['specialize_4195',['Specialize',['../namespacetvm_1_1tir.html#a69b6f1b0014dc6e7dd390cff746e9782',1,'tvm::tir']]],
-  ['specializedcondition_4196',['SpecializedCondition',['../classtvm_1_1te_1_1SpecializedCondition.html#a48d119ee1c6033929a5592cfc2592e60',1,'tvm::te::SpecializedCondition::SpecializedCondition()'],['../classtvm_1_1te_1_1SpecializedCondition.html',1,'tvm::te::SpecializedCondition']]],
-  ['specializedconditionnode_4197',['SpecializedConditionNode',['../classtvm_1_1te_1_1SpecializedConditionNode.html',1,'tvm::te']]],
-  ['split_4198',['split',['../namespacetvm_1_1topi.html#af4e59b01a5842baf6b47ad3f83731f53',1,'tvm::topi']]],
-  ['split_4199',['Split',['../classtvm_1_1tir_1_1ScheduleNode.html#ac190a0ab76d8754a35209479bcc6dfa2',1,'tvm::tir::ScheduleNode']]],
-  ['split_4200',['split',['../classtvm_1_1auto__scheduler_1_1State.html#a5815f21fc90ba7cc379c2410c05ab54c',1,'tvm::auto_scheduler::State::split()'],['../classtvm_1_1te_1_1Stage.html#a5a7cd562be59b68a187ad97085a3425d',1,'tvm::te::Stage::split()']]],
-  ['split_4201',['Split',['../classtvm_1_1te_1_1Split.html#a328e0c093ce5b41ebaf33e0e80592764',1,'tvm::te::Split::Split()'],['../classtvm_1_1tir_1_1Layout.html#ad7657af7789fe040d3224c0149976bb4',1,'tvm::tir::Layout::Split()'],['../classtvm_1_1te_1_1Split.html',1,'tvm::te::Split']]],
-  ['split_5fby_5fnparts_4202',['split_by_nparts',['../classtvm_1_1te_1_1Stage.html#a51432f38d9ec4792a2525023179ae604',1,'tvm::te::Stage']]],
-  ['split_5fsections_4203',['split_sections',['../namespacetvm_1_1topi.html#acc643e2ed166fa2ed82a95853e145619',1,'tvm::topi']]],
-  ['splitargs_4204',['SplitArgs',['../namespacetvm_1_1relay_1_1transform.html#af8503ba932c35107c023daf993d6e74c',1,'tvm::relay::transform']]],
-  ['splitattrs_4205',['SplitAttrs',['../structtvm_1_1relay_1_1SplitAttrs.html',1,'tvm::relay']]],
-  ['splithostdevice_4206',['SplitHostDevice',['../namespacetvm_1_1tir_1_1transform.html#a4ec5dffb2a177bfd7548be4d974cba71',1,'tvm::tir::transform']]],
-  ['splitnode_4207',['SplitNode',['../classtvm_1_1te_1_1SplitNode.html',1,'tvm::te']]],
-  ['splitstep_4208',['SplitStep',['../classtvm_1_1auto__scheduler_1_1SplitStep.html#a64ed86582a56a2645b3e4eb44ecb31af',1,'tvm::auto_scheduler::SplitStep::SplitStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1SplitStep.html#a184575a8029d77f7a3bee23d81141df5',1,'tvm::auto_scheduler::SplitStep::SplitStep(int stage_id, int iter_id, Optional&lt; PrimExpr &gt; extent, const Array&lt; Optional&lt; Integer &gt;&gt; &amp;lengths, bool inner_to_outer)'],['../classtvm_1_1auto__s [...]
-  ['splitstepnode_4209',['SplitStepNode',['../classtvm_1_1auto__scheduler_1_1SplitStepNode.html',1,'tvm::auto_scheduler']]],
-  ['sqrt_4210',['sqrt',['../namespacetvm_1_1topi.html#a7e7506fced8d921ca7e1a10ae27b1b5b',1,'tvm::topi::sqrt()'],['../namespacetvm.html#abf978d3e6abd0e3754b853dc4fa9869e',1,'tvm::sqrt()']]],
-  ['squeeze_4211',['squeeze',['../namespacetvm_1_1topi.html#a845e38c0f34017d45ec318935b6ddf17',1,'tvm::topi']]],
-  ['squeezeattrs_4212',['SqueezeAttrs',['../structtvm_1_1relay_1_1SqueezeAttrs.html',1,'tvm::relay']]],
-  ['src_4213',['src',['../classtvm_1_1tir_1_1DependencyNode.html#ab334c31b5f17026cd64c0a76db6dd7a4',1,'tvm::tir::DependencyNode::src()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a700062eb45026152661233661a1f24bb',1,'tvm::runtime::vm::Instruction::src()'],['../classtvm_1_1arith_1_1IntConstraintsTransformNode.html#a8ce159fc6db748e5092fa937de3fde53',1,'tvm::arith::IntConstraintsTransformNode::src()']]],
-  ['src2deps_4214',['src2deps',['../classtvm_1_1tir_1_1BlockScopeNode.html#ab53bbd3de33008374182579b2a5923e4',1,'tvm::tir::BlockScopeNode']]],
-  ['src_5fdevice_5findex_4215',['src_device_index',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a65ae693f4e01bc8b4d747a81f055a53f',1,'tvm::runtime::vm::Instruction']]],
-  ['src_5flayout_4216',['src_layout',['../structtvm_1_1relay_1_1LayoutTransformAttrs.html#ad0f658bf9e6ac538840fc71b1d312f3b',1,'tvm::relay::LayoutTransformAttrs::src_layout()'],['../structtvm_1_1relay_1_1AutoSchedulerLayoutTransformAttrs.html#a6c2ed7bdf83375c58a687b7698f4cc05',1,'tvm::relay::AutoSchedulerLayoutTransformAttrs::src_layout()'],['../classtvm_1_1tir_1_1BijectiveLayoutNode.html#a265fb704868822f0cdd00146353020d0',1,'tvm::tir::BijectiveLayoutNode::src_layout()']]],
-  ['src_5fstep_5fid_4217',['src_step_id',['../classtvm_1_1auto__scheduler_1_1FollowSplitStepNode.html#a01554b8dc5b2a76b0a0a1d968ee6017f',1,'tvm::auto_scheduler::FollowSplitStepNode']]],
-  ['src_5fstep_5fids_4218',['src_step_ids',['../classtvm_1_1auto__scheduler_1_1FollowFusedSplitStepNode.html#af0788931d02c86d4b2be1befd00b92d3',1,'tvm::auto_scheduler::FollowFusedSplitStepNode']]],
-  ['src_5fto_5fdst_4219',['src_to_dst',['../classtvm_1_1arith_1_1IntConstraintsTransformNode.html#a05ddc831df1d376f256ec97af966e6be',1,'tvm::arith::IntConstraintsTransformNode']]],
-  ['src_5fvirtual_5fdevice_4220',['src_virtual_device',['../structtvm_1_1relay_1_1DeviceCopyAttrs.html#aac5b2c76325a587bbefaa5af87b4138f',1,'tvm::relay::DeviceCopyAttrs']]],
-  ['sref2scope_4221',['sref2scope',['../classtvm_1_1tir_1_1BlockDependenceInfoNode.html#ac83f06f7c59be79c0ce58a31a4a7b05d',1,'tvm::tir::BlockDependenceInfoNode']]],
-  ['sreftreecreator_4222',['SRefTreeCreator',['../classtvm_1_1tir_1_1SRefTreeCreator.html',1,'tvm::tir']]],
-  ['stablehashbytes_4223',['StableHashBytes',['../classtvm_1_1runtime_1_1String.html#a88aa19338db83ae838183e7478f09e60',1,'tvm::runtime::String']]],
-  ['stack_4224',['stack',['../namespacetvm_1_1topi.html#acb438962b08475a05e086907bf8eb26a',1,'tvm::topi']]],
-  ['stack_5fallocator_2eh_4225',['stack_allocator.h',['../stack__allocator_8h.html',1,'']]],
-  ['stack_5fallocator_5ftag_4226',['STACK_ALLOCATOR_TAG',['../stack__allocator_8h.html#a34806abbacd8db7a84e90c09eec6867b',1,'stack_allocator.h']]],
-  ['stack_5fallocator_5ftag_5fsize_5fbytes_4227',['STACK_ALLOCATOR_TAG_SIZE_BYTES',['../stack__allocator_8h.html#a2578295f2612c628325474d350a4cc7f',1,'stack_allocator.h']]],
-  ['stackattrs_4228',['StackAttrs',['../structtvm_1_1relay_1_1StackAttrs.html',1,'tvm::relay']]],
-  ['stackmemorymanager_5fallocate_4229',['StackMemoryManager_Allocate',['../stack__allocator_8h.html#a781f68648d93b376892ab84bfdd997e5',1,'stack_allocator.h']]],
-  ['stackmemorymanager_5fallocate_5fbody_4230',['StackMemoryManager_Allocate_Body',['../stack__allocator_8h.html#a87b2500d1e1075b0e4297ab6b0b7448b',1,'stack_allocator.h']]],
-  ['stackmemorymanager_5ffree_4231',['StackMemoryManager_Free',['../stack__allocator_8h.html#a1e5d35061f8f72d784a5792086f611db',1,'stack_allocator.h']]],
-  ['stackmemorymanager_5ffree_5fbody_4232',['StackMemoryManager_Free_Body',['../stack__allocator_8h.html#ac30e6df6e876d5d65a7e86e4dd0e0e95',1,'stack_allocator.h']]],
-  ['stackmemorymanager_5finit_4233',['StackMemoryManager_Init',['../stack__allocator_8h.html#a3fd459f5167dacf85850c929d1b38882',1,'stack_allocator.h']]],
-  ['stage_4234',['Stage',['../classtvm_1_1te_1_1Stage.html#aa6ace38b6312e42aaf9389c8749ae0a4',1,'tvm::te::Stage::Stage()'],['../classtvm_1_1auto__scheduler_1_1Stage.html#af0643fe8c1298451c9a322f915c48843',1,'tvm::auto_scheduler::Stage::Stage()'],['../classtvm_1_1te_1_1Stage.html#afec82602b9321c489b88632a005335f8',1,'tvm::te::Stage::Stage()'],['../classtvm_1_1te_1_1Stage.html#a510049e03f2152d5934cd3bd75033bab',1,'tvm::te::Stage::Stage(Operation op, const ScheduleNode *sch)'],['../classtvm [...]
-  ['stage_5fid_4235',['stage_id',['../classtvm_1_1auto__scheduler_1_1StepNode.html#afcc7aaf263348f66139307affbfcee09',1,'tvm::auto_scheduler::StepNode']]],
-  ['stage_5fmap_4236',['stage_map',['../classtvm_1_1te_1_1ScheduleNode.html#a612223aec2751cbd035a18c9e5453085',1,'tvm::te::ScheduleNode']]],
-  ['stage_5fpipeline_4237',['stage_pipeline',['../structtvm_1_1tir_1_1BlockInfo.html#a63e54dda904b3ec4f2b5f1a052fbd5a2',1,'tvm::tir::BlockInfo']]],
-  ['stage_5fto_5fattach_5fiter_4238',['stage_to_attach_iter',['../classtvm_1_1auto__scheduler_1_1AttachMapNode.html#ac5780da6b91313c1a5b1fc5379d043f8',1,'tvm::auto_scheduler::AttachMapNode']]],
-  ['stageattributes_4239',['StageAttributes',['../structtvm_1_1auto__scheduler_1_1StageAttributes.html',1,'tvm::auto_scheduler']]],
-  ['stagekey_4240',['StageKey',['../namespacetvm_1_1auto__scheduler.html#afc2e977e1bb9b11fc3b78758d997eb60',1,'tvm::auto_scheduler']]],
-  ['stagekind_4241',['StageKind',['../namespacetvm_1_1auto__scheduler.html#af6533a065c0157391331e89a0e95f35a',1,'tvm::auto_scheduler']]],
-  ['stagenode_4242',['StageNode',['../classtvm_1_1auto__scheduler_1_1StageNode.html',1,'tvm::auto_scheduler::StageNode'],['../classtvm_1_1te_1_1StageNode.html',1,'tvm::te::StageNode']]],
-  ['stages_4243',['stages',['../classtvm_1_1auto__scheduler_1_1StateNode.html#a881e14990bf228ee3fddb3721c451b9e',1,'tvm::auto_scheduler::StateNode::stages()'],['../classtvm_1_1te_1_1ScheduleNode.html#ab5649969db603d6b7b4d155c0d09cdd5',1,'tvm::te::ScheduleNode::stages()']]],
-  ['stagetoaxesmap_4244',['StageToAxesMap',['../namespacetvm_1_1auto__scheduler.html#a8f12e558fc4b8fbb990e7e204c06beeb',1,'tvm::auto_scheduler']]],
-  ['start_4245',['Start',['../classtvm_1_1runtime_1_1Timer.html#a89bcaa433499bc68902cb473d5eba6ca',1,'tvm::runtime::Timer']]],
-  ['start_4246',['start',['../classtvm_1_1script_1_1printer_1_1SliceDocNode.html#a16de0189a979a6cf9d8f14b39cb5fb54',1,'tvm::script::printer::SliceDocNode::start()'],['../structtvm_1_1relay_1_1ArangeAttrs.html#ae8ae5bc1551b406a4f52395af343c2ce',1,'tvm::relay::ArangeAttrs::start()']]],
-  ['start_4247',['Start',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#aee5452075c8e022b8aaa6fb365f68e14',1,'tvm::runtime::profiling::Profiler::Start()'],['../classtvm_1_1runtime_1_1profiling_1_1MetricCollectorNode.html#a44fadfb7b0f961a7fb2275e3b5dbcd88',1,'tvm::runtime::profiling::MetricCollectorNode::Start()'],['../classtvm_1_1runtime_1_1TimerNode.html#aa11fc338c39ee2137448e54a10efe0ae',1,'tvm::runtime::TimerNode::Start()']]],
-  ['start_5findex_4248',['start_index',['../namespacetvm_1_1topi_1_1nn.html#a752c4130dac73fd2de0390c5f6b24b15',1,'tvm::topi::nn']]],
-  ['start_5fprofile_5fintrinsic_4249',['start_profile_intrinsic',['../namespacetvm_1_1tir_1_1builtin.html#aa63c16281d1010a82a06eb771268b4e2',1,'tvm::tir::builtin']]],
-  ['startcall_4250',['StartCall',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#a1fe322f7ba92be44d7e7c8cb184f3833',1,'tvm::runtime::profiling::Profiler']]],
-  ['startmessage_4251',['StartMessage',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#acd512b977c6dd888f90c4fd6d2b9500f',1,'tvm::runtime::micro_rpc::Session']]],
-  ['startpacket_4252',['StartPacket',['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#ade10d3bd3a26e3b7af881ae134e9a998',1,'tvm::runtime::micro_rpc::Framer']]],
-  ['startsession_4253',['StartSession',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a15d3f9ecb8b22bf2d330f6f0a16c5239',1,'tvm::runtime::micro_rpc::Session']]],
-  ['state_4254',['state',['../classtvm_1_1tir_1_1ScheduleNode.html#abb3612c2598fa2d3ee0e6e3fc3de8a26',1,'tvm::tir::ScheduleNode::state()'],['../classtvm_1_1auto__scheduler_1_1MeasureInputNode.html#afb23aaf6133189687d2541ec6e1352f4',1,'tvm::auto_scheduler::MeasureInputNode::state()']]],
-  ['state_4255',['State',['../classtvm_1_1auto__scheduler_1_1State.html#a9e8198b1f51b42cfbbee4b9f42160749',1,'tvm::auto_scheduler::State::State()'],['../classtvm_1_1auto__scheduler_1_1State.html',1,'tvm::auto_scheduler::State']]],
-  ['state_2eh_4256',['state.h',['../state_8h.html',1,'']]],
-  ['state_5fplaceholder_4257',['state_placeholder',['../classtvm_1_1te_1_1ScanOpNode.html#a69105f6a84dd4fb912a16bfaa68aebf6',1,'tvm::te::ScanOpNode']]],
-  ['statenode_4258',['StateNode',['../classtvm_1_1auto__scheduler_1_1StateNode.html',1,'tvm::auto_scheduler']]],
-  ['stats_4259',['Stats',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a5445bd71aa14ec97552fa099dc3bd787',1,'tvm::runtime::vm::Executable']]],
-  ['stats_5fsec_4260',['stats_sec',['../classtvm_1_1meta__schedule_1_1ProfilerNode.html#a9834a7a0c59d77c24d29918b93f7220a',1,'tvm::meta_schedule::ProfilerNode']]],
-  ['step_4261',['step',['../classtvm_1_1script_1_1printer_1_1SliceDocNode.html#a71eafec33566f09b9ce23e4daf4910fc',1,'tvm::script::printer::SliceDocNode::step()'],['../structtvm_1_1relay_1_1ArangeAttrs.html#aabe51ead537f676d53ffedf91b16ae66',1,'tvm::relay::ArangeAttrs::step()']]],
-  ['step_4262',['Step',['../classtvm_1_1auto__scheduler_1_1Step.html',1,'tvm::auto_scheduler']]],
-  ['stepapplytoschedule_4263',['StepApplyToSchedule',['../namespacetvm_1_1auto__scheduler.html#ac58f7548a94b92f801b2b9a6f65bd785',1,'tvm::auto_scheduler']]],
-  ['stepapplytostate_4264',['StepApplyToState',['../namespacetvm_1_1auto__scheduler.html#a6909bc5a99d1cc8372201e9392717832',1,'tvm::auto_scheduler']]],
-  ['stepnode_4265',['StepNode',['../classtvm_1_1auto__scheduler_1_1StepNode.html',1,'tvm::auto_scheduler']]],
-  ['stepprintaspythonapi_4266',['StepPrintAsPythonAPI',['../namespacetvm_1_1auto__scheduler.html#a3f759d9d2fcc4759578a84e06a509a07',1,'tvm::auto_scheduler']]],
-  ['stepreadfromrecord_4267',['StepReadFromRecord',['../namespacetvm_1_1auto__scheduler.html#aab09151bf58d2cb261e1254f22261741',1,'tvm::auto_scheduler']]],
-  ['steps_4268',['steps',['../structtvm_1_1relay_1_1MultiBoxPriorAttrs.html#a312f6999492eb61bf20593a4f30acd35',1,'tvm::relay::MultiBoxPriorAttrs']]],
-  ['stftattrs_4269',['StftAttrs',['../structtvm_1_1relay_1_1StftAttrs.html',1,'tvm::relay']]],
-  ['stmt_4270',['stmt',['../classtvm_1_1tir_1_1StmtSRefNode.html#acf4e832651882cfbc33e3d5930159791',1,'tvm::tir::StmtSRefNode']]],
-  ['stmt_4271',['Stmt',['../classtvm_1_1tir_1_1Stmt.html',1,'tvm::tir']]],
-  ['stmt_2eh_4272',['stmt.h',['../stmt_8h.html',1,'']]],
-  ['stmt2ref_4273',['stmt2ref',['../classtvm_1_1tir_1_1ScheduleStateNode.html#a32792c2d1c659c34ca079c422553ec43',1,'tvm::tir::ScheduleStateNode::stmt2ref()'],['../classtvm_1_1tir_1_1BlockDependenceInfoNode.html#a68f89dbffed319b548701b2737f20a56',1,'tvm::tir::BlockDependenceInfoNode::stmt2ref()']]],
-  ['stmt_5ffunctor_2eh_4274',['stmt_functor.h',['../stmt__functor_8h.html',1,'']]],
-  ['stmt_5ffunctor_5fdefault_4275',['STMT_FUNCTOR_DEFAULT',['../stmt__functor_8h.html#ac18c66d877e6a00a4da0d8d7d2b2b6ae',1,'stmt_functor.h']]],
-  ['stmtas_4276',['StmtAs',['../classtvm_1_1tir_1_1StmtSRefNode.html#afc61714fbac246f72d02d0729fb9ba2d',1,'tvm::tir::StmtSRefNode']]],
-  ['stmtblockdoc_4277',['StmtBlockDoc',['../classtvm_1_1script_1_1printer_1_1StmtBlockDoc.html#a2b2125bd8f0c4cb614aaa80505d470c6',1,'tvm::script::printer::StmtBlockDoc::StmtBlockDoc()'],['../classtvm_1_1script_1_1printer_1_1StmtBlockDoc.html',1,'tvm::script::printer::StmtBlockDoc']]],
-  ['stmtblockdocnode_4278',['StmtBlockDocNode',['../classtvm_1_1script_1_1printer_1_1StmtBlockDocNode.html',1,'tvm::script::printer']]],
-  ['stmtdoc_4279',['StmtDoc',['../classtvm_1_1script_1_1printer_1_1StmtDoc.html#adec8d59e41d8a4093fb310089bf2c3ba',1,'tvm::script::printer::StmtDoc::StmtDoc()'],['../classtvm_1_1script_1_1printer_1_1StmtDoc.html',1,'tvm::script::printer::StmtDoc']]],
-  ['stmtdocnode_4280',['StmtDocNode',['../classtvm_1_1script_1_1printer_1_1StmtDocNode.html',1,'tvm::script::printer']]],
-  ['stmtexprmutator_4281',['StmtExprMutator',['../classtvm_1_1tir_1_1StmtExprMutator.html',1,'tvm::tir']]],
-  ['stmtexprvisitor_4282',['StmtExprVisitor',['../classtvm_1_1tir_1_1StmtExprVisitor.html',1,'tvm::tir']]],
-  ['stmtfunctor_4283',['StmtFunctor',['../classtvm_1_1tir_1_1StmtFunctor.html',1,'tvm::tir']]],
-  ['stmtfunctor_3c_20r_28const_20stmt_20_26n_2c_20args_2e_2e_2e_20args_29_3e_4284',['StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;',['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html',1,'tvm::tir']]],
-  ['stmtfunctor_3c_20stmt_28const_20stmt_20_26_29_3e_4285',['StmtFunctor&lt; Stmt(const Stmt &amp;)&gt;',['../classtvm_1_1tir_1_1StmtFunctor.html',1,'tvm::tir']]],
-  ['stmtfunctor_3c_20void_28const_20stmt_20_26_29_3e_4286',['StmtFunctor&lt; void(const Stmt &amp;)&gt;',['../classtvm_1_1tir_1_1StmtFunctor.html',1,'tvm::tir']]],
-  ['stmtmutator_4287',['StmtMutator',['../classtvm_1_1tir_1_1StmtMutator.html',1,'tvm::tir']]],
-  ['stmtnode_4288',['StmtNode',['../classtvm_1_1tir_1_1StmtNode.html#a67693c4e97ae49890ea74605fe1b1f74',1,'tvm::tir::StmtNode::StmtNode(Span span)'],['../classtvm_1_1tir_1_1StmtNode.html#a79e21b14d3ab57209577bf4a8f694a87',1,'tvm::tir::StmtNode::StmtNode()=default'],['../classtvm_1_1tir_1_1StmtNode.html',1,'tvm::tir::StmtNode']]],
-  ['stmts_4289',['stmts',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrameNode.html#a13776bb5c2e5403138fbee06d4fdad40',1,'tvm::script::ir_builder::tir::TIRFrameNode::stmts()'],['../classtvm_1_1script_1_1printer_1_1StmtBlockDocNode.html#a17862bcb50fd1ef49cd9a47f065e612c',1,'tvm::script::printer::StmtBlockDocNode::stmts()'],['../classtvm_1_1script_1_1printer_1_1FrameNode.html#aada7a9ba8d07d7bda2886502de908e15',1,'tvm::script::printer::FrameNode::stmts()']]],
-  ['stmtsref_4290',['StmtSRef',['../classtvm_1_1tir_1_1StmtSRef.html#a31687ace5dc4fe487ffb87d658d86412',1,'tvm::tir::StmtSRef::StmtSRef()'],['../classtvm_1_1tir_1_1StmtSRef.html',1,'tvm::tir::StmtSRef']]],
-  ['stmtsrefnode_4291',['StmtSRefNode',['../classtvm_1_1tir_1_1StmtSRefNode.html',1,'tvm::tir']]],
-  ['stmtvisitor_4292',['StmtVisitor',['../classtvm_1_1tir_1_1StmtVisitor.html',1,'tvm::tir']]],
-  ['stop_4293',['Stop',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#aa2000d8cd1970b5d29139ab1831394f0',1,'tvm::runtime::profiling::Profiler::Stop()'],['../classtvm_1_1runtime_1_1TimerNode.html#a67eb764f2c9e3fb7c2708f01c0c35683',1,'tvm::runtime::TimerNode::Stop()'],['../classtvm_1_1runtime_1_1profiling_1_1MetricCollectorNode.html#aca9679dd49dfbc886b9dc99539cbf0e6',1,'tvm::runtime::profiling::MetricCollectorNode::Stop()']]],
-  ['stop_4294',['stop',['../structtvm_1_1relay_1_1ArangeAttrs.html#a1eadf1f3964ca83dade8edeae7d6d7cf',1,'tvm::relay::ArangeAttrs::stop()'],['../classtvm_1_1script_1_1printer_1_1SliceDocNode.html#aaeb98937e7617cb76fb9662616b89e81',1,'tvm::script::printer::SliceDocNode::stop()']]],
-  ['stopcall_4295',['StopCall',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#ad5e6a8e8c9d915c80f494138eedfec3f',1,'tvm::runtime::profiling::Profiler']]],
-  ['storage_4296',['storage',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a3412cabd3b4f42f106f56fc22257f6ca',1,'tvm::runtime::vm::Instruction']]],
-  ['storage_4297',['Storage',['../classtvm_1_1runtime_1_1vm_1_1Storage.html#aff0c1264864e6205cfa468f069f62f55',1,'tvm::runtime::vm::Storage::Storage()'],['../classtvm_1_1runtime_1_1vm_1_1Storage.html',1,'tvm::runtime::vm::Storage']]],
-  ['storage_5falign_4298',['storage_align',['../classtvm_1_1te_1_1Stage.html#aa73e3a269d84c3b4f0a1994371d67bab',1,'tvm::te::Stage::storage_align()'],['../classtvm_1_1auto__scheduler_1_1State.html#ab006690418e43cc9b7ad021c02657ed6',1,'tvm::auto_scheduler::State::storage_align()']]],
-  ['storage_5falignment_4299',['storage_alignment',['../namespacetvm_1_1tir_1_1attr.html#af27d464f2065dc5f77408df7b94d4bb6',1,'tvm::tir::attr']]],
-  ['storage_5fid_4300',['storage_id',['../structTVMGraphExecutorGraphAttr.html#a8a0d6d05adcffbf499aafb6a6700c400',1,'TVMGraphExecutorGraphAttr']]],
-  ['storage_5fnum_5fnot_5falloctaed_4301',['storage_num_not_alloctaed',['../structTVMGraphExecutorGraphAttr.html#ae1937d45b634bf58303a3ab48622256e',1,'TVMGraphExecutorGraphAttr']]],
-  ['storage_5foffset_4302',['storage_offset',['../structtvm_1_1auto__scheduler_1_1StageAttributes.html#a9adf0225217392dc39d5cfcf67ead607',1,'tvm::auto_scheduler::StageAttributes']]],
-  ['storage_5fscope_4303',['storage_scope',['../classtvm_1_1PointerTypeNode.html#aef547d49d4f3cb208b6248745f56750a',1,'tvm::PointerTypeNode::storage_scope()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1RealizeFrameNode.html#aa810ba44c76ee91982ff64996ffa0933',1,'tvm::script::ir_builder::tir::RealizeFrameNode::storage_scope()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateFrameNode.html#ac2fae69fd4b4923c2997d0e48e6820bd',1,'tvm::script::ir_builder::tir::AllocateFrameNode: [...]
-  ['storagealign_4304',['StorageAlign',['../classtvm_1_1tir_1_1ScheduleNode.html#a93d1d23f24d903db844f75f51fe09a36',1,'tvm::tir::ScheduleNode']]],
-  ['storagealignstep_4305',['StorageAlignStep',['../classtvm_1_1auto__scheduler_1_1StorageAlignStep.html#a99dbb8c55d9e7d78268b6d43fd348bc7',1,'tvm::auto_scheduler::StorageAlignStep::StorageAlignStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1StorageAlignStep.html#af50b7c2f020f8e0a80f5bcc8e559b394',1,'tvm::auto_scheduler::StorageAlignStep::StorageAlignStep(int stage_id, int iter_id, int factor, int offset)'],['../classtvm_1_1auto__scheduler_1_1StorageAlignStep.html',1 [...]
-  ['storagealignstepnode_4306',['StorageAlignStepNode',['../classtvm_1_1auto__scheduler_1_1StorageAlignStepNode.html',1,'tvm::auto_scheduler']]],
-  ['storageflatten_4307',['StorageFlatten',['../namespacetvm_1_1tir_1_1transform.html#a778d3e1efecdff97e7bcf0e6a5406e61',1,'tvm::tir::transform']]],
-  ['storageobj_4308',['StorageObj',['../classtvm_1_1runtime_1_1vm_1_1StorageObj.html',1,'tvm::runtime::vm']]],
-  ['storagerewrite_4309',['StorageRewrite',['../namespacetvm_1_1tir_1_1transform.html#abe87b271e2c20e0ad901697f33c01d2c',1,'tvm::tir::transform']]],
-  ['storagetype_4310',['StorageType',['../classtvm_1_1runtime_1_1SimpleObjAllocator_1_1Handler.html#ada6a65394767c674dbbfb5937ef51bd6',1,'tvm::runtime::SimpleObjAllocator::Handler::StorageType()'],['../classtvm_1_1runtime_1_1SimpleObjAllocator_1_1ArrayHandler.html#a67e86db3290b1d3bd4aca7e7a2faf187',1,'tvm::runtime::SimpleObjAllocator::ArrayHandler::StorageType()']]],
-  ['store_5fpredicate_4311',['store_predicate',['../classtvm_1_1te_1_1StageNode.html#a8f4ba7f2931b3541c12734af511600a7',1,'tvm::te::StageNode']]],
-  ['str_4312',['str',['../classtvm_1_1TargetNode.html#a30cd67db46a9c4b098a8ba38fff22e26',1,'tvm::TargetNode']]],
-  ['str_4313',['Str',['../classtvm_1_1script_1_1printer_1_1LiteralDoc.html#a8423a0ede5a29b24f6db5d9f6574a200',1,'tvm::script::printer::LiteralDoc']]],
-  ['stream_4314',['Stream',['../classtvm_1_1ReprLegacyPrinter.html#a8234b759c989ddac7fb24253d2e1e83d',1,'tvm::ReprLegacyPrinter']]],
-  ['stream_4315',['stream',['../classtvm_1_1ReprPrinter.html#a036409dcdcf6f0ac5c6d7d27ec60ed94',1,'tvm::ReprPrinter']]],
-  ['streamsync_4316',['StreamSync',['../classtvm_1_1runtime_1_1DeviceAPI.html#ac29b9295c432a87658392872c644864f',1,'tvm::runtime::DeviceAPI']]],
-  ['stride_4317',['stride',['../structtvm_1_1relay_1_1YoloReorgAttrs.html#a720c79759a2f2b603b006b0bfcab5fe0',1,'tvm::relay::YoloReorgAttrs::stride()'],['../classtvm_1_1tir_1_1RampNode.html#a618c78e39d18f999dbdd3fe01436f447',1,'tvm::tir::RampNode::stride()']]],
-  ['stride1_4318',['stride1',['../structtvm_1_1relay_1_1CorrelationAttrs.html#aab5bf45d1aa0972bc1ce5cdb715546ff',1,'tvm::relay::CorrelationAttrs']]],
-  ['stride2_4319',['stride2',['../structtvm_1_1relay_1_1CorrelationAttrs.html#aa13aede7455a449fc194781420e40af7',1,'tvm::relay::CorrelationAttrs']]],
-  ['strided_5fslice_4320',['strided_slice',['../namespacetvm_1_1topi.html#a208e90d4a8db8cf2c7d77b4460f7df70',1,'tvm::topi']]],
-  ['strided_5fslice_2eh_4321',['strided_slice.h',['../strided__slice_8h.html',1,'']]],
-  ['strided_5fslice_5fwith_5faxes_4322',['strided_slice_with_axes',['../namespacetvm_1_1topi.html#acd9415b24624edac4edec4802d275062',1,'tvm::topi']]],
-  ['stridedsliceattrs_4323',['StridedSliceAttrs',['../structtvm_1_1relay_1_1StridedSliceAttrs.html',1,'tvm::relay']]],
-  ['stridedsliceoutputshape_4324',['StridedSliceOutputShape',['../namespacetvm_1_1topi.html#aff75b2e533b654909ca1bd9485ef4e6b',1,'tvm::topi']]],
-  ['strides_4325',['strides',['../structtvm_1_1relay_1_1StridedSliceAttrs.html#ab8c4942d4242425714b21488bae72fec',1,'tvm::relay::StridedSliceAttrs::strides()'],['../structtvm_1_1relay_1_1DeformableConv2DAttrs.html#a20463675cf34274bc2d8ccb75f1e2014',1,'tvm::relay::DeformableConv2DAttrs::strides()'],['../structtvm_1_1relay_1_1SlidingWindowAttrs.html#a74e2768c0ff2825a95c17c2a9a034254',1,'tvm::relay::SlidingWindowAttrs::strides()'],['../classtvm_1_1tir_1_1BufferNode.html#ac18ddd10b79a30ae57d [...]
-  ['string_4326',['String',['../classtvm_1_1runtime_1_1String.html#a02fca36e3ff55cc1e83635b02a11fca3',1,'tvm::runtime::String::String(std::string other)'],['../classtvm_1_1runtime_1_1String.html#ac5d930b522e9fef9c07e51819d96d2f3',1,'tvm::runtime::String::String()'],['../classtvm_1_1runtime_1_1StringObj.html#a7fb804f7dc96dd9f705c84095f37f1ca',1,'tvm::runtime::StringObj::String()'],['../classtvm_1_1runtime_1_1StringObj_1_1FromStd.html#a7fb804f7dc96dd9f705c84095f37f1ca',1,'tvm::runtime::Str [...]
-  ['string_2eh_4327',['string.h',['../string_8h.html',1,'']]],
-  ['string2dldatatype_4328',['String2DLDataType',['../crt_2packed__func_8h.html#a1b379b0d66cecf7035d7d9efa4d87e5e',1,'String2DLDataType():&#160;packed_func.h'],['../namespacetvm_1_1runtime.html#a277f104e659f71cd8885744700016341',1,'tvm::runtime::String2DLDataType()']]],
-  ['string_5fstream_4329',['string_stream',['../classtvm_1_1relay_1_1DFPatternPrinter.html#a3c25498c925131b2bb3c7b77319a0056',1,'tvm::relay::DFPatternPrinter']]],
-  ['stringimm_4330',['StringImm',['../classtvm_1_1tir_1_1StringImm.html#a0f2830290e055f677c5d5dea98aab726',1,'tvm::tir::StringImm::StringImm()'],['../classtvm_1_1tir_1_1StringImm.html',1,'tvm::tir::StringImm']]],
-  ['stringimmnode_4331',['StringImmNode',['../classtvm_1_1tir_1_1StringImmNode.html',1,'tvm::tir']]],
-  ['stringobj_4332',['StringObj',['../classtvm_1_1runtime_1_1StringObj.html',1,'tvm::runtime']]],
-  ['structural_5fequal_2eh_4333',['structural_equal.h',['../structural__equal_8h.html',1,'']]],
-  ['structural_5fhash_2eh_4334',['structural_hash.h',['../structural__hash_8h.html',1,'']]],
-  ['structuralequal_4335',['StructuralEqual',['../classtvm_1_1StructuralEqual.html',1,'tvm']]],
-  ['structuralhash_4336',['StructuralHash',['../classtvm_1_1StructuralHash.html',1,'tvm']]],
-  ['sub_4337',['Sub',['../classtvm_1_1tir_1_1Sub.html#a1f9d1defacfb72b432e80f1211f4be9c',1,'tvm::tir::Sub']]],
-  ['sub_4338',['sub',['../namespacetvm.html#a9cbbc9769fffddd99dfb4ab3f69dafb3',1,'tvm::sub(int a, const PrimExpr &amp;b, Span span=Span())'],['../namespacetvm.html#a269b014ef11118b07bae6efb0300ba6f',1,'tvm::sub(float a, const PrimExpr &amp;b, Span span=Span())'],['../namespacetvm.html#a7470d45dafa0a91b6c62b25cdd61514e',1,'tvm::sub(const PrimExpr &amp;a, int b, Span span=Span())'],['../namespacetvm.html#a9d05fc8e6a57888c5fd908152bf6d84a',1,'tvm::sub(const PrimExpr &amp;a, double b, Span s [...]
-  ['sub_4339',['Sub',['../classtvm_1_1tir_1_1Sub.html',1,'tvm::tir']]],
-  ['sub_5fdiag_5fright_5falign_4340',['sub_diag_right_align',['../structtvm_1_1relay_1_1MatrixSetDiagAttrs.html#a1acfc2cf9788e7bef4798d059bd72aee',1,'tvm::relay::MatrixSetDiagAttrs']]],
-  ['sublayout_4341',['SubLayout',['../classtvm_1_1tir_1_1Layout.html#a6342d62a4cfd5bb2313c2589c91c6d49',1,'tvm::tir::Layout']]],
-  ['subnode_4342',['SubNode',['../classtvm_1_1tir_1_1SubNode.html',1,'tvm::tir']]],
-  ['subpixelattrs_4343',['SubPixelAttrs',['../structtvm_1_1relay_1_1SubPixelAttrs.html',1,'tvm::relay']]],
-  ['subscript_4344',['Subscript',['../structtvm_1_1topi_1_1EinsumEquation.html#a4a0b81e8b69b43af5868a1617cfe30d3',1,'tvm::topi::EinsumEquation']]],
-  ['subspacedivide_4345',['SubspaceDivide',['../namespacetvm_1_1arith.html#a07096c818b466b42171bc93c1784cdf8',1,'tvm::arith']]],
-  ['substitute_4346',['Substitute',['../namespacetvm_1_1tir.html#a71cc8d64e0d78149a19413953dec6230',1,'tvm::tir::Substitute(PrimExpr expr, std::function&lt; Optional&lt; PrimExpr &gt;(const Var &amp;var)&gt; vmap)'],['../namespacetvm_1_1tir.html#a9e1301a585fe9a09feb38a15b3300d8b',1,'tvm::tir::Substitute(Obj &amp;&amp;obj, const std::unordered_map&lt; IterVar, Expr &gt; &amp;iter_vmap)'],['../classtvm_1_1arith_1_1IntGroupBounds.html#a25fd6d19fec4a47d38913d95c9229e1f',1,'tvm::arith::IntGro [...]
-  ['substituteboundvars_4347',['SubstituteBoundVars',['../namespacetvm_1_1relay.html#aa65d5cde84db61b456ce982b5328fae2',1,'tvm::relay']]],
-  ['substitutewithdatatypelegalization_4348',['SubstituteWithDataTypeLegalization',['../namespacetvm_1_1tir.html#a7bf580da4d2e7867856ce00d3ff1805d',1,'tvm::tir::SubstituteWithDataTypeLegalization(Stmt stmt, std::function&lt; Optional&lt; PrimExpr &gt;(const Var &amp;)&gt; vmap)'],['../namespacetvm_1_1tir.html#ae06122cce8e8888b5ed3568e7a4368bc',1,'tvm::tir::SubstituteWithDataTypeLegalization(PrimExpr expr, std::function&lt; Optional&lt; PrimExpr &gt;(const Var &amp;)&gt; vmap)']]],
-  ['subtract_4349',['subtract',['../namespacetvm_1_1topi.html#a3030be37f9db43ef90a5b2cc0997acd6',1,'tvm::topi::subtract(const tvm::PrimExpr &amp;a, const tvm::PrimExpr &amp;b)'],['../namespacetvm_1_1topi.html#a461a374321614b257311660b8bc45d0d',1,'tvm::topi::subtract(const tvm::te::Tensor &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;subtract&quot;, std::string tag=kBroadcast)'],['../namespacetvm_1_1topi.html#a1447c5af8653fa4fcbe69ee287a0a8fa',1,'tvm::topi::s [...]
-  ['sum_4350',['sum',['../namespacetvm.html#afdad0c0329bd39949ba8d296cfb85d76',1,'tvm::sum()'],['../namespacetvm_1_1topi.html#abee7c35e8c15e2e61afe35852dfcb252',1,'tvm::topi::sum()']]],
-  ['super_5fdiag_5fright_5falign_4351',['super_diag_right_align',['../structtvm_1_1relay_1_1MatrixSetDiagAttrs.html#adb7c44439db1d842a6c804547df02a31',1,'tvm::relay::MatrixSetDiagAttrs']]],
-  ['support_5flevel_4352',['support_level',['../classtvm_1_1OpNode.html#a68a34098b5a111dec5f381cc4a80cb78',1,'tvm::OpNode']]],
-  ['surjective_4353',['Surjective',['../namespacetvm_1_1arith.html#a243b60bbe2d3852099eb65454b240c63ae76680d0048f5c8d7160cac340cb53c9',1,'tvm::arith']]],
-  ['swap_4354',['swap',['../classtvm_1_1runtime_1_1ObjectPtr.html#a0306cc87b99ff5f392fdb90d6aabc70f',1,'tvm::runtime::ObjectPtr']]],
-  ['sync_5fhandle_4355',['sync_handle',['../structTVMParallelGroupEnv.html#a0633fa0370c01f1ab38948a53801d90c',1,'TVMParallelGroupEnv']]],
-  ['syncandgetelapsednanos_4356',['SyncAndGetElapsedNanos',['../classtvm_1_1runtime_1_1TimerNode.html#a0d7432265ae9053d8d50507b1ffb55f0',1,'tvm::runtime::TimerNode']]],
-  ['syncstreamfromto_4357',['SyncStreamFromTo',['../classtvm_1_1runtime_1_1DeviceAPI.html#a3e455879adb262d3d66945cbe4a5a118',1,'tvm::runtime::DeviceAPI']]],
-  ['syntax_5fsugar_4358',['syntax_sugar',['../classtvm_1_1PrinterConfigNode.html#a518824def075339074d4451a79e3dd9b',1,'tvm::PrinterConfigNode']]]
+  ['same_5fas_3883',['same_as',['../classtvm_1_1runtime_1_1ObjectRef.html#ae31a5b9f40781d60a2901994ead700e8',1,'tvm::runtime::ObjectRef']]],
+  ['sample_5fratio_3884',['sample_ratio',['../structtvm_1_1relay_1_1ROIAlignAttrs.html#a44a199408088e3c6d2b0ae13e13eff40',1,'tvm::relay::ROIAlignAttrs']]],
+  ['samplecategorical_3885',['SampleCategorical',['../classtvm_1_1tir_1_1ScheduleNode.html#ab9d2b3a98335b88f168b69deed49eb19',1,'tvm::tir::ScheduleNode']]],
+  ['samplecomputelocation_3886',['SampleComputeLocation',['../classtvm_1_1tir_1_1ScheduleNode.html#abf9fbec94271b7512c24b6eced230c39',1,'tvm::tir::ScheduleNode']]],
+  ['samplepartitionedtile_3887',['SamplePartitionedTile',['../classtvm_1_1tir_1_1ScheduleNode.html#a6d5170f35f095f0a581ea48f477e2f08',1,'tvm::tir::ScheduleNode']]],
+  ['sampleperfecttile_3888',['SamplePerfectTile',['../classtvm_1_1tir_1_1ScheduleNode.html#a2c62b3f9486dd35714df50bc424d6698',1,'tvm::tir::ScheduleNode']]],
+  ['sanitizename_3889',['SanitizeName',['../namespacetvm_1_1runtime.html#abffd1371d92046a4129a4961ef40755c',1,'tvm::runtime']]],
+  ['save_3890',['Save',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#af949a66ffb86ea941dec43fe95f8d898',1,'tvm::runtime::vm::Executable::Save()'],['../classtvm_1_1meta__schedule_1_1CostModelNode.html#a09774a50dbf33a58b8bc33a182a95bce',1,'tvm::meta_schedule::CostModelNode::Save()'],['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#a41fc20e8506595fc41c44b69a67a6d93',1,'tvm::meta_schedule::PyCostModelNode::Save()'],['../classtvm_1_1runtime_1_1NDArray.html#ae6f82ad564a648d21e9a2e4d [...]
+  ['saved_5fdeleter_5f_3891',['saved_deleter_',['../classtvm_1_1relay_1_1CallNode.html#a918ffd45556abd8b476e8e5d701e95fc',1,'tvm::relay::CallNode::saved_deleter_()'],['../classtvm_1_1relay_1_1LetNode.html#af0689c200f81b39141564e667b435cd7',1,'tvm::relay::LetNode::saved_deleter_()']]],
+  ['savedltensor_3892',['SaveDLTensor',['../namespacetvm_1_1runtime.html#a8fb37910dcd9bb6899e6a3a47f006514',1,'tvm::runtime']]],
+  ['savejson_3893',['SaveJSON',['../namespacetvm.html#aad98af358976b1598430090b7db92823',1,'tvm']]],
+  ['savetobinary_3894',['SaveToBinary',['../classtvm_1_1runtime_1_1ModuleNode.html#acd613ea7faf2fc100d1e8b0fd80020c3',1,'tvm::runtime::ModuleNode::SaveToBinary()'],['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a6fa56dfff8df1b3c353f076ee02935b0',1,'tvm::runtime::vm::Executable::SaveToBinary()']]],
+  ['savetofile_3895',['SaveToFile',['../classtvm_1_1runtime_1_1ModuleNode.html#a031fdd218e368bc96e119ccdabf482ee',1,'tvm::runtime::ModuleNode::SaveToFile()'],['../classtvm_1_1runtime_1_1vm_1_1Executable.html#aa8bb96a33b7e540b169b2994ae75a400',1,'tvm::runtime::vm::Executable::SaveToFile()']]],
+  ['scalar_3896',['Scalar',['../classtvm_1_1TensorType.html#ab3d79794f932115e9ad71d616648d05d',1,'tvm::TensorType']]],
+  ['scalar_5finputs_3897',['scalar_inputs',['../classtvm_1_1te_1_1TensorIntrinCallNode.html#a862c22c7362a799b0f44de41920bffc8',1,'tvm::te::TensorIntrinCallNode::scalar_inputs()'],['../classtvm_1_1te_1_1TensorComputeOpNode.html#ae96efdee192da92765d2a64aff1f9999',1,'tvm::te::TensorComputeOpNode::scalar_inputs()']]],
+  ['scalar_5fparams_3898',['scalar_params',['../classtvm_1_1te_1_1TensorIntrinNode.html#a9bd674ec6c6a695ea81a3651e99a78f0',1,'tvm::te::TensorIntrinNode']]],
+  ['scale_3899',['scale',['../classtvm_1_1TensorAffineTypeNode.html#a8443f9693d6f3574b85ff0b6e37b298b',1,'tvm::TensorAffineTypeNode::scale()'],['../structtvm_1_1relay_1_1GroupNormAttrs.html#aafc02cdca5286cca8ee5c7f23cf091ba',1,'tvm::relay::GroupNormAttrs::scale()'],['../structtvm_1_1relay_1_1InstanceNormAttrs.html#a3c3ea1bc3de46864e1a355711ac7d2a1',1,'tvm::relay::InstanceNormAttrs::scale()'],['../classtvm_1_1arith_1_1IterSplitExprNode.html#a93c760bb7a5af0c7c442dc879d7bc55a',1,'tvm::arith [...]
+  ['scale_5fd_3900',['scale_d',['../structtvm_1_1relay_1_1UpSampling3DAttrs.html#a73f52d511b9d564724930bc40497cee7',1,'tvm::relay::UpSampling3DAttrs']]],
+  ['scale_5fh_3901',['scale_h',['../structtvm_1_1relay_1_1UpSampling3DAttrs.html#a8ce387249c84609450b32fd69d719366',1,'tvm::relay::UpSampling3DAttrs::scale_h()'],['../structtvm_1_1relay_1_1UpSamplingAttrs.html#a0783ffc51d1f90cf5c0762052c4eaf5c',1,'tvm::relay::UpSamplingAttrs::scale_h()']]],
+  ['scale_5fshift_5fnchw_3902',['scale_shift_nchw',['../namespacetvm_1_1topi_1_1nn.html#a07b8a04f27f17af58dd9f18218cfe081',1,'tvm::topi::nn']]],
+  ['scale_5fshift_5fnhwc_3903',['scale_shift_nhwc',['../namespacetvm_1_1topi_1_1nn.html#acaf3bc4e89fc55b8444d2550d25f4f22',1,'tvm::topi::nn']]],
+  ['scale_5fw_3904',['scale_w',['../structtvm_1_1relay_1_1UpSamplingAttrs.html#af6c8568dcaaf3106502660a74b5847dd',1,'tvm::relay::UpSamplingAttrs::scale_w()'],['../structtvm_1_1relay_1_1UpSampling3DAttrs.html#a270613b4109d2b24766b7bfbac2539c1',1,'tvm::relay::UpSampling3DAttrs::scale_w()']]],
+  ['scales_3905',['scales',['../structtvm_1_1relay_1_1ProposalAttrs.html#a0fbb2676833987768b1062753e2df1a3',1,'tvm::relay::ProposalAttrs']]],
+  ['scan_3906',['scan',['../namespacetvm_1_1te.html#a2211520c227ed5ec6fe220076a495eea',1,'tvm::te']]],
+  ['scan_3907',['Scan',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html#a9c92d0b33d1cf1e8c9a57eefc7b4430f',1,'tvm::script::ir_builder::tir::axis']]],
+  ['scan_5faxis_3908',['scan_axis',['../classtvm_1_1te_1_1ScanOpNode.html#a9c67e6383696efbba8e1ff9bd7470c8a',1,'tvm::te::ScanOpNode']]],
+  ['scan_5finit_5fscope_3909',['scan_init_scope',['../namespacetvm_1_1tir_1_1attr.html#af18e3a9ba11d436e02b55e420647b22b',1,'tvm::tir::attr']]],
+  ['scan_5fupdate_5fscope_3910',['scan_update_scope',['../namespacetvm_1_1tir_1_1attr.html#a84f5d42e968fd8f4cdd7a4aac7ba2137',1,'tvm::tir::attr']]],
+  ['scanop_3911',['ScanOp',['../classtvm_1_1te_1_1ScanOp.html#ac09bdcae5190ada19d18f1f77f2c8a65',1,'tvm::te::ScanOp::ScanOp()'],['../classtvm_1_1te_1_1ScanOp.html',1,'tvm::te::ScanOp']]],
+  ['scanopattrs_3912',['ScanopAttrs',['../structtvm_1_1relay_1_1ScanopAttrs.html',1,'tvm::relay']]],
+  ['scanopnode_3913',['ScanOpNode',['../classtvm_1_1te_1_1ScanOpNode.html#a1b681295f74cb94732ef167a15a8488f',1,'tvm::te::ScanOpNode::ScanOpNode()'],['../classtvm_1_1te_1_1ScanOpNode.html',1,'tvm::te::ScanOpNode']]],
+  ['scatterelementsattrs_3914',['ScatterElementsAttrs',['../structtvm_1_1relay_1_1ScatterElementsAttrs.html',1,'tvm::relay']]],
+  ['scatterndattrs_3915',['ScatterNDAttrs',['../structtvm_1_1relay_1_1ScatterNDAttrs.html',1,'tvm::relay']]],
+  ['sch_3916',['sch',['../classtvm_1_1meta__schedule_1_1MeasureCandidateNode.html#a09ca07d9214f494e04ea57972109c6d6',1,'tvm::meta_schedule::MeasureCandidateNode']]],
+  ['sch_5frules_3917',['sch_rules',['../classtvm_1_1meta__schedule_1_1SpaceGeneratorNode.html#a13d999ca33bcf255fb66cb466137a402',1,'tvm::meta_schedule::SpaceGeneratorNode']]],
+  ['schedulable_5fndim_3918',['schedulable_ndim',['../classtvm_1_1te_1_1TensorComputeOpNode.html#af9fbd1dbdccbfbef35a87137b6a1bee6',1,'tvm::te::TensorComputeOpNode']]],
+  ['schedule_3919',['Schedule',['../classtvm_1_1te_1_1Schedule.html#a1eb19ccb06835a11edc39ed1410f01af',1,'tvm::te::Schedule::Schedule()'],['../classtvm_1_1tir_1_1ScheduleNode.html#aae5808dc2e987bf17ef42196457a654d',1,'tvm::tir::ScheduleNode::Schedule()'],['../classtvm_1_1relay_1_1OpImplementation.html#a13a90107dc66983c53faf1620b022d28',1,'tvm::relay::OpImplementation::Schedule()'],['../classtvm_1_1te_1_1Schedule.html#a90fb6686f85d6a0be14fe52de8f48402',1,'tvm::te::Schedule::Schedule(Array [...]
+  ['schedule_2eh_3920',['schedule.h',['../tir_2schedule_2schedule_8h.html',1,'(Global Namespace)'],['../te_2schedule_8h.html',1,'(Global Namespace)']]],
+  ['schedule_5fbinarize_5fpack_3921',['schedule_binarize_pack',['../namespacetvm_1_1topi_1_1x86.html#a147c5543900d2577386840c2df896a42',1,'tvm::topi::x86']]],
+  ['schedule_5fbinary_5fdense_3922',['schedule_binary_dense',['../namespacetvm_1_1topi_1_1x86.html#a816e1819d7c26cb68aa858474bc97e46',1,'tvm::topi::x86']]],
+  ['schedule_5fdense_3923',['schedule_dense',['../namespacetvm_1_1topi_1_1cuda.html#a67def722e608bf15e836cec8181f75ff',1,'tvm::topi::cuda::schedule_dense()'],['../namespacetvm_1_1topi_1_1rocm.html#abe13cfee88cd67a15c064d16f4af46ad',1,'tvm::topi::rocm::schedule_dense()']]],
+  ['schedule_5fextern_3924',['schedule_extern',['../namespacetvm_1_1topi_1_1generic.html#a6ffba9caa2147c24b8f2fa53f6618e5b',1,'tvm::topi::generic']]],
+  ['schedule_5fglobal_5fpool_3925',['schedule_global_pool',['../namespacetvm_1_1topi_1_1cuda.html#ad29a3518671a48fab5b0eb18de35e787',1,'tvm::topi::cuda::schedule_global_pool()'],['../namespacetvm_1_1topi_1_1rocm.html#a45aee34b0000f98aafd958ffe9baebc0',1,'tvm::topi::rocm::schedule_global_pool()']]],
+  ['schedule_5finjective_3926',['schedule_injective',['../namespacetvm_1_1topi_1_1generic.html#a74857e4462b70fadef2d1761e2fbf514',1,'tvm::topi::generic::schedule_injective()'],['../namespacetvm_1_1topi_1_1cuda.html#a9a137fa03c26e87448d89697f344c9ce',1,'tvm::topi::cuda::schedule_injective()'],['../namespacetvm_1_1topi_1_1rocm.html#aecff022e332d1556f92d9606af40ccb1',1,'tvm::topi::rocm::schedule_injective()'],['../namespacetvm_1_1topi_1_1x86.html#a9ff21a27a270e187c985a93b565232c4',1,'tvm::t [...]
+  ['schedule_5finjective_5ffrom_5fexisting_3927',['schedule_injective_from_existing',['../namespacetvm_1_1topi_1_1generic.html#ac702cf597da4bd20ca41c12c9d6f339c',1,'tvm::topi::generic::schedule_injective_from_existing()'],['../namespacetvm_1_1topi_1_1cuda.html#acd6a96595f034560c78038c593dd9c3e',1,'tvm::topi::cuda::schedule_injective_from_existing()'],['../namespacetvm_1_1topi_1_1rocm.html#aade0f256897bf615640b06ce02171b14',1,'tvm::topi::rocm::schedule_injective_from_existing()'],['../nam [...]
+  ['schedule_5fpass_2eh_3928',['schedule_pass.h',['../schedule__pass_8h.html',1,'']]],
+  ['schedule_5fpool_3929',['schedule_pool',['../namespacetvm_1_1topi_1_1cuda.html#a8730c64578bca631c66bfde693730ca6',1,'tvm::topi::cuda::schedule_pool()'],['../namespacetvm_1_1topi_1_1rocm.html#a7d6d48e5cbcec1b7cc0a90c7399e2a8a',1,'tvm::topi::rocm::schedule_pool()']]],
+  ['schedule_5frecord_3930',['schedule_record',['../classtvm_1_1te_1_1ScheduleNode.html#a52983b1afd658ec3b885b3b076c6203d',1,'tvm::te::ScheduleNode']]],
+  ['schedule_5freduce_3931',['schedule_reduce',['../namespacetvm_1_1topi_1_1cuda.html#a674cabb64c0a45fd58c595389beb4919',1,'tvm::topi::cuda::schedule_reduce()'],['../namespacetvm_1_1topi_1_1rocm.html#aa4e0bacdd895904427bfc300ca9ace32',1,'tvm::topi::rocm::schedule_reduce()']]],
+  ['schedule_5frule_2eh_3932',['schedule_rule.h',['../schedule__rule_8h.html',1,'']]],
+  ['schedule_5fsoftmax_3933',['schedule_softmax',['../namespacetvm_1_1topi_1_1rocm.html#ab71ce2b3685f0ce5f30d2d661c5e799b',1,'tvm::topi::rocm::schedule_softmax()'],['../namespacetvm_1_1topi_1_1cuda.html#a4a2a33ae8186abc6af4dae2ffd12ff91',1,'tvm::topi::cuda::schedule_softmax()']]],
+  ['schedulecontext_3934',['ScheduleContext',['../classtvm_1_1te_1_1ScheduleContext.html',1,'tvm::te']]],
+  ['scheduledebugmask_3935',['ScheduleDebugMask',['../namespacetvm_1_1tir.html#a230fa4eb6152910f125f636dab3bd4e0',1,'tvm::tir']]],
+  ['scheduleerrorrenderlevel_3936',['ScheduleErrorRenderLevel',['../namespacetvm_1_1tir.html#a9ae244600a5e56c4adc9faf6d88f931e',1,'tvm::tir']]],
+  ['schedulefn_3937',['ScheduleFn',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a4a7bf04c99138534f38508157baf602c',1,'tvm::meta_schedule::SpaceGenerator']]],
+  ['schedulefndatabase_3938',['ScheduleFnDatabase',['../classtvm_1_1meta__schedule_1_1Database.html#a75f998ad3493c37b4ad2a1d93f454443',1,'tvm::meta_schedule::Database']]],
+  ['schedulenode_3939',['ScheduleNode',['../classtvm_1_1te_1_1ScheduleNode.html',1,'tvm::te::ScheduleNode'],['../classtvm_1_1tir_1_1ScheduleNode.html',1,'tvm::tir::ScheduleNode']]],
+  ['scheduleops_3940',['ScheduleOps',['../namespacetvm_1_1te.html#ac5f0fdd7c2d3deb15b7855c5b1ff1aff',1,'tvm::te']]],
+  ['schedulepostproctoprimfunc_3941',['SchedulePostProcToPrimFunc',['../namespacetvm_1_1te.html#a9eb39ad3aa5af348b1b27e755074f525',1,'tvm::te']]],
+  ['schedulereduce_3942',['ScheduleReduce',['../namespacetvm_1_1topi_1_1cuda.html#a3dbbf8bdb78533c15e62ab0e874eb360',1,'tvm::topi::cuda']]],
+  ['schedulerule_3943',['ScheduleRule',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html',1,'tvm::meta_schedule']]],
+  ['schedulerulenode_3944',['ScheduleRuleNode',['../classtvm_1_1meta__schedule_1_1ScheduleRuleNode.html',1,'tvm::meta_schedule']]],
+  ['schedulestate_3945',['ScheduleState',['../classtvm_1_1tir_1_1ScheduleState.html#a00cb7c3bda192835122e95de78925a7b',1,'tvm::tir::ScheduleState::ScheduleState()'],['../classtvm_1_1tir_1_1ScheduleState.html',1,'tvm::tir::ScheduleState']]],
+  ['schedulestatenode_3946',['ScheduleStateNode',['../classtvm_1_1tir_1_1ScheduleStateNode.html',1,'tvm::tir']]],
+  ['scheduletomodule_3947',['ScheduleToModule',['../namespacetvm.html#a16d68709766f3c808c5a8921d4f72274',1,'tvm']]],
+  ['scope_3948',['scope',['../classtvm_1_1tir_1_1Buffer.html#a27744860792f4dd5980ac62c0b9c4405',1,'tvm::tir::Buffer::scope()'],['../structtvm_1_1tir_1_1BlockInfo.html#a26f43b05e354b8e860a365c4c98c6f11',1,'tvm::tir::BlockInfo::scope()'],['../classtvm_1_1te_1_1StageNode.html#a55acf027a39738cd1ddd063b27086038',1,'tvm::te::StageNode::scope()']]],
+  ['scope_5fname_3949',['scope_name',['../classtvm_1_1auto__scheduler_1_1CacheReadStepNode.html#a3acb0811d66ee113a91c7c3501bbf01a',1,'tvm::auto_scheduler::CacheReadStepNode::scope_name()'],['../classtvm_1_1auto__scheduler_1_1CacheWriteStepNode.html#ae296d4462c2def1263a95a4c57ce9514',1,'tvm::auto_scheduler::CacheWriteStepNode::scope_name()']]],
+  ['scopedoc_3950',['ScopeDoc',['../classtvm_1_1script_1_1printer_1_1ScopeDoc.html#aec595b3a2a9e9fb8fbc22c3f58a9dec7',1,'tvm::script::printer::ScopeDoc::ScopeDoc(Optional&lt; ExprDoc &gt; lhs, ExprDoc rhs, Array&lt; StmtDoc &gt; body)'],['../classtvm_1_1script_1_1printer_1_1ScopeDoc.html#a04590cd32fa1ed52f376549be09c214e',1,'tvm::script::printer::ScopeDoc::ScopeDoc(ExprDoc rhs, Array&lt; StmtDoc &gt; body)'],['../classtvm_1_1script_1_1printer_1_1ScopeDoc.html',1,'tvm::script::printer::Sc [...]
+  ['scopedocnode_3951',['ScopeDocNode',['../classtvm_1_1script_1_1printer_1_1ScopeDocNode.html',1,'tvm::script::printer']]],
+  ['scopedtimer_3952',['ScopedTimer',['../classtvm_1_1meta__schedule_1_1ScopedTimer.html',1,'tvm::meta_schedule']]],
+  ['score_5findex_3953',['score_index',['../structtvm_1_1relay_1_1GetValidCountsAttrs.html#ac1f6c8ed8f47f543c20501af98cff87b',1,'tvm::relay::GetValidCountsAttrs::score_index()'],['../structtvm_1_1relay_1_1NonMaximumSuppressionAttrs.html#aef590e963d5af653e09e6327808c4723',1,'tvm::relay::NonMaximumSuppressionAttrs::score_index()']]],
+  ['score_5fthreshold_3954',['score_threshold',['../structtvm_1_1relay_1_1GetValidCountsAttrs.html#ad9cb7822369b93d0be7b2eeb01e2bcd5',1,'tvm::relay::GetValidCountsAttrs::score_threshold()'],['../structtvm_1_1relay_1_1RegularNonMaximumSuppressionAttrs.html#a8fb99d0720c0a115ce3165f9411022d8',1,'tvm::relay::RegularNonMaximumSuppressionAttrs::score_threshold()']]],
+  ['script_3955',['Script',['../classtvm_1_1TVMScriptPrinter.html#a703e7ac95c8a0672506323f6a0ea09f4',1,'tvm::TVMScriptPrinter']]],
+  ['script_5fparsing_5fdetect_5faccess_3956',['script_parsing_detect_access',['../namespacetvm_1_1tir_1_1attr.html#a3c66b2c968e985c3f4264979c18622e8',1,'tvm::tir::attr']]],
+  ['script_5fprinter_2eh_3957',['script_printer.h',['../script__printer_8h.html',1,'']]],
+  ['scriptdtypeprintlocation_3958',['ScriptDtypePrintLocation',['../namespacetvm_1_1tir.html#ae52a7f5a7dfc8ed07258b2f526df603e',1,'tvm::tir']]],
+  ['search_3959',['Search',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#aa118c6b76b8b70ef2ee614553532a49c',1,'tvm::auto_scheduler::SearchPolicyNode']]],
+  ['search_5fpolicy_2eh_3960',['search_policy.h',['../search__policy_8h.html',1,'']]],
+  ['search_5fstrategy_3961',['search_strategy',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#ac0030a1f3321be5cbc75226be5690b4b',1,'tvm::meta_schedule::TuneContextNode']]],
+  ['search_5fstrategy_2eh_3962',['search_strategy.h',['../search__strategy_8h.html',1,'']]],
+  ['search_5ftask_3963',['search_task',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#a47000a055992988ae674b5219ae5f607',1,'tvm::auto_scheduler::SearchPolicyNode']]],
+  ['search_5ftask_2eh_3964',['search_task.h',['../search__task_8h.html',1,'']]],
+  ['searchcallback_3965',['SearchCallback',['../classtvm_1_1auto__scheduler_1_1SearchCallback.html',1,'tvm::auto_scheduler']]],
+  ['searchcallbacknode_3966',['SearchCallbackNode',['../classtvm_1_1auto__scheduler_1_1SearchCallbackNode.html',1,'tvm::auto_scheduler']]],
+  ['searchpolicy_3967',['SearchPolicy',['../classtvm_1_1auto__scheduler_1_1SearchPolicy.html',1,'tvm::auto_scheduler']]],
+  ['searchpolicykey_3968',['SearchPolicyKey',['../structtvm_1_1auto__scheduler_1_1SearchPolicyKey.html',1,'tvm::auto_scheduler']]],
+  ['searchpolicynode_3969',['SearchPolicyNode',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html',1,'tvm::auto_scheduler']]],
+  ['searchsortedattrs_3970',['SearchSortedAttrs',['../structtvm_1_1relay_1_1SearchSortedAttrs.html',1,'tvm::relay']]],
+  ['searchstrategy_3971',['SearchStrategy',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html',1,'tvm::meta_schedule']]],
+  ['searchstrategynode_3972',['SearchStrategyNode',['../classtvm_1_1meta__schedule_1_1SearchStrategyNode.html',1,'tvm::meta_schedule']]],
+  ['searchtask_3973',['SearchTask',['../classtvm_1_1auto__scheduler_1_1SearchTask.html#ad222f58917a347f10ee27fd6afdab144',1,'tvm::auto_scheduler::SearchTask::SearchTask()'],['../classtvm_1_1auto__scheduler_1_1SearchTask.html',1,'tvm::auto_scheduler::SearchTask']]],
+  ['searchtasknode_3974',['SearchTaskNode',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html',1,'tvm::auto_scheduler']]],
+  ['seed_3975',['Seed',['../classtvm_1_1tir_1_1ScheduleNode.html#a3cb60d6112fe5a443ef39bc005c9fbf1',1,'tvm::tir::ScheduleNode::Seed()'],['../classtvm_1_1support_1_1LinearCongruentialEngine.html#a4cfd606560acb061fdf2681f7272c9df',1,'tvm::support::LinearCongruentialEngine::Seed()']]],
+  ['select_3976',['Select',['../classtvm_1_1tir_1_1Select.html#acd72de75a457efce463983c4ab01b07d',1,'tvm::tir::Select::Select()'],['../classtvm_1_1tir_1_1Select.html',1,'tvm::tir::Select']]],
+  ['select_5flast_5findex_3977',['select_last_index',['../structtvm_1_1relay_1_1ArgReduceAttrs.html#a8c2cc26237f538ad5fa20b0dc7bef0ed',1,'tvm::relay::ArgReduceAttrs']]],
+  ['selectnode_3978',['SelectNode',['../classtvm_1_1tir_1_1SelectNode.html',1,'tvm::tir']]],
+  ['selectplacementpool_3979',['SelectPlacementPool',['../classtvm_1_1tir_1_1usmp_1_1algo_1_1GreedyBase.html#a1b5b649e57b00a10336e4c192b00c294',1,'tvm::tir::usmp::algo::GreedyBase']]],
+  ['selectsequalreduce_3980',['SelectSEqualReduce',['../structtvm_1_1detail_1_1SelectSEqualReduce.html',1,'tvm::detail']]],
+  ['selectsequalreduce_3c_20t_2c_20traitname_2c_20false_20_3e_3981',['SelectSEqualReduce&lt; T, TraitName, false &gt;',['../structtvm_1_1detail_1_1SelectSEqualReduce_3_01T_00_01TraitName_00_01false_01_4.html',1,'tvm::detail']]],
+  ['selectshashreduce_3982',['SelectSHashReduce',['../structtvm_1_1detail_1_1SelectSHashReduce.html',1,'tvm::detail']]],
+  ['selectshashreduce_3c_20t_2c_20traitname_2c_20false_20_3e_3983',['SelectSHashReduce&lt; T, TraitName, false &gt;',['../structtvm_1_1detail_1_1SelectSHashReduce_3_01T_00_01TraitName_00_01false_01_4.html',1,'tvm::detail']]],
+  ['selectvisitattrs_3984',['SelectVisitAttrs',['../structtvm_1_1detail_1_1SelectVisitAttrs.html',1,'tvm::detail']]],
+  ['selectvisitattrs_3c_20t_2c_20traitname_2c_20false_20_3e_3985',['SelectVisitAttrs&lt; T, TraitName, false &gt;',['../structtvm_1_1detail_1_1SelectVisitAttrs_3_01T_00_01TraitName_00_01false_01_4.html',1,'tvm::detail']]],
+  ['self_3986',['Self',['../classtvm_1_1runtime_1_1InplaceArrayBase.html#ae447f7c7a742fb3f5613a632706509df',1,'tvm::runtime::InplaceArrayBase']]],
+  ['self_3987',['self',['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#a5bac4439279428fb3c0d44aa6b1cc798',1,'tvm::runtime::MapNode::iterator']]],
+  ['sendbodychunk_3988',['SendBodyChunk',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a37b77101825145283cced6cd05eb502c',1,'tvm::runtime::micro_rpc::Session']]],
+  ['sendmessage_3989',['SendMessage',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a6e540521a7e9188564da712c0641619c',1,'tvm::runtime::micro_rpc::Session']]],
+  ['seq_3990',['seq',['../classtvm_1_1tir_1_1SeqStmtNode.html#a0e548955529d35c56e646fcaac38f865',1,'tvm::tir::SeqStmtNode']]],
+  ['seq_5faxis_3991',['seq_axis',['../structtvm_1_1relay_1_1ReverseSequenceAttrs.html#ab107432fff56dfd9ef4a0600ee616ade',1,'tvm::relay::ReverseSequenceAttrs']]],
+  ['seq_5findex_3992',['seq_index',['../classtvm_1_1tir_1_1StmtSRefNode.html#a1ce9920064b0c2ed42be0e9412fd3939',1,'tvm::tir::StmtSRefNode']]],
+  ['seqstmt_3993',['SeqStmt',['../classtvm_1_1tir_1_1SeqStmt.html#afe971b51fb6990008a118d4aa16c0920',1,'tvm::tir::SeqStmt::SeqStmt()'],['../classtvm_1_1tir_1_1SeqStmt.html',1,'tvm::tir::SeqStmt']]],
+  ['seqstmtnode_3994',['SeqStmtNode',['../classtvm_1_1tir_1_1SeqStmtNode.html',1,'tvm::tir']]],
+  ['sequalhandlerdefault_3995',['SEqualHandlerDefault',['../classtvm_1_1SEqualHandlerDefault.html#a843bd92c063e719d7459228594fc9237',1,'tvm::SEqualHandlerDefault::SEqualHandlerDefault()'],['../classtvm_1_1SEqualHandlerDefault.html',1,'tvm::SEqualHandlerDefault']]],
+  ['sequalreduce_3996',['SEqualReduce',['../structtvm_1_1WorkspaceMemoryPoolsNode.html#a83c9882d87f8afdf241e727886651fe8',1,'tvm::WorkspaceMemoryPoolsNode::SEqualReduce()'],['../classtvm_1_1RangeNode.html#a53988be7b3181aa3b55eb991b615c48d',1,'tvm::RangeNode::SEqualReduce()'],['../classtvm_1_1PointerTypeNode.html#a6ebf93cad9d56332ee2d90ad21aee08c',1,'tvm::PointerTypeNode::SEqualReduce()'],['../structtvm_1_1ConstantMemoryPoolsNode.html#a298cff0d8167978183f155d4b28eaac7',1,'tvm::ConstantMem [...]
+  ['sequalreducer_3997',['SEqualReducer',['../classtvm_1_1SEqualReducer.html#abb85d3142af880fb96ae79423e5c382e',1,'tvm::SEqualReducer::SEqualReducer()=default'],['../classtvm_1_1SEqualReducer.html#a97eb296b45fee73c0ff6064c8eebdd7c',1,'tvm::SEqualReducer::SEqualReducer(Handler *handler, const PathTracingData *tracing_data, bool map_free_vars)'],['../classtvm_1_1SEqualReducer.html',1,'tvm::SEqualReducer']]],
+  ['sequence_5fmask_3998',['sequence_mask',['../namespacetvm_1_1topi.html#a037a112cc5c556107797e36e7feb0873',1,'tvm::topi']]],
+  ['sequencemaskattrs_3999',['SequenceMaskAttrs',['../structtvm_1_1relay_1_1SequenceMaskAttrs.html',1,'tvm::relay']]],
+  ['sequential_4000',['Sequential',['../namespacetvm_1_1relay_1_1transform.html#a3ae923037d22b4640b450c06e6c1e33e',1,'tvm::relay::transform::Sequential()'],['../classtvm_1_1transform_1_1Sequential.html#ad8a15a63b3d4a2b10518c7a61a761416',1,'tvm::transform::Sequential::Sequential(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1transform_1_1Sequential.html#abfea4507335788cb4d2cf0cfe605d3d6',1,'tvm::transform::Sequential::Sequential()=default'],['../classtvm_1_1transform_1_1Sequential.html#a [...]
+  ['sequentialnode_4001',['SequentialNode',['../classtvm_1_1transform_1_1SequentialNode.html',1,'tvm::transform']]],
+  ['sequentialspan_4002',['SequentialSpan',['../classtvm_1_1SequentialSpan.html#a271a8abb10ee43d964fc783955b337e0',1,'tvm::SequentialSpan::SequentialSpan(Array&lt; Span &gt; spans)'],['../classtvm_1_1SequentialSpan.html#aa5adb345b82e0be21d8fbc2a13573846',1,'tvm::SequentialSpan::SequentialSpan(std::initializer_list&lt; Span &gt; init)'],['../classtvm_1_1SequentialSpan.html',1,'tvm::SequentialSpan']]],
+  ['sequentialspannode_4003',['SequentialSpanNode',['../classtvm_1_1SequentialSpanNode.html',1,'tvm']]],
+  ['serial_4004',['Serial',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a4bc5b417d21541e3b45a9eaa5c438a96',1,'tvm::script::ir_builder::tir']]],
+  ['serialization_2eh_4005',['serialization.h',['../serialization_8h.html',1,'']]],
+  ['serializemoduletobytes_4006',['SerializeModuleToBytes',['../namespacetvm_1_1codegen.html#a45234a2d35685aa86c803ae4426e0315',1,'tvm::codegen']]],
+  ['serializer_2eh_4007',['serializer.h',['../serializer_8h.html',1,'']]],
+  ['session_4008',['Session',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#abaf0fe1fe09c268c7c44719dd0cbfa8c',1,'tvm::runtime::micro_rpc::Session::Session()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html',1,'tvm::runtime::micro_rpc::Session']]],
+  ['session_2eh_4009',['session.h',['../session_8h.html',1,'']]],
+  ['session_5fid_4010',['session_id',['../structtvm_1_1runtime_1_1micro__rpc_1_1SessionHeader.html#ae3a24ea6c4a1569d540f1d5330bf73d5',1,'tvm::runtime::micro_rpc::SessionHeader']]],
+  ['sessionheader_4011',['SessionHeader',['../namespacetvm_1_1runtime_1_1micro__rpc.html#aa46cb8bcbeecd0a410328ab51aa3b737',1,'tvm::runtime::micro_rpc::SessionHeader()'],['../structtvm_1_1runtime_1_1micro__rpc_1_1SessionHeader.html',1,'tvm::runtime::micro_rpc::SessionHeader']]],
+  ['set_4012',['Set',['../classtvm_1_1runtime_1_1Array.html#ab5db2ee9a8be71931324dac552be24c4',1,'tvm::runtime::Array::Set()'],['../classtvm_1_1runtime_1_1Map.html#ad3a78d88e3a9292d11ce04ff2dfe0702',1,'tvm::runtime::Map::Set()']]],
+  ['set_5fapply_5fto_5fschedule_4013',['set_apply_to_schedule',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#a672657caeb76b9f017a3e4e26638ed8e',1,'tvm::tir::InstructionKindRegEntry']]],
+  ['set_5fas_5fpython_4014',['set_as_python',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#ace9c0ce6dc6a0262db9e58e555baf316',1,'tvm::tir::InstructionKindRegEntry']]],
+  ['set_5fattr_4015',['set_attr',['../classtvm_1_1OpRegEntry.html#adc8816f7e131c9a2fd1935265c8af783',1,'tvm::OpRegEntry::set_attr()'],['../classtvm_1_1TargetKindRegEntry.html#a4fa4f8e5fa280ddf3dc71310afd467a5',1,'tvm::TargetKindRegEntry::set_attr()']]],
+  ['set_5fattrs_5fas_5fjson_4016',['set_attrs_as_json',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#a42abbb999a4b1ae4201da5b89a84ec37',1,'tvm::tir::InstructionKindRegEntry']]],
+  ['set_5fattrs_5ffrom_5fjson_4017',['set_attrs_from_json',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#a2f0749de5261c72cbf8bdac2bd22b31e',1,'tvm::tir::InstructionKindRegEntry']]],
+  ['set_5fattrs_5fpreprocessor_4018',['set_attrs_preprocessor',['../classtvm_1_1TargetKindRegEntry.html#a00b1eb0ab1927210a6a519baecb3085e',1,'tvm::TargetKindRegEntry']]],
+  ['set_5fattrs_5ftype_4019',['set_attrs_type',['../classtvm_1_1OpRegEntry.html#aa86816470fa8482a20558739f2691c23',1,'tvm::OpRegEntry']]],
+  ['set_5fattrs_5ftype_5fkey_4020',['set_attrs_type_key',['../classtvm_1_1OpRegEntry.html#a0c6a92638cb8252abb4ddd55e7c9380f',1,'tvm::OpRegEntry']]],
+  ['set_5faxis_5fseparators_4021',['set_axis_separators',['../classtvm_1_1te_1_1Stage.html#af550fb58b5827bb536a3f5f68354a8e5',1,'tvm::te::Stage']]],
+  ['set_5fbody_4022',['set_body',['../classtvm_1_1runtime_1_1Registry.html#a4070955dda6e6127dcc9d418c79cc9bd',1,'tvm::runtime::Registry::set_body(TCallable f)'],['../classtvm_1_1runtime_1_1Registry.html#aed802ed365a13a9cef9de4e9f601b62a',1,'tvm::runtime::Registry::set_body(PackedFunc f)']]],
+  ['set_5fbody_5fmethod_4023',['set_body_method',['../classtvm_1_1runtime_1_1Registry.html#a106607f2535dc7c838646aabd029aa60',1,'tvm::runtime::Registry::set_body_method(R(TNode::*f)(Args...) const)'],['../classtvm_1_1runtime_1_1Registry.html#ae5fcbc18ff9a33ad700592dc8e46bd90',1,'tvm::runtime::Registry::set_body_method(R(TNode::*f)(Args...))'],['../classtvm_1_1runtime_1_1Registry.html#ae5f7cc19067b609a79e1bb32b7b6f086',1,'tvm::runtime::Registry::set_body_method(R(T::*f)(Args...))'],['../c [...]
+  ['set_5fbody_5ftyped_4024',['set_body_typed',['../classtvm_1_1runtime_1_1Registry.html#a607848df01d8c33d7e2cf40e7dae42b1',1,'tvm::runtime::Registry']]],
+  ['set_5fconfig_4025',['set_config',['../classtvm_1_1TargetTagRegEntry.html#a3c1b66885a103360f56a17ef1e4dde2e',1,'tvm::TargetTagRegEntry']]],
+  ['set_5fcreator_4026',['set_creator',['../classtvm_1_1ReflectionVTable_1_1Registry.html#a33948eae2c61e1c80c637f08b516594a',1,'tvm::ReflectionVTable::Registry']]],
+  ['set_5fdefault_4027',['set_default',['../structtvm_1_1detail_1_1AttrNopEntry.html#a370e92bafbada9ba805a52e72881f98b',1,'tvm::detail::AttrNopEntry::set_default()'],['../structtvm_1_1detail_1_1AttrInitEntry.html#ae6f6e6264a5b6373b2daada1f55a1dca',1,'tvm::detail::AttrInitEntry::set_default()'],['../classtvm_1_1detail_1_1AttrDocEntry.html#a2a0d680fbaaef688f3ffb9e5d897e417',1,'tvm::detail::AttrDocEntry::set_default()'],['../structtvm_1_1detail_1_1AttrTriggerNonDefaultEntry.html#ae88a65b8d9 [...]
+  ['set_5fdefault_5fdevice_5ftype_4028',['set_default_device_type',['../classtvm_1_1TargetKindRegEntry.html#aa34789ae275e36dcd6696aa3881bbc92',1,'tvm::TargetKindRegEntry']]],
+  ['set_5fdefault_5fkeys_4029',['set_default_keys',['../classtvm_1_1TargetKindRegEntry.html#a2995c32e12246e892f7f4cb621a2819c',1,'tvm::TargetKindRegEntry']]],
+  ['set_5fdispatch_4030',['set_dispatch',['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#ae278c90765380fdcb96043f403006420',1,'tvm::script::printer::IRDocsifierFunctor::set_dispatch(String token, TCallable f)'],['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#ac8f03bc06fa0f52d9f0d22fcc94d9f9c',1,'tvm::script::printer::IRDocsifierFunctor::set_dispatch(String token, uint32_t type_index, runtime::PackedFunc f)'],['../classtvm_1_1NodeFunctor_3_01R_07const_01ObjectR [...]
+  ['set_5ffallback_4031',['set_fallback',['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#a89cc270c31e8d39d38fabc8b92b35cc8',1,'tvm::script::printer::IRDocsifierFunctor::set_fallback(runtime::PackedFunc f)'],['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html#a27680aed8d4a7014870951bc3c53d7b4',1,'tvm::script::printer::IRDocsifierFunctor::set_fallback(TCallable f)']]],
+  ['set_5fis_5fpure_4032',['set_is_pure',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#ade332453b008e4fce49a3e3ebb4721c5',1,'tvm::tir::InstructionKindRegEntry']]],
+  ['set_5flower_5fbound_4033',['set_lower_bound',['../structtvm_1_1detail_1_1AttrNopEntry.html#a36da34fc54009d63283d07e9d41657f7',1,'tvm::detail::AttrNopEntry::set_lower_bound()'],['../structtvm_1_1detail_1_1AttrInitEntry.html#a5608a2a457a397bf11f2be2776ec0653',1,'tvm::detail::AttrInitEntry::set_lower_bound()'],['../classtvm_1_1detail_1_1AttrDocEntry.html#a201e9d6c937d2f444d91fcc8185f8309',1,'tvm::detail::AttrDocEntry::set_lower_bound()'],['../structtvm_1_1detail_1_1AttrTriggerNonDefault [...]
+  ['set_5fname_4034',['set_name',['../classtvm_1_1OpRegEntry.html#a655190541a44ee287902ae1fead3ff94',1,'tvm::OpRegEntry::set_name()'],['../classtvm_1_1TargetTagRegEntry.html#a2bf53ad591587d2b3f710d01a0b6d5bb',1,'tvm::TargetTagRegEntry::set_name()'],['../classtvm_1_1TargetKindRegEntry.html#a36f21402bccb03300478d6c85bd05512',1,'tvm::TargetKindRegEntry::set_name()'],['../classtvm_1_1tir_1_1InstructionKindRegEntry.html#ac2c87342f8a017e55474e0094561f79c',1,'tvm::tir::InstructionKindRegEntry:: [...]
+  ['set_5fnum_5finputs_4035',['set_num_inputs',['../classtvm_1_1OpRegEntry.html#af3bbb881b17dcc8c19ddb8ec9b40e462',1,'tvm::OpRegEntry']]],
+  ['set_5foutputs_5fenabled_5f_4036',['set_outputs_enabled_',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a6a3eb3eb9362ee6567e9ee6a6bc7ccf9',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['set_5frepr_5fbytes_4037',['set_repr_bytes',['../classtvm_1_1ReflectionVTable_1_1Registry.html#a27f62397ab10a8468c7de8350a6d1cee',1,'tvm::ReflectionVTable::Registry']]],
+  ['set_5fscope_4038',['set_scope',['../classtvm_1_1te_1_1Stage.html#a0dc42f190125f0cf63e8d749ae66af7c',1,'tvm::te::Stage']]],
+  ['set_5fstore_5fpredicate_4039',['set_store_predicate',['../classtvm_1_1te_1_1Stage.html#a0056636228aed23f71eecc0810731436',1,'tvm::te::Stage']]],
+  ['set_5fsupport_5flevel_4040',['set_support_level',['../classtvm_1_1OpRegEntry.html#ab4f7e0f99c8acf2153e15f7cbb6c3c97',1,'tvm::OpRegEntry']]],
+  ['set_5ftarget_5fparser_4041',['set_target_parser',['../classtvm_1_1TargetKindRegEntry.html#a21152c83f61180dcb6293226a98025a8',1,'tvm::TargetKindRegEntry']]],
+  ['set_5fupper_5fbound_4042',['set_upper_bound',['../structtvm_1_1detail_1_1AttrNopEntry.html#add2843b725ee43be26672a8d2d641cce',1,'tvm::detail::AttrNopEntry::set_upper_bound()'],['../structtvm_1_1detail_1_1AttrInitEntry.html#a69e876dfc10eed9573c3043ea5ef2013',1,'tvm::detail::AttrInitEntry::set_upper_bound()'],['../classtvm_1_1detail_1_1AttrDocEntry.html#aec039b071d826ab164c5abe123aefaa3',1,'tvm::detail::AttrDocEntry::set_upper_bound()'],['../structtvm_1_1detail_1_1AttrTriggerNonDefault [...]
+  ['setargs_4043',['SetArgs',['../structTVMPackedFunc.html#a455396ff85d8e8cff95e39ae15ad5cc6',1,'TVMPackedFunc']]],
+  ['setaxisseparator_4044',['SetAxisSeparator',['../classtvm_1_1tir_1_1ScheduleNode.html#a025b5eef0c2516fc1f72eed9ced88807',1,'tvm::tir::ScheduleNode']]],
+  ['setcommonprefix_4045',['SetCommonPrefix',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#adb1e2b064e6c76df9fa2cc8656a73311',1,'tvm::script::printer::IRDocsifierNode']]],
+  ['setcomputeatiter_4046',['SetComputeAtIter',['../classtvm_1_1auto__scheduler_1_1AttachMap.html#af29900c08d7d6a108bb79eaf8325e8a1',1,'tvm::auto_scheduler::AttachMap']]],
+  ['setconflicts_4047',['SetConflicts',['../structtvm_1_1tir_1_1usmp_1_1BufferInfoNode.html#a71406cb97aa80ff7e870bd4afaf24c84',1,'tvm::tir::usmp::BufferInfoNode']]],
+  ['setdeleter_4048',['SetDeleter',['../classtvm_1_1runtime_1_1NDArray_1_1Container.html#a56109cfc826b26172f084c3790144351',1,'tvm::runtime::NDArray::Container']]],
+  ['setdevice_4049',['SetDevice',['../classtvm_1_1runtime_1_1DeviceAPI.html#a58c818adae878c1c5dca759ee59ad3e3',1,'tvm::runtime::DeviceAPI']]],
+  ['setenabledextensions_4050',['SetEnabledExtensions',['../classtvm_1_1arith_1_1RewriteSimplifier.html#a44b7c12d6a5d9adfc4ee4cee8d935e38',1,'tvm::arith::RewriteSimplifier']]],
+  ['setinput_4051',['SetInput',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a0594113c83505e3368a7f99394a14595',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['setintvalue_4052',['SetIntValue',['../namespacetvm_1_1detail.html#af7e68b984c27ffe1726578bc9cc59322',1,'tvm::detail']]],
+  ['setitem_4053',['SetItem',['../classtvm_1_1runtime_1_1ArrayNode.html#a22cafc90ebeb8d6a50ce3bc4e183a403',1,'tvm::runtime::ArrayNode']]],
+  ['setlib_4054',['SetLib',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a04183f3e1f3de5dc36bc587e27f00aa0',1,'tvm::runtime::vm::Executable']]],
+  ['setmaxconcurrency_4055',['SetMaxConcurrency',['../namespacetvm_1_1runtime_1_1threading.html#ad66f7fc304b915bebdcfc5c7004016c7',1,'tvm::runtime::threading']]],
+  ['setmaximumrewritesteps_4056',['SetMaximumRewriteSteps',['../classtvm_1_1arith_1_1RewriteSimplifier.html#a95dd28018de6b7aa1a5a7ee76f3ba178',1,'tvm::arith::RewriteSimplifier']]],
+  ['setobject_4057',['SetObject',['../classtvm_1_1runtime_1_1TVMArgsSetter.html#adfc052b0786ef1296d29e0b87f71a03e',1,'tvm::runtime::TVMArgsSetter']]],
+  ['setoneinput_4058',['SetOneInput',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#ab66c1484cfb630914dd41da36ab91db1',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['setoutputs_4059',['SetOutputs',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a2ee3a67f145ed44cabfb4d456c048445',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['setoutputtensorstoregister_4060',['SetOutputTensorsToRegister',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a95a0552177a93f4839ab431756efeaf3',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['setscope_4061',['SetScope',['../classtvm_1_1tir_1_1ScheduleNode.html#aa4760135d373af488a08aaeba7114c48',1,'tvm::tir::ScheduleNode']]],
+  ['setseqindex_4062',['SetSeqIndex',['../namespacetvm_1_1tir.html#a5f6070cccf4bb454e7a87bbb6d066c55',1,'tvm::tir']]],
+  ['setseqindexinchildren_4063',['SetSeqIndexInChildren',['../namespacetvm_1_1tir.html#a4dd0feb99db08fa0ae2ddb4f47f64dca',1,'tvm::tir']]],
+  ['setspan_4064',['SetSpan',['../classtvm_1_1TypeReporterNode.html#ade00cc26624883a064e9ed2097133cea',1,'tvm::TypeReporterNode']]],
+  ['setstream_4065',['SetStream',['../classtvm_1_1runtime_1_1DeviceAPI.html#adeff47304ec4145d12e0dd20004c7a3d',1,'tvm::runtime::DeviceAPI']]],
+  ['setvalue_4066',['SetValue',['../namespacetvm_1_1detail.html#ae91709b0cb7daf1bca69432bee0a3568',1,'tvm::detail']]],
+  ['setvalue_3c_20bool_20_3e_4067',['SetValue&lt; bool &gt;',['../namespacetvm_1_1detail.html#addd17cedbd26f5b4b257d7651ca5b6fe',1,'tvm::detail']]],
+  ['setvalue_3c_20datatype_20_3e_4068',['SetValue&lt; DataType &gt;',['../namespacetvm_1_1detail.html#a30d53b5033faeae26ad5ec6443376723',1,'tvm::detail']]],
+  ['setvalue_3c_20double_20_3e_4069',['SetValue&lt; double &gt;',['../namespacetvm_1_1detail.html#a2565d82719660de2ab39178c917d6628',1,'tvm::detail']]],
+  ['setvalue_3c_20int_20_3e_4070',['SetValue&lt; int &gt;',['../namespacetvm_1_1detail.html#a107ebbb0ef4a94f47cd25cb2213dcd96',1,'tvm::detail']]],
+  ['setvalue_3c_20int64_5ft_20_3e_4071',['SetValue&lt; int64_t &gt;',['../namespacetvm_1_1detail.html#ad20586749a52e831a52c20984a926d67',1,'tvm::detail']]],
+  ['setvalue_3c_20uint64_5ft_20_3e_4072',['SetValue&lt; uint64_t &gt;',['../namespacetvm_1_1detail.html#acb3382242cbf538f64edae13e4ec5a84',1,'tvm::detail']]],
+  ['shallowcopy_4073',['ShallowCopy',['../classtvm_1_1IRModuleNode.html#a86bbdc4b857ce5958a2b5f29e1d6fcb6',1,'tvm::IRModuleNode']]],
+  ['shallowcopyirmodule_4074',['ShallowCopyIRModule',['../classtvm_1_1IRModule.html#aea8b821cf92cf525bd87bf15f5d31889',1,'tvm::IRModule']]],
+  ['shape_4075',['Shape',['../classtvm_1_1runtime_1_1NDArray.html#ad273c7bc59b73fb026fd64fc764cbebc',1,'tvm::runtime::NDArray::Shape()'],['../namespacetvm_1_1relay.html#a4d64348ae2b75977e167109fb4af4409',1,'tvm::relay::Shape()']]],
+  ['shape_4076',['shape',['../namespacetvm_1_1topi.html#af30c02f3a3f37c7963b3af60fb9c72a1',1,'tvm::topi::shape()'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfoNode.html#a5ddcd966b82c4df89084dbdf92d3108e',1,'tvm::runtime::metadata::TensorInfoNode::shape()'],['../classtvm_1_1tir_1_1BufferNode.html#a5372baafb343f5fb263f5507b079412e',1,'tvm::tir::BufferNode::shape()'],['../classtvm_1_1te_1_1TensorNode.html#a0ba732bc2def0d467854585752911351',1,'tvm::te::TensorNode::shape()'],['../classt [...]
+  ['shape_5f_4077',['shape_',['../classtvm_1_1runtime_1_1NDArray_1_1ContainerBase.html#aa5597a1760c9f8c9d1fd51584b1283fb',1,'tvm::runtime::NDArray::ContainerBase']]],
+  ['shape_5fbackward_5frule_4078',['shape_backward_rule',['../classtvm_1_1tir_1_1BijectiveLayoutNode.html#a0befdd0a2371c0d12970e8ac6623b59b',1,'tvm::tir::BijectiveLayoutNode']]],
+  ['shape_5fcount_4079',['shape_count',['../structTVMGraphExecutorGraphAttr.html#a182b228582f1186f2a15de50a25b3375',1,'TVMGraphExecutorGraphAttr']]],
+  ['shape_5fforward_5frule_4080',['shape_forward_rule',['../classtvm_1_1tir_1_1BijectiveLayoutNode.html#aa566842624cdd7eaebcb019b0d0d4a7c',1,'tvm::tir::BijectiveLayoutNode']]],
+  ['shape_5fof_4081',['shape_of',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a4f852208b807032439294e197377121e',1,'tvm::runtime::vm::Instruction']]],
+  ['shape_5fregister_4082',['shape_register',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a0a650382fcb2cacf9d6de7007aecf3c5',1,'tvm::runtime::vm::Instruction']]],
+  ['shape_5ftuple_2eh_4083',['shape_tuple.h',['../shape__tuple_8h.html',1,'']]],
+  ['shapediv_4084',['shapediv',['../namespacetvm.html#a15f25703cfce73c75cb4cd33c74ea8f0',1,'tvm']]],
+  ['shapefuncattrs_4085',['ShapeFuncAttrs',['../structtvm_1_1relay_1_1ShapeFuncAttrs.html',1,'tvm::relay']]],
+  ['shapeindex_4086',['ShapeIndex',['../classtvm_1_1runtime_1_1DataType.html#a04f0e069017af3f0da47bc0c1fd80916',1,'tvm::runtime::DataType']]],
+  ['shapeof_4087',['ShapeOf',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a5f278c637580946bc06b020f5852e44a',1,'tvm::runtime::vm::Instruction::ShapeOf()'],['../namespacetvm_1_1runtime_1_1vm.html#a8d8d95ce8d629c7213f2f595917870ecaf5c9bcc39a24e338f1837a43c549a855',1,'tvm::runtime::vm::ShapeOf()']]],
+  ['shapeofattrs_4088',['ShapeOfAttrs',['../structtvm_1_1relay_1_1ShapeOfAttrs.html',1,'tvm::relay']]],
+  ['shapepattern_4089',['ShapePattern',['../classtvm_1_1relay_1_1ShapePattern.html#a853201a9d5df8de96713a58c292e8698',1,'tvm::relay::ShapePattern::ShapePattern()'],['../classtvm_1_1relay_1_1ShapePattern.html',1,'tvm::relay::ShapePattern']]],
+  ['shapepatternnode_4090',['ShapePatternNode',['../classtvm_1_1relay_1_1ShapePatternNode.html',1,'tvm::relay']]],
+  ['shapestring_4091',['ShapeString',['../namespacetvm_1_1runtime_1_1profiling.html#ad7ca3a291b9d8d5ec27e5367cd2d0edf',1,'tvm::runtime::profiling::ShapeString(NDArray shape, DLDataType dtype)'],['../namespacetvm_1_1runtime_1_1profiling.html#a0db7b8aaaf50308b175b79c8fee98f13',1,'tvm::runtime::profiling::ShapeString(const std::vector&lt; NDArray &gt; &amp;shapes)'],['../namespacetvm_1_1runtime_1_1profiling.html#ad031e70431cc716c8ff9c36aaad18197',1,'tvm::runtime::profiling::ShapeString(cons [...]
+  ['shapetuple_4092',['ShapeTuple',['../classtvm_1_1runtime_1_1ShapeTuple.html#a6836ad757cbcac207ddf025a74ac2ef2',1,'tvm::runtime::ShapeTuple::ShapeTuple(IterType begin, IterType end)'],['../classtvm_1_1runtime_1_1ShapeTuple.html#aa54548a384457ae73d758886881b6136',1,'tvm::runtime::ShapeTuple::ShapeTuple()'],['../classtvm_1_1runtime_1_1ShapeTupleObj_1_1FromStd.html#a1233caa001a4dd2bebeef49633724641',1,'tvm::runtime::ShapeTupleObj::FromStd::ShapeTuple()'],['../classtvm_1_1runtime_1_1ShapeT [...]
+  ['shapetupleobj_4093',['ShapeTupleObj',['../classtvm_1_1runtime_1_1ShapeTupleObj.html',1,'tvm::runtime']]],
+  ['shash_4094',['shash',['../classtvm_1_1meta__schedule_1_1WorkloadNode.html#a96812f1418c68d5aeb11331fd76aab1c',1,'tvm::meta_schedule::WorkloadNode']]],
+  ['shashhandlerdefault_4095',['SHashHandlerDefault',['../classtvm_1_1SHashHandlerDefault.html#a99557165284bc9ccdae707acf4bbad9c',1,'tvm::SHashHandlerDefault::SHashHandlerDefault()'],['../classtvm_1_1SHashHandlerDefault.html',1,'tvm::SHashHandlerDefault']]],
+  ['shashreduce_4096',['SHashReduce',['../classtvm_1_1relay_1_1PatternVarNode.html#a0247a0e67c79f873f8c5e38768867e27',1,'tvm::relay::PatternVarNode::SHashReduce()'],['../classtvm_1_1relay_1_1VarNode.html#a85f049a3d23ebc9d32016d8e59643444',1,'tvm::relay::VarNode::SHashReduce()'],['../classtvm_1_1relay_1_1TupleNode.html#a230f0b6982c424992a1aaf4bb130ef4b',1,'tvm::relay::TupleNode::SHashReduce()'],['../classtvm_1_1relay_1_1ConstantNode.html#a2d79295886e49572205c576a33d6ef25',1,'tvm::relay::C [...]
+  ['shashreducefreevar_4097',['SHashReduceFreeVar',['../classtvm_1_1SHashHandlerDefault.html#ae78eec7dceb80b34105b11804d833e07',1,'tvm::SHashHandlerDefault::SHashReduceFreeVar()'],['../classtvm_1_1SHashReducer_1_1Handler.html#ab2fe484814770615ef9ddb1f6a6f2dfb',1,'tvm::SHashReducer::Handler::SHashReduceFreeVar()']]],
+  ['shashreducehashedvalue_4098',['SHashReduceHashedValue',['../classtvm_1_1SHashHandlerDefault.html#ab0a21453a43353dccf16512730439a98',1,'tvm::SHashHandlerDefault::SHashReduceHashedValue()'],['../classtvm_1_1SHashReducer_1_1Handler.html#a7b84ae4df5ab177758105f382395aeb2',1,'tvm::SHashReducer::Handler::SHashReduceHashedValue()']]],
+  ['shashreducer_4099',['SHashReducer',['../classtvm_1_1SHashReducer.html#acb4d90cb74f617620d0027649adf8f17',1,'tvm::SHashReducer::SHashReducer(Handler *handler, bool map_free_vars)'],['../classtvm_1_1SHashReducer.html#af7eb7ccc3e5863fe580f1b8dceac5d88',1,'tvm::SHashReducer::SHashReducer()=default'],['../classtvm_1_1SHashReducer.html',1,'tvm::SHashReducer']]],
+  ['shift_4100',['shift',['../structtvm_1_1relay_1_1FixedPointMultiplyAttrs.html#ac5095656867b0bad8cd53d0f957a1d9d',1,'tvm::relay::FixedPointMultiplyAttrs']]],
+  ['shift_5fleft_4101',['shift_left',['../namespacetvm_1_1tir_1_1builtin.html#a26472adf05d821f1929cfbc02bc3c231',1,'tvm::tir::builtin']]],
+  ['shift_5fright_4102',['shift_right',['../namespacetvm_1_1tir_1_1builtin.html#af103ae0715d4ebcbaccd49d2b6a12afe',1,'tvm::tir::builtin']]],
+  ['shouldlinkparameters_4103',['ShouldLinkParameters',['../classtvm_1_1relay_1_1ExecutorNode.html#a8e3cabcfef4e40924bd4182c613a71f9',1,'tvm::relay::ExecutorNode']]],
+  ['shouldrun_4104',['ShouldRun',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#a2b21cac2b6f389c98b359a9a0d640de5',1,'tvm::instrument::PassInstrumentNode']]],
+  ['show_5fmeta_4105',['show_meta',['../classtvm_1_1PrinterConfigNode.html#aa11acd5d0e720eda7619ae1c7baa8c2c',1,'tvm::PrinterConfigNode']]],
+  ['show_5fobject_5faddress_4106',['show_object_address',['../classtvm_1_1PrinterConfigNode.html#a895ea453f71467ba1ff0f0febbec410f',1,'tvm::PrinterConfigNode']]],
+  ['shuffle_4107',['Shuffle',['../classtvm_1_1tir_1_1Shuffle.html#a2d37f2f8afe5357f1a6ffc4c2b147ac4',1,'tvm::tir::Shuffle::Shuffle()'],['../classtvm_1_1tir_1_1Shuffle.html',1,'tvm::tir::Shuffle']]],
+  ['shufflenode_4108',['ShuffleNode',['../classtvm_1_1tir_1_1ShuffleNode.html',1,'tvm::tir']]],
+  ['si_5fbuilder_2eh_4109',['si_builder.h',['../si__builder_8h.html',1,'']]],
+  ['sibuilder_4110',['SIBuilder',['../classtvm_1_1SIBuilder.html#a3c16b413d12243d3355a347e03fd778a',1,'tvm::SIBuilder::SIBuilder(const Span &amp;span=Span())'],['../classtvm_1_1SIBuilder.html#a3f282d145f481e9a74648ae8625bceb3',1,'tvm::SIBuilder::SIBuilder(const Array&lt; Span &gt; &amp;spans=Array&lt; Span &gt;())'],['../classtvm_1_1SIBuilder.html#acaa034873f5dfea8fc9c0f7881f91f59',1,'tvm::SIBuilder::SIBuilder(const std::initializer_list&lt; Span &gt; &amp;init)'],['../classtvm_1_1SIBuil [...]
+  ['sideeffect_4111',['SideEffect',['../namespacetvm_1_1tir.html#aeb03afda344eb4d3a5d2d3fd4e1d266d',1,'tvm::tir']]],
+  ['sigmoid_4112',['sigmoid',['../namespacetvm_1_1topi.html#aa5367be5a614c34937a676655ca53075',1,'tvm::topi::sigmoid()'],['../namespacetvm.html#aa048961a5d19e9f32071c1372809ecbd',1,'tvm::sigmoid()']]],
+  ['sign_4113',['sign',['../namespacetvm_1_1topi.html#af580cd1bea6e862f41c7fad4c4c7eea3',1,'tvm::topi']]],
+  ['signatureprinter_4114',['SignaturePrinter',['../structtvm_1_1runtime_1_1SignaturePrinter.html',1,'tvm::runtime']]],
+  ['signtype_4115',['SignType',['../namespacetvm_1_1arith.html#aca8806e355ad3dd5f1df9c1eca9aac9d',1,'tvm::arith']]],
+  ['silentmeasure_4116',['SilentMeasure',['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a4beac97e57e780b2f41539403fbcaf38',1,'tvm::auto_scheduler::ProgramMeasurerNode']]],
+  ['simpleobjallocator_4117',['SimpleObjAllocator',['../classtvm_1_1runtime_1_1SimpleObjAllocator.html',1,'tvm::runtime']]],
+  ['simplified_4118',['Simplified',['../classtvm_1_1tir_1_1TraceNode.html#abc2556f52aedab72ecd38a5c0ab0b7da',1,'tvm::tir::TraceNode']]],
+  ['simplify_4119',['Simplify',['../namespacetvm_1_1tir_1_1transform.html#a817801e8c9488f712804d2d0b821acf0',1,'tvm::tir::transform::Simplify()'],['../classtvm_1_1arith_1_1Analyzer.html#a9b440f852f12ad0a4d8ed5ed97054425',1,'tvm::arith::Analyzer::Simplify()']]],
+  ['simplify_5fconst_5ftensor_5findices_4120',['simplify_const_tensor_indices',['../structtvm_1_1auto__scheduler_1_1SearchPolicyKey.html#a51408f2c5791a7bc90a32be3f8b06055',1,'tvm::auto_scheduler::SearchPolicyKey']]],
+  ['simplifyexpr_4121',['SimplifyExpr',['../namespacetvm_1_1relay_1_1transform.html#a42d4de119382b9457742433bc7109ffe',1,'tvm::relay::transform']]],
+  ['simplifyexprpostalterop_4122',['SimplifyExprPostAlterOp',['../namespacetvm_1_1relay_1_1transform.html#a5d53204853b9d77afcda3d83756a11aa',1,'tvm::relay::transform']]],
+  ['simplifyinference_4123',['SimplifyInference',['../namespacetvm_1_1relay_1_1transform.html#add13a2ad7b216ad4683141bbbb4f6943',1,'tvm::relay::transform']]],
+  ['simulatedquantizeattrs_4124',['SimulatedQuantizeAttrs',['../structtvm_1_1relay_1_1qnn_1_1SimulatedQuantizeAttrs.html',1,'tvm::relay::qnn']]],
+  ['sin_4125',['sin',['../namespacetvm_1_1topi.html#ae1d58f6cabd998f16f74cd1b1dc6f5a6',1,'tvm::topi::sin()'],['../namespacetvm.html#a532ceddde4b8c713b0b1d7e737fcf5fb',1,'tvm::sin()']]],
+  ['singlepoint_4126',['SinglePoint',['../classtvm_1_1arith_1_1IntSet.html#a58aeb0d34656b1b43ac2532e4dfa12ed',1,'tvm::arith::IntSet']]],
+  ['singleton_4127',['Singleton',['../classtvm_1_1te_1_1Singleton.html#a94450b853dcd5e9865546d8c8fe351a1',1,'tvm::te::Singleton::Singleton()'],['../classtvm_1_1te_1_1Singleton.html',1,'tvm::te::Singleton']]],
+  ['singletonnode_4128',['SingletonNode',['../classtvm_1_1te_1_1SingletonNode.html',1,'tvm::te']]],
+  ['sinh_4129',['sinh',['../namespacetvm.html#ad828bc801c73df761c58d9f8877d52ee',1,'tvm::sinh()'],['../namespacetvm_1_1topi.html#af9694f5470ba2cabc19866be3b00fe8d',1,'tvm::topi::sinh()']]],
+  ['size_4130',['size',['../classtvm_1_1support_1_1Span.html#aea96121da76a6800ff034e717cfe7bf1',1,'tvm::support::Span::size()'],['../structTVMByteArray.html#a86d8e8341ce407b7d9374d887143e476',1,'TVMByteArray::size()'],['../classtvm_1_1runtime_1_1ADTObj.html#a699d898a036382a0c86fba219bcf8102',1,'tvm::runtime::ADTObj::size()'],['../classtvm_1_1runtime_1_1ShapeTupleObj.html#a56072ebae55fe9291f61ea0e57516cd0',1,'tvm::runtime::ShapeTupleObj::size()'],['../classtvm_1_1runtime_1_1StringObj.html [...]
+  ['size_4131',['Size',['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#ae395a0f1c6e79e825aa7a244c74a5d7b',1,'tvm::runtime::micro_rpc::FrameBuffer::Size()'],['../classtvm_1_1meta__schedule_1_1PyDatabaseNode.html#a36817d04978253571fef7d01427ce9c0',1,'tvm::meta_schedule::PyDatabaseNode::Size()'],['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#aae5b9ab9f7e497654b90c23a2159a5cc',1,'tvm::meta_schedule::DatabaseNode::Size()'],['../classtvm_1_1TensorTypeNode.html#a1f08dac86ae8aea [...]
+  ['size_4132',['size',['../structtvm_1_1relay_1_1Resize3DAttrs.html#aab61649fe8417a8a7fbc849090bac083',1,'tvm::relay::Resize3DAttrs']]],
+  ['size_5f_4133',['size_',['../classtvm_1_1runtime_1_1MapNode.html#a2285f106f6afa29f512a7818ad59e9e5',1,'tvm::runtime::MapNode']]],
+  ['size_5fbytes_4134',['size_bytes',['../structtvm_1_1tir_1_1usmp_1_1BufferInfoNode.html#a0a5d4bd6072c268df05b90d267b4c0a0',1,'tvm::tir::usmp::BufferInfoNode']]],
+  ['size_5fhint_5fbytes_4135',['size_hint_bytes',['../structtvm_1_1PoolInfoNode.html#ac073aeb75bf031ff8687e132bc112f92',1,'tvm::PoolInfoNode::size_hint_bytes()'],['../structtvm_1_1PoolInfoPropertiesNode.html#aed7c5573ffc8db9424e77e3a85cad120',1,'tvm::PoolInfoPropertiesNode::size_hint_bytes()']]],
+  ['sizes_4136',['sizes',['../structtvm_1_1relay_1_1MultiBoxPriorAttrs.html#ad6d089344fa741021584222ffa70a451',1,'tvm::relay::MultiBoxPriorAttrs']]],
+  ['sizevar_4137',['SizeVar',['../classtvm_1_1tir_1_1SizeVar.html#ab089bab85206d8e306cc61e879e525be',1,'tvm::tir::SizeVar::SizeVar(String name_hint, Type type_annotation, Span span=Span())'],['../classtvm_1_1tir_1_1SizeVar.html#a0f8cb8a92feb96343939d223db90f7cd',1,'tvm::tir::SizeVar::SizeVar(String name_hint=&quot;s&quot;, DataType t=DataType::Int(32), Span span=Span())'],['../classtvm_1_1tir_1_1SizeVar.html#ac470249315d9e395ad581d35dd5dcb05',1,'tvm::tir::SizeVar::SizeVar(ObjectPtr&lt; O [...]
+  ['sizevarnode_4138',['SizeVarNode',['../classtvm_1_1tir_1_1SizeVarNode.html',1,'tvm::tir']]],
+  ['skipassert_4139',['SkipAssert',['../namespacetvm_1_1tir_1_1transform.html#a6fdd5910b00af823071dcdddd21cd2d3',1,'tvm::tir::transform']]],
+  ['slice_4140',['Slice',['../classtvm_1_1te_1_1Tensor_1_1Slice.html#ab314819e8bcca6421e9a4f33e48578c3',1,'tvm::te::Tensor::Slice::Slice()'],['../classtvm_1_1te_1_1Tensor_1_1Slice.html',1,'tvm::te::Tensor::Slice']]],
+  ['slice_5fmode_4141',['slice_mode',['../structtvm_1_1relay_1_1StridedSliceAttrs.html#ab3a282ef18dc4fa3a69917bfbcddce55',1,'tvm::relay::StridedSliceAttrs']]],
+  ['slicedoc_4142',['SliceDoc',['../classtvm_1_1script_1_1printer_1_1SliceDoc.html#a155b7f646934b0baf23aabf4475f8c96',1,'tvm::script::printer::SliceDoc::SliceDoc()'],['../classtvm_1_1script_1_1printer_1_1SliceDoc.html',1,'tvm::script::printer::SliceDoc']]],
+  ['slicedocnode_4143',['SliceDocNode',['../classtvm_1_1script_1_1printer_1_1SliceDocNode.html',1,'tvm::script::printer']]],
+  ['slicelikeattrs_4144',['SliceLikeAttrs',['../structtvm_1_1relay_1_1SliceLikeAttrs.html',1,'tvm::relay']]],
+  ['sliding_5fwindow_4145',['sliding_window',['../namespacetvm_1_1topi.html#a3230e1735957c2045c89cf190e0f8c34',1,'tvm::topi']]],
+  ['slidingwindowattrs_4146',['SlidingWindowAttrs',['../structtvm_1_1relay_1_1SlidingWindowAttrs.html',1,'tvm::relay']]],
+  ['slots_5f_4147',['slots_',['../classtvm_1_1runtime_1_1MapNode.html#ab7ea406f099e235de4944fa94c43812e',1,'tvm::runtime::MapNode']]],
+  ['smallmapnode_4148',['SmallMapNode',['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#aa0828044326a83e6aa287a1cf03477ce',1,'tvm::runtime::MapNode::iterator::SmallMapNode()'],['../classtvm_1_1runtime_1_1SmallMapNode.html',1,'tvm::runtime::SmallMapNode']]],
+  ['smithnormalformdiag_4149',['SmithNormalFormDiag',['../namespacetvm_1_1arith.html#a5f821e7f25de9769c5733cfacbe132d6',1,'tvm::arith']]],
+  ['softmax_4150',['softmax',['../namespacetvm_1_1topi_1_1nn.html#aa2cb22c64412c3eacb351c12b883333b',1,'tvm::topi::nn']]],
+  ['softmax_2eh_4151',['softmax.h',['../nn_2softmax_8h.html',1,'(Global Namespace)'],['../cuda_2softmax_8h.html',1,'(Global Namespace)'],['../rocm_2softmax_8h.html',1,'(Global Namespace)']]],
+  ['softmaxattrs_4152',['SoftmaxAttrs',['../structtvm_1_1relay_1_1SoftmaxAttrs.html',1,'tvm::relay']]],
+  ['software_5fpipeline_5fasync_5fstages_4153',['software_pipeline_async_stages',['../namespacetvm_1_1tir_1_1attr.html#a7ff3ec02ce6acef9dd5c20f1aacc19bc',1,'tvm::tir::attr']]],
+  ['software_5fpipeline_5forder_4154',['software_pipeline_order',['../namespacetvm_1_1tir_1_1attr.html#a064b547bf5b0579f9b42906c6a9c581d',1,'tvm::tir::attr']]],
+  ['software_5fpipeline_5fstage_4155',['software_pipeline_stage',['../namespacetvm_1_1tir_1_1attr.html#a2e695603b89f78f4a481817dbaf7a082',1,'tvm::tir::attr']]],
+  ['solveinequalitiesdeskewrange_4156',['SolveInequalitiesDeskewRange',['../namespacetvm_1_1arith.html#ab667739c074bb7bf1e63302904c78176',1,'tvm::arith']]],
+  ['solveinequalitiestorange_4157',['SolveInequalitiesToRange',['../namespacetvm_1_1arith.html#a6277cb1deea497e60474e5bf83dfc636',1,'tvm::arith']]],
+  ['solvelinearequations_4158',['SolveLinearEquations',['../namespacetvm_1_1arith.html#ae0290f04432523ab8e5f76edde80071a',1,'tvm::arith']]],
+  ['solvelinearinequalities_4159',['SolveLinearInequalities',['../namespacetvm_1_1arith.html#ac59d63560e04431f108e81457b212fdc',1,'tvm::arith']]],
+  ['sorted_4160',['sorted',['../structtvm_1_1relay_1_1UniqueAttrs.html#aef434799646533ec9d796393ba01db44',1,'tvm::relay::UniqueAttrs']]],
+  ['source_4161',['source',['../classtvm_1_1tir_1_1MatchBufferRegionNode.html#ae5093b92baee42fdd853f6f5f287ac31',1,'tvm::tir::MatchBufferRegionNode::source()'],['../classtvm_1_1arith_1_1IterMarkNode.html#a8b885a675c88e5a5d142fa68bcba048a',1,'tvm::arith::IterMarkNode::source()'],['../classtvm_1_1arith_1_1IterSplitExprNode.html#a7a129dc9b432359a07c1a1e286c3c66f',1,'tvm::arith::IterSplitExprNode::source()'],['../classtvm_1_1SourceNode.html#a6a31f8a2bf412edf7a529c5931185529',1,'tvm::SourceNo [...]
+  ['source_4162',['Source',['../classtvm_1_1Source.html#a794f5989e4e89cb39b638a5cd837eaa9',1,'tvm::Source::Source()'],['../classtvm_1_1Source.html',1,'tvm::Source']]],
+  ['source_5fmap_4163',['source_map',['../classtvm_1_1SourceMapNode.html#abd44bcd7252397ade5584c0387845342',1,'tvm::SourceMapNode::source_map()'],['../classtvm_1_1IRModuleNode.html#abc7bd8d734f093ecb6abe8969d6dbcba',1,'tvm::IRModuleNode::source_map()']]],
+  ['source_5fmap_2eh_4164',['source_map.h',['../source__map_8h.html',1,'']]],
+  ['source_5fname_4165',['source_name',['../classtvm_1_1SourceNode.html#ad4002d5ddb822b7340fd16c460a1ec6f',1,'tvm::SourceNode::source_name()'],['../classtvm_1_1SpanNode.html#ad573167f93facbfbee19983b08bbba3d',1,'tvm::SpanNode::source_name()'],['../classtvm_1_1DiagnosticBuilder.html#a92d320e1ede24fe5ff47862365002691',1,'tvm::DiagnosticBuilder::source_name()']]],
+  ['source_5fpaths_4166',['source_paths',['../classtvm_1_1script_1_1printer_1_1DocNode.html#a29e21c8f39639d1d30697971267847a8',1,'tvm::script::printer::DocNode']]],
+  ['sourcemap_4167',['SourceMap',['../classtvm_1_1SourceMap.html#a9f10049893326844c3f01daad7c121e9',1,'tvm::SourceMap::SourceMap()'],['../classtvm_1_1SourceMap.html#ad4517cedaea581d34c28cb9903205eeb',1,'tvm::SourceMap::SourceMap(std::initializer_list&lt; std::pair&lt; SourceName, Source &gt;&gt; source_map)'],['../classtvm_1_1SourceMap.html#aba19719f4f2d32431ff1dfb80f5a0dfc',1,'tvm::SourceMap::SourceMap(Map&lt; SourceName, Source &gt; source_map)'],['../classtvm_1_1SourceMap.html',1,'tvm [...]
+  ['sourcemapnode_4168',['SourceMapNode',['../classtvm_1_1SourceMapNode.html',1,'tvm']]],
+  ['sourcename_4169',['SourceName',['../namespacetvm_1_1relay.html#a14eacafcb803b0757e48654bb1bac655',1,'tvm::relay::SourceName()'],['../classtvm_1_1SourceName.html',1,'tvm::SourceName']]],
+  ['sourcenamenode_4170',['SourceNameNode',['../classtvm_1_1SourceNameNode.html',1,'tvm']]],
+  ['sourcenode_4171',['SourceNode',['../classtvm_1_1SourceNode.html',1,'tvm']]],
+  ['space_5fgenerator_4172',['space_generator',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#a7bdfdd48530bfe380c5f6c143158a07f',1,'tvm::meta_schedule::TuneContextNode']]],
+  ['space_5fgenerator_2eh_4173',['space_generator.h',['../space__generator_8h.html',1,'']]],
+  ['space_5fto_5fbatch_5fnd_4174',['space_to_batch_nd',['../namespacetvm_1_1topi.html#a8bca55122fbfcf38079f4d4bc22ce572',1,'tvm::topi']]],
+  ['spacegenerator_4175',['SpaceGenerator',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a4f11a841acdf7a66893eeefc1feb04ae',1,'tvm::meta_schedule::SpaceGenerator::SpaceGenerator()'],['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html',1,'tvm::meta_schedule::SpaceGenerator']]],
+  ['spacegeneratornode_4176',['SpaceGeneratorNode',['../classtvm_1_1meta__schedule_1_1SpaceGeneratorNode.html',1,'tvm::meta_schedule']]],
+  ['spacegeneratorunion_4177',['SpaceGeneratorUnion',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html#a44828204c6ae3b7f390b9a9c3fdb9aa7',1,'tvm::meta_schedule::SpaceGenerator']]],
+  ['spacetobatchndattrs_4178',['SpaceToBatchNDAttrs',['../structtvm_1_1relay_1_1SpaceToBatchNDAttrs.html',1,'tvm::relay']]],
+  ['span_4179',['Span',['../classtvm_1_1Span.html#a5216631b639e8c802263d87d3fe9e5f6',1,'tvm::Span::Span()'],['../namespacetvm_1_1relay.html#af40ca6124bc2e88f2323eeb79d326cc0',1,'tvm::relay::Span()']]],
+  ['span_4180',['span',['../classtvm_1_1tir_1_1IterVarNode.html#aa4d51a49e06cf6941988c317c53c1b8c',1,'tvm::tir::IterVarNode']]],
+  ['span_4181',['Span',['../classtvm_1_1support_1_1Span.html#a3c22dd06856e7029e7107adf38eb72f5',1,'tvm::support::Span::Span(T *begin, T *end)'],['../classtvm_1_1support_1_1Span.html#a77653730a2542edf93b7c4413a72f3ec',1,'tvm::support::Span::Span(T *begin, int num_elements)']]],
+  ['span_4182',['span',['../classtvm_1_1AffineTypeNode.html#aa45c91e3c8ebcff609d10f6a921f3fa2',1,'tvm::AffineTypeNode::span()'],['../classtvm_1_1DiagnosticNode.html#af5469f228f87711ad8bd3f4f78f3bb54',1,'tvm::DiagnosticNode::span()'],['../classtvm_1_1DiagnosticBuilder.html#a52d9cc3cb33e655c5d82af47daa74c66',1,'tvm::DiagnosticBuilder::span()'],['../classtvm_1_1BaseExprNode.html#ae3a0760a9f8b1379bc86f13e8bb3a22e',1,'tvm::BaseExprNode::span()'],['../classtvm_1_1RangeNode.html#aee167e954efc66 [...]
+  ['span_4183',['Span',['../classtvm_1_1Span.html',1,'tvm::Span'],['../classtvm_1_1support_1_1Span.html',1,'tvm::support::Span&lt; T, W &gt;']]],
+  ['span_2eh_4184',['span.h',['../span_8h.html',1,'']]],
+  ['spannode_4185',['SpanNode',['../namespacetvm_1_1relay.html#a7d0fa6578e97d0d64b08865f94f04827',1,'tvm::relay::SpanNode()'],['../classtvm_1_1SpanNode.html',1,'tvm::SpanNode']]],
+  ['spans_4186',['spans',['../classtvm_1_1SequentialSpanNode.html#a888a0972d2373cef6ad941ff9d8acc1c',1,'tvm::SequentialSpanNode']]],
+  ['sparse_5flhs_4187',['sparse_lhs',['../structtvm_1_1relay_1_1SparseDenseAttrs.html#ae52d5465cb3421f342607abcc1cb1d5c',1,'tvm::relay::SparseDenseAttrs']]],
+  ['sparse_5fto_5fdense_4188',['sparse_to_dense',['../namespacetvm_1_1topi.html#a877e6fdffb6b6c051c29602ec6fe995c',1,'tvm::topi']]],
+  ['sparseconv2dattrs_4189',['SparseConv2DAttrs',['../structtvm_1_1relay_1_1SparseConv2DAttrs.html',1,'tvm::relay']]],
+  ['sparsedenseattrs_4190',['SparseDenseAttrs',['../structtvm_1_1relay_1_1SparseDenseAttrs.html',1,'tvm::relay']]],
+  ['sparsetodenseattrs_4191',['SparseToDenseAttrs',['../structtvm_1_1relay_1_1SparseToDenseAttrs.html',1,'tvm::relay']]],
+  ['sparsetransposeattrs_4192',['SparseTransposeAttrs',['../structtvm_1_1relay_1_1SparseTransposeAttrs.html',1,'tvm::relay']]],
+  ['spatial_4193',['Spatial',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html#ac6de6454b9002f401977546aa9385301',1,'tvm::script::ir_builder::tir::axis']]],
+  ['spatial_5faxis_5f_4194',['spatial_axis_',['../classtvm_1_1te_1_1ScanOpNode.html#ab52af0e689bd723c0a9c853c78a8bc78',1,'tvm::te::ScanOpNode']]],
+  ['spatial_5fscale_4195',['spatial_scale',['../structtvm_1_1relay_1_1ROIPoolAttrs.html#ae51a330f9c855c0370bfb9c48ef6a977',1,'tvm::relay::ROIPoolAttrs::spatial_scale()'],['../structtvm_1_1relay_1_1ROIAlignAttrs.html#a11d752e35f8fdefad7d6243e2b746e19',1,'tvm::relay::ROIAlignAttrs::spatial_scale()']]],
+  ['specializations_4196',['specializations',['../classtvm_1_1relay_1_1OpStrategyNode.html#a32d7610bdfd32c485b5f579daac2aeff',1,'tvm::relay::OpStrategyNode']]],
+  ['specialize_4197',['Specialize',['../namespacetvm_1_1tir.html#a69b6f1b0014dc6e7dd390cff746e9782',1,'tvm::tir']]],
+  ['specializedcondition_4198',['SpecializedCondition',['../classtvm_1_1te_1_1SpecializedCondition.html#a48d119ee1c6033929a5592cfc2592e60',1,'tvm::te::SpecializedCondition::SpecializedCondition()'],['../classtvm_1_1te_1_1SpecializedCondition.html',1,'tvm::te::SpecializedCondition']]],
+  ['specializedconditionnode_4199',['SpecializedConditionNode',['../classtvm_1_1te_1_1SpecializedConditionNode.html',1,'tvm::te']]],
+  ['split_4200',['split',['../namespacetvm_1_1topi.html#af4e59b01a5842baf6b47ad3f83731f53',1,'tvm::topi']]],
+  ['split_4201',['Split',['../classtvm_1_1tir_1_1ScheduleNode.html#ac190a0ab76d8754a35209479bcc6dfa2',1,'tvm::tir::ScheduleNode']]],
+  ['split_4202',['split',['../classtvm_1_1auto__scheduler_1_1State.html#a5815f21fc90ba7cc379c2410c05ab54c',1,'tvm::auto_scheduler::State::split()'],['../classtvm_1_1te_1_1Stage.html#a5a7cd562be59b68a187ad97085a3425d',1,'tvm::te::Stage::split()']]],
+  ['split_4203',['Split',['../classtvm_1_1te_1_1Split.html#a328e0c093ce5b41ebaf33e0e80592764',1,'tvm::te::Split::Split()'],['../classtvm_1_1tir_1_1Layout.html#ad7657af7789fe040d3224c0149976bb4',1,'tvm::tir::Layout::Split()'],['../classtvm_1_1te_1_1Split.html',1,'tvm::te::Split']]],
+  ['split_5fby_5fnparts_4204',['split_by_nparts',['../classtvm_1_1te_1_1Stage.html#a51432f38d9ec4792a2525023179ae604',1,'tvm::te::Stage']]],
+  ['split_5fsections_4205',['split_sections',['../namespacetvm_1_1topi.html#acc643e2ed166fa2ed82a95853e145619',1,'tvm::topi']]],
+  ['splitargs_4206',['SplitArgs',['../namespacetvm_1_1relay_1_1transform.html#af8503ba932c35107c023daf993d6e74c',1,'tvm::relay::transform']]],
+  ['splitattrs_4207',['SplitAttrs',['../structtvm_1_1relay_1_1SplitAttrs.html',1,'tvm::relay']]],
+  ['splithostdevice_4208',['SplitHostDevice',['../namespacetvm_1_1tir_1_1transform.html#a4ec5dffb2a177bfd7548be4d974cba71',1,'tvm::tir::transform']]],
+  ['splitnode_4209',['SplitNode',['../classtvm_1_1te_1_1SplitNode.html',1,'tvm::te']]],
+  ['splitstep_4210',['SplitStep',['../classtvm_1_1auto__scheduler_1_1SplitStep.html#a64ed86582a56a2645b3e4eb44ecb31af',1,'tvm::auto_scheduler::SplitStep::SplitStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1SplitStep.html#a184575a8029d77f7a3bee23d81141df5',1,'tvm::auto_scheduler::SplitStep::SplitStep(int stage_id, int iter_id, Optional&lt; PrimExpr &gt; extent, const Array&lt; Optional&lt; Integer &gt;&gt; &amp;lengths, bool inner_to_outer)'],['../classtvm_1_1auto__s [...]
+  ['splitstepnode_4211',['SplitStepNode',['../classtvm_1_1auto__scheduler_1_1SplitStepNode.html',1,'tvm::auto_scheduler']]],
+  ['sqrt_4212',['sqrt',['../namespacetvm_1_1topi.html#a7e7506fced8d921ca7e1a10ae27b1b5b',1,'tvm::topi::sqrt()'],['../namespacetvm.html#abf978d3e6abd0e3754b853dc4fa9869e',1,'tvm::sqrt()']]],
+  ['squeeze_4213',['squeeze',['../namespacetvm_1_1topi.html#a845e38c0f34017d45ec318935b6ddf17',1,'tvm::topi']]],
+  ['squeezeattrs_4214',['SqueezeAttrs',['../structtvm_1_1relay_1_1SqueezeAttrs.html',1,'tvm::relay']]],
+  ['src_4215',['src',['../classtvm_1_1tir_1_1DependencyNode.html#ab334c31b5f17026cd64c0a76db6dd7a4',1,'tvm::tir::DependencyNode::src()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a700062eb45026152661233661a1f24bb',1,'tvm::runtime::vm::Instruction::src()'],['../classtvm_1_1arith_1_1IntConstraintsTransformNode.html#a8ce159fc6db748e5092fa937de3fde53',1,'tvm::arith::IntConstraintsTransformNode::src()']]],
+  ['src2deps_4216',['src2deps',['../classtvm_1_1tir_1_1BlockScopeNode.html#ab53bbd3de33008374182579b2a5923e4',1,'tvm::tir::BlockScopeNode']]],
+  ['src_5fdevice_5findex_4217',['src_device_index',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a65ae693f4e01bc8b4d747a81f055a53f',1,'tvm::runtime::vm::Instruction']]],
+  ['src_5flayout_4218',['src_layout',['../structtvm_1_1relay_1_1LayoutTransformAttrs.html#ad0f658bf9e6ac538840fc71b1d312f3b',1,'tvm::relay::LayoutTransformAttrs::src_layout()'],['../structtvm_1_1relay_1_1AutoSchedulerLayoutTransformAttrs.html#a6c2ed7bdf83375c58a687b7698f4cc05',1,'tvm::relay::AutoSchedulerLayoutTransformAttrs::src_layout()'],['../classtvm_1_1tir_1_1BijectiveLayoutNode.html#a265fb704868822f0cdd00146353020d0',1,'tvm::tir::BijectiveLayoutNode::src_layout()']]],
+  ['src_5fstep_5fid_4219',['src_step_id',['../classtvm_1_1auto__scheduler_1_1FollowSplitStepNode.html#a01554b8dc5b2a76b0a0a1d968ee6017f',1,'tvm::auto_scheduler::FollowSplitStepNode']]],
+  ['src_5fstep_5fids_4220',['src_step_ids',['../classtvm_1_1auto__scheduler_1_1FollowFusedSplitStepNode.html#af0788931d02c86d4b2be1befd00b92d3',1,'tvm::auto_scheduler::FollowFusedSplitStepNode']]],
+  ['src_5fto_5fdst_4221',['src_to_dst',['../classtvm_1_1arith_1_1IntConstraintsTransformNode.html#a05ddc831df1d376f256ec97af966e6be',1,'tvm::arith::IntConstraintsTransformNode']]],
+  ['src_5fvirtual_5fdevice_4222',['src_virtual_device',['../structtvm_1_1relay_1_1DeviceCopyAttrs.html#aac5b2c76325a587bbefaa5af87b4138f',1,'tvm::relay::DeviceCopyAttrs']]],
+  ['sref2scope_4223',['sref2scope',['../classtvm_1_1tir_1_1BlockDependenceInfoNode.html#ac83f06f7c59be79c0ce58a31a4a7b05d',1,'tvm::tir::BlockDependenceInfoNode']]],
+  ['sreftreecreator_4224',['SRefTreeCreator',['../classtvm_1_1tir_1_1SRefTreeCreator.html',1,'tvm::tir']]],
+  ['stablehashbytes_4225',['StableHashBytes',['../classtvm_1_1runtime_1_1String.html#a88aa19338db83ae838183e7478f09e60',1,'tvm::runtime::String']]],
+  ['stack_4226',['stack',['../namespacetvm_1_1topi.html#acb438962b08475a05e086907bf8eb26a',1,'tvm::topi']]],
+  ['stack_5fallocator_2eh_4227',['stack_allocator.h',['../stack__allocator_8h.html',1,'']]],
+  ['stack_5fallocator_5ftag_4228',['STACK_ALLOCATOR_TAG',['../stack__allocator_8h.html#a34806abbacd8db7a84e90c09eec6867b',1,'stack_allocator.h']]],
+  ['stack_5fallocator_5ftag_5fsize_5fbytes_4229',['STACK_ALLOCATOR_TAG_SIZE_BYTES',['../stack__allocator_8h.html#a2578295f2612c628325474d350a4cc7f',1,'stack_allocator.h']]],
+  ['stackattrs_4230',['StackAttrs',['../structtvm_1_1relay_1_1StackAttrs.html',1,'tvm::relay']]],
+  ['stackmemorymanager_5fallocate_4231',['StackMemoryManager_Allocate',['../stack__allocator_8h.html#a781f68648d93b376892ab84bfdd997e5',1,'stack_allocator.h']]],
+  ['stackmemorymanager_5fallocate_5fbody_4232',['StackMemoryManager_Allocate_Body',['../stack__allocator_8h.html#a87b2500d1e1075b0e4297ab6b0b7448b',1,'stack_allocator.h']]],
+  ['stackmemorymanager_5ffree_4233',['StackMemoryManager_Free',['../stack__allocator_8h.html#a1e5d35061f8f72d784a5792086f611db',1,'stack_allocator.h']]],
+  ['stackmemorymanager_5ffree_5fbody_4234',['StackMemoryManager_Free_Body',['../stack__allocator_8h.html#ac30e6df6e876d5d65a7e86e4dd0e0e95',1,'stack_allocator.h']]],
+  ['stackmemorymanager_5finit_4235',['StackMemoryManager_Init',['../stack__allocator_8h.html#a3fd459f5167dacf85850c929d1b38882',1,'stack_allocator.h']]],
+  ['stage_4236',['Stage',['../classtvm_1_1te_1_1Stage.html#aa6ace38b6312e42aaf9389c8749ae0a4',1,'tvm::te::Stage::Stage()'],['../classtvm_1_1auto__scheduler_1_1Stage.html#af0643fe8c1298451c9a322f915c48843',1,'tvm::auto_scheduler::Stage::Stage()'],['../classtvm_1_1te_1_1Stage.html#afec82602b9321c489b88632a005335f8',1,'tvm::te::Stage::Stage()'],['../classtvm_1_1te_1_1Stage.html#a510049e03f2152d5934cd3bd75033bab',1,'tvm::te::Stage::Stage(Operation op, const ScheduleNode *sch)'],['../classtvm [...]
+  ['stage_5fid_4237',['stage_id',['../classtvm_1_1auto__scheduler_1_1StepNode.html#afcc7aaf263348f66139307affbfcee09',1,'tvm::auto_scheduler::StepNode']]],
+  ['stage_5fmap_4238',['stage_map',['../classtvm_1_1te_1_1ScheduleNode.html#a612223aec2751cbd035a18c9e5453085',1,'tvm::te::ScheduleNode']]],
+  ['stage_5fpipeline_4239',['stage_pipeline',['../structtvm_1_1tir_1_1BlockInfo.html#a63e54dda904b3ec4f2b5f1a052fbd5a2',1,'tvm::tir::BlockInfo']]],
+  ['stage_5fto_5fattach_5fiter_4240',['stage_to_attach_iter',['../classtvm_1_1auto__scheduler_1_1AttachMapNode.html#ac5780da6b91313c1a5b1fc5379d043f8',1,'tvm::auto_scheduler::AttachMapNode']]],
+  ['stageattributes_4241',['StageAttributes',['../structtvm_1_1auto__scheduler_1_1StageAttributes.html',1,'tvm::auto_scheduler']]],
+  ['stagekey_4242',['StageKey',['../namespacetvm_1_1auto__scheduler.html#afc2e977e1bb9b11fc3b78758d997eb60',1,'tvm::auto_scheduler']]],
+  ['stagekind_4243',['StageKind',['../namespacetvm_1_1auto__scheduler.html#af6533a065c0157391331e89a0e95f35a',1,'tvm::auto_scheduler']]],
+  ['stagenode_4244',['StageNode',['../classtvm_1_1auto__scheduler_1_1StageNode.html',1,'tvm::auto_scheduler::StageNode'],['../classtvm_1_1te_1_1StageNode.html',1,'tvm::te::StageNode']]],
+  ['stages_4245',['stages',['../classtvm_1_1auto__scheduler_1_1StateNode.html#a881e14990bf228ee3fddb3721c451b9e',1,'tvm::auto_scheduler::StateNode::stages()'],['../classtvm_1_1te_1_1ScheduleNode.html#ab5649969db603d6b7b4d155c0d09cdd5',1,'tvm::te::ScheduleNode::stages()']]],
+  ['stagetoaxesmap_4246',['StageToAxesMap',['../namespacetvm_1_1auto__scheduler.html#a8f12e558fc4b8fbb990e7e204c06beeb',1,'tvm::auto_scheduler']]],
+  ['start_4247',['Start',['../classtvm_1_1runtime_1_1Timer.html#a89bcaa433499bc68902cb473d5eba6ca',1,'tvm::runtime::Timer']]],
+  ['start_4248',['start',['../classtvm_1_1script_1_1printer_1_1SliceDocNode.html#a16de0189a979a6cf9d8f14b39cb5fb54',1,'tvm::script::printer::SliceDocNode::start()'],['../structtvm_1_1relay_1_1ArangeAttrs.html#ae8ae5bc1551b406a4f52395af343c2ce',1,'tvm::relay::ArangeAttrs::start()']]],
+  ['start_4249',['Start',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#aee5452075c8e022b8aaa6fb365f68e14',1,'tvm::runtime::profiling::Profiler::Start()'],['../classtvm_1_1runtime_1_1profiling_1_1MetricCollectorNode.html#a44fadfb7b0f961a7fb2275e3b5dbcd88',1,'tvm::runtime::profiling::MetricCollectorNode::Start()'],['../classtvm_1_1runtime_1_1TimerNode.html#aa11fc338c39ee2137448e54a10efe0ae',1,'tvm::runtime::TimerNode::Start()']]],
+  ['start_5findex_4250',['start_index',['../namespacetvm_1_1topi_1_1nn.html#a752c4130dac73fd2de0390c5f6b24b15',1,'tvm::topi::nn']]],
+  ['start_5fprofile_5fintrinsic_4251',['start_profile_intrinsic',['../namespacetvm_1_1tir_1_1builtin.html#aa63c16281d1010a82a06eb771268b4e2',1,'tvm::tir::builtin']]],
+  ['startcall_4252',['StartCall',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#a1fe322f7ba92be44d7e7c8cb184f3833',1,'tvm::runtime::profiling::Profiler']]],
+  ['startmessage_4253',['StartMessage',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#acd512b977c6dd888f90c4fd6d2b9500f',1,'tvm::runtime::micro_rpc::Session']]],
+  ['startpacket_4254',['StartPacket',['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#ade10d3bd3a26e3b7af881ae134e9a998',1,'tvm::runtime::micro_rpc::Framer']]],
+  ['startsession_4255',['StartSession',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a15d3f9ecb8b22bf2d330f6f0a16c5239',1,'tvm::runtime::micro_rpc::Session']]],
+  ['state_4256',['state',['../classtvm_1_1tir_1_1ScheduleNode.html#abb3612c2598fa2d3ee0e6e3fc3de8a26',1,'tvm::tir::ScheduleNode::state()'],['../classtvm_1_1auto__scheduler_1_1MeasureInputNode.html#afb23aaf6133189687d2541ec6e1352f4',1,'tvm::auto_scheduler::MeasureInputNode::state()']]],
+  ['state_4257',['State',['../classtvm_1_1auto__scheduler_1_1State.html#a9e8198b1f51b42cfbbee4b9f42160749',1,'tvm::auto_scheduler::State::State()'],['../classtvm_1_1auto__scheduler_1_1State.html',1,'tvm::auto_scheduler::State']]],
+  ['state_2eh_4258',['state.h',['../state_8h.html',1,'']]],
+  ['state_5fplaceholder_4259',['state_placeholder',['../classtvm_1_1te_1_1ScanOpNode.html#a69105f6a84dd4fb912a16bfaa68aebf6',1,'tvm::te::ScanOpNode']]],
+  ['statenode_4260',['StateNode',['../classtvm_1_1auto__scheduler_1_1StateNode.html',1,'tvm::auto_scheduler']]],
+  ['stats_4261',['Stats',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a5445bd71aa14ec97552fa099dc3bd787',1,'tvm::runtime::vm::Executable']]],
+  ['stats_5fsec_4262',['stats_sec',['../classtvm_1_1meta__schedule_1_1ProfilerNode.html#a9834a7a0c59d77c24d29918b93f7220a',1,'tvm::meta_schedule::ProfilerNode']]],
+  ['step_4263',['step',['../classtvm_1_1script_1_1printer_1_1SliceDocNode.html#a71eafec33566f09b9ce23e4daf4910fc',1,'tvm::script::printer::SliceDocNode::step()'],['../structtvm_1_1relay_1_1ArangeAttrs.html#aabe51ead537f676d53ffedf91b16ae66',1,'tvm::relay::ArangeAttrs::step()']]],
+  ['step_4264',['Step',['../classtvm_1_1auto__scheduler_1_1Step.html',1,'tvm::auto_scheduler']]],
+  ['stepapplytoschedule_4265',['StepApplyToSchedule',['../namespacetvm_1_1auto__scheduler.html#ac58f7548a94b92f801b2b9a6f65bd785',1,'tvm::auto_scheduler']]],
+  ['stepapplytostate_4266',['StepApplyToState',['../namespacetvm_1_1auto__scheduler.html#a6909bc5a99d1cc8372201e9392717832',1,'tvm::auto_scheduler']]],
+  ['stepnode_4267',['StepNode',['../classtvm_1_1auto__scheduler_1_1StepNode.html',1,'tvm::auto_scheduler']]],
+  ['stepprintaspythonapi_4268',['StepPrintAsPythonAPI',['../namespacetvm_1_1auto__scheduler.html#a3f759d9d2fcc4759578a84e06a509a07',1,'tvm::auto_scheduler']]],
+  ['stepreadfromrecord_4269',['StepReadFromRecord',['../namespacetvm_1_1auto__scheduler.html#aab09151bf58d2cb261e1254f22261741',1,'tvm::auto_scheduler']]],
+  ['steps_4270',['steps',['../structtvm_1_1relay_1_1MultiBoxPriorAttrs.html#a312f6999492eb61bf20593a4f30acd35',1,'tvm::relay::MultiBoxPriorAttrs']]],
+  ['stftattrs_4271',['StftAttrs',['../structtvm_1_1relay_1_1StftAttrs.html',1,'tvm::relay']]],
+  ['stmt_4272',['stmt',['../classtvm_1_1tir_1_1StmtSRefNode.html#acf4e832651882cfbc33e3d5930159791',1,'tvm::tir::StmtSRefNode']]],
+  ['stmt_4273',['Stmt',['../classtvm_1_1tir_1_1Stmt.html',1,'tvm::tir']]],
+  ['stmt_2eh_4274',['stmt.h',['../stmt_8h.html',1,'']]],
+  ['stmt2ref_4275',['stmt2ref',['../classtvm_1_1tir_1_1ScheduleStateNode.html#a32792c2d1c659c34ca079c422553ec43',1,'tvm::tir::ScheduleStateNode::stmt2ref()'],['../classtvm_1_1tir_1_1BlockDependenceInfoNode.html#a68f89dbffed319b548701b2737f20a56',1,'tvm::tir::BlockDependenceInfoNode::stmt2ref()']]],
+  ['stmt_5ffunctor_2eh_4276',['stmt_functor.h',['../stmt__functor_8h.html',1,'']]],
+  ['stmt_5ffunctor_5fdefault_4277',['STMT_FUNCTOR_DEFAULT',['../stmt__functor_8h.html#ac18c66d877e6a00a4da0d8d7d2b2b6ae',1,'stmt_functor.h']]],
+  ['stmtas_4278',['StmtAs',['../classtvm_1_1tir_1_1StmtSRefNode.html#afc61714fbac246f72d02d0729fb9ba2d',1,'tvm::tir::StmtSRefNode']]],
+  ['stmtblockdoc_4279',['StmtBlockDoc',['../classtvm_1_1script_1_1printer_1_1StmtBlockDoc.html#a2b2125bd8f0c4cb614aaa80505d470c6',1,'tvm::script::printer::StmtBlockDoc::StmtBlockDoc()'],['../classtvm_1_1script_1_1printer_1_1StmtBlockDoc.html',1,'tvm::script::printer::StmtBlockDoc']]],
+  ['stmtblockdocnode_4280',['StmtBlockDocNode',['../classtvm_1_1script_1_1printer_1_1StmtBlockDocNode.html',1,'tvm::script::printer']]],
+  ['stmtdoc_4281',['StmtDoc',['../classtvm_1_1script_1_1printer_1_1StmtDoc.html#adec8d59e41d8a4093fb310089bf2c3ba',1,'tvm::script::printer::StmtDoc::StmtDoc()'],['../classtvm_1_1script_1_1printer_1_1StmtDoc.html',1,'tvm::script::printer::StmtDoc']]],
+  ['stmtdocnode_4282',['StmtDocNode',['../classtvm_1_1script_1_1printer_1_1StmtDocNode.html',1,'tvm::script::printer']]],
+  ['stmtexprmutator_4283',['StmtExprMutator',['../classtvm_1_1tir_1_1StmtExprMutator.html',1,'tvm::tir']]],
+  ['stmtexprvisitor_4284',['StmtExprVisitor',['../classtvm_1_1tir_1_1StmtExprVisitor.html',1,'tvm::tir']]],
+  ['stmtfunctor_4285',['StmtFunctor',['../classtvm_1_1tir_1_1StmtFunctor.html',1,'tvm::tir']]],
+  ['stmtfunctor_3c_20r_28const_20stmt_20_26n_2c_20args_2e_2e_2e_20args_29_3e_4286',['StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;',['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html',1,'tvm::tir']]],
+  ['stmtfunctor_3c_20stmt_28const_20stmt_20_26_29_3e_4287',['StmtFunctor&lt; Stmt(const Stmt &amp;)&gt;',['../classtvm_1_1tir_1_1StmtFunctor.html',1,'tvm::tir']]],
+  ['stmtfunctor_3c_20void_28const_20stmt_20_26_29_3e_4288',['StmtFunctor&lt; void(const Stmt &amp;)&gt;',['../classtvm_1_1tir_1_1StmtFunctor.html',1,'tvm::tir']]],
+  ['stmtmutator_4289',['StmtMutator',['../classtvm_1_1tir_1_1StmtMutator.html',1,'tvm::tir']]],
+  ['stmtnode_4290',['StmtNode',['../classtvm_1_1tir_1_1StmtNode.html#a67693c4e97ae49890ea74605fe1b1f74',1,'tvm::tir::StmtNode::StmtNode(Span span)'],['../classtvm_1_1tir_1_1StmtNode.html#a79e21b14d3ab57209577bf4a8f694a87',1,'tvm::tir::StmtNode::StmtNode()=default'],['../classtvm_1_1tir_1_1StmtNode.html',1,'tvm::tir::StmtNode']]],
+  ['stmts_4291',['stmts',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrameNode.html#a13776bb5c2e5403138fbee06d4fdad40',1,'tvm::script::ir_builder::tir::TIRFrameNode::stmts()'],['../classtvm_1_1script_1_1printer_1_1StmtBlockDocNode.html#a17862bcb50fd1ef49cd9a47f065e612c',1,'tvm::script::printer::StmtBlockDocNode::stmts()'],['../classtvm_1_1script_1_1printer_1_1FrameNode.html#aada7a9ba8d07d7bda2886502de908e15',1,'tvm::script::printer::FrameNode::stmts()']]],
+  ['stmtsref_4292',['StmtSRef',['../classtvm_1_1tir_1_1StmtSRef.html#a31687ace5dc4fe487ffb87d658d86412',1,'tvm::tir::StmtSRef::StmtSRef()'],['../classtvm_1_1tir_1_1StmtSRef.html',1,'tvm::tir::StmtSRef']]],
+  ['stmtsrefnode_4293',['StmtSRefNode',['../classtvm_1_1tir_1_1StmtSRefNode.html',1,'tvm::tir']]],
+  ['stmtvisitor_4294',['StmtVisitor',['../classtvm_1_1tir_1_1StmtVisitor.html',1,'tvm::tir']]],
+  ['stop_4295',['Stop',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#aa2000d8cd1970b5d29139ab1831394f0',1,'tvm::runtime::profiling::Profiler::Stop()'],['../classtvm_1_1runtime_1_1TimerNode.html#a67eb764f2c9e3fb7c2708f01c0c35683',1,'tvm::runtime::TimerNode::Stop()'],['../classtvm_1_1runtime_1_1profiling_1_1MetricCollectorNode.html#aca9679dd49dfbc886b9dc99539cbf0e6',1,'tvm::runtime::profiling::MetricCollectorNode::Stop()']]],
+  ['stop_4296',['stop',['../structtvm_1_1relay_1_1ArangeAttrs.html#a1eadf1f3964ca83dade8edeae7d6d7cf',1,'tvm::relay::ArangeAttrs::stop()'],['../classtvm_1_1script_1_1printer_1_1SliceDocNode.html#aaeb98937e7617cb76fb9662616b89e81',1,'tvm::script::printer::SliceDocNode::stop()']]],
+  ['stopcall_4297',['StopCall',['../classtvm_1_1runtime_1_1profiling_1_1Profiler.html#ad5e6a8e8c9d915c80f494138eedfec3f',1,'tvm::runtime::profiling::Profiler']]],
+  ['storage_4298',['storage',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a3412cabd3b4f42f106f56fc22257f6ca',1,'tvm::runtime::vm::Instruction']]],
+  ['storage_4299',['Storage',['../classtvm_1_1runtime_1_1vm_1_1Storage.html#aff0c1264864e6205cfa468f069f62f55',1,'tvm::runtime::vm::Storage::Storage()'],['../classtvm_1_1runtime_1_1vm_1_1Storage.html',1,'tvm::runtime::vm::Storage']]],
+  ['storage_5falign_4300',['storage_align',['../classtvm_1_1te_1_1Stage.html#aa73e3a269d84c3b4f0a1994371d67bab',1,'tvm::te::Stage::storage_align()'],['../classtvm_1_1auto__scheduler_1_1State.html#ab006690418e43cc9b7ad021c02657ed6',1,'tvm::auto_scheduler::State::storage_align()']]],
+  ['storage_5falignment_4301',['storage_alignment',['../namespacetvm_1_1tir_1_1attr.html#af27d464f2065dc5f77408df7b94d4bb6',1,'tvm::tir::attr']]],
+  ['storage_5fid_4302',['storage_id',['../structTVMGraphExecutorGraphAttr.html#a8a0d6d05adcffbf499aafb6a6700c400',1,'TVMGraphExecutorGraphAttr']]],
+  ['storage_5fnum_5fnot_5falloctaed_4303',['storage_num_not_alloctaed',['../structTVMGraphExecutorGraphAttr.html#ae1937d45b634bf58303a3ab48622256e',1,'TVMGraphExecutorGraphAttr']]],
+  ['storage_5foffset_4304',['storage_offset',['../structtvm_1_1auto__scheduler_1_1StageAttributes.html#a9adf0225217392dc39d5cfcf67ead607',1,'tvm::auto_scheduler::StageAttributes']]],
+  ['storage_5fscope_4305',['storage_scope',['../classtvm_1_1PointerTypeNode.html#aef547d49d4f3cb208b6248745f56750a',1,'tvm::PointerTypeNode::storage_scope()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1RealizeFrameNode.html#aa810ba44c76ee91982ff64996ffa0933',1,'tvm::script::ir_builder::tir::RealizeFrameNode::storage_scope()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateFrameNode.html#ac2fae69fd4b4923c2997d0e48e6820bd',1,'tvm::script::ir_builder::tir::AllocateFrameNode: [...]
+  ['storagealign_4306',['StorageAlign',['../classtvm_1_1tir_1_1ScheduleNode.html#a93d1d23f24d903db844f75f51fe09a36',1,'tvm::tir::ScheduleNode']]],
+  ['storagealignstep_4307',['StorageAlignStep',['../classtvm_1_1auto__scheduler_1_1StorageAlignStep.html#a99dbb8c55d9e7d78268b6d43fd348bc7',1,'tvm::auto_scheduler::StorageAlignStep::StorageAlignStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1StorageAlignStep.html#af50b7c2f020f8e0a80f5bcc8e559b394',1,'tvm::auto_scheduler::StorageAlignStep::StorageAlignStep(int stage_id, int iter_id, int factor, int offset)'],['../classtvm_1_1auto__scheduler_1_1StorageAlignStep.html',1 [...]
+  ['storagealignstepnode_4308',['StorageAlignStepNode',['../classtvm_1_1auto__scheduler_1_1StorageAlignStepNode.html',1,'tvm::auto_scheduler']]],
+  ['storageflatten_4309',['StorageFlatten',['../namespacetvm_1_1tir_1_1transform.html#a778d3e1efecdff97e7bcf0e6a5406e61',1,'tvm::tir::transform']]],
+  ['storageobj_4310',['StorageObj',['../classtvm_1_1runtime_1_1vm_1_1StorageObj.html',1,'tvm::runtime::vm']]],
+  ['storagerewrite_4311',['StorageRewrite',['../namespacetvm_1_1tir_1_1transform.html#abe87b271e2c20e0ad901697f33c01d2c',1,'tvm::tir::transform']]],
+  ['storagetype_4312',['StorageType',['../classtvm_1_1runtime_1_1SimpleObjAllocator_1_1Handler.html#ada6a65394767c674dbbfb5937ef51bd6',1,'tvm::runtime::SimpleObjAllocator::Handler::StorageType()'],['../classtvm_1_1runtime_1_1SimpleObjAllocator_1_1ArrayHandler.html#a67e86db3290b1d3bd4aca7e7a2faf187',1,'tvm::runtime::SimpleObjAllocator::ArrayHandler::StorageType()']]],
+  ['store_5fpredicate_4313',['store_predicate',['../classtvm_1_1te_1_1StageNode.html#a8f4ba7f2931b3541c12734af511600a7',1,'tvm::te::StageNode']]],
+  ['str_4314',['str',['../classtvm_1_1TargetNode.html#a30cd67db46a9c4b098a8ba38fff22e26',1,'tvm::TargetNode']]],
+  ['str_4315',['Str',['../classtvm_1_1script_1_1printer_1_1LiteralDoc.html#a8423a0ede5a29b24f6db5d9f6574a200',1,'tvm::script::printer::LiteralDoc']]],
+  ['stream_4316',['Stream',['../classtvm_1_1ReprLegacyPrinter.html#a8234b759c989ddac7fb24253d2e1e83d',1,'tvm::ReprLegacyPrinter']]],
+  ['stream_4317',['stream',['../classtvm_1_1ReprPrinter.html#a036409dcdcf6f0ac5c6d7d27ec60ed94',1,'tvm::ReprPrinter']]],
+  ['streamsync_4318',['StreamSync',['../classtvm_1_1runtime_1_1DeviceAPI.html#ac29b9295c432a87658392872c644864f',1,'tvm::runtime::DeviceAPI']]],
+  ['stride_4319',['stride',['../structtvm_1_1relay_1_1YoloReorgAttrs.html#a720c79759a2f2b603b006b0bfcab5fe0',1,'tvm::relay::YoloReorgAttrs::stride()'],['../classtvm_1_1tir_1_1RampNode.html#a618c78e39d18f999dbdd3fe01436f447',1,'tvm::tir::RampNode::stride()']]],
+  ['stride1_4320',['stride1',['../structtvm_1_1relay_1_1CorrelationAttrs.html#aab5bf45d1aa0972bc1ce5cdb715546ff',1,'tvm::relay::CorrelationAttrs']]],
+  ['stride2_4321',['stride2',['../structtvm_1_1relay_1_1CorrelationAttrs.html#aa13aede7455a449fc194781420e40af7',1,'tvm::relay::CorrelationAttrs']]],
+  ['strided_5fslice_4322',['strided_slice',['../namespacetvm_1_1topi.html#a208e90d4a8db8cf2c7d77b4460f7df70',1,'tvm::topi']]],
+  ['strided_5fslice_2eh_4323',['strided_slice.h',['../strided__slice_8h.html',1,'']]],
+  ['strided_5fslice_5fwith_5faxes_4324',['strided_slice_with_axes',['../namespacetvm_1_1topi.html#acd9415b24624edac4edec4802d275062',1,'tvm::topi']]],
+  ['stridedsliceattrs_4325',['StridedSliceAttrs',['../structtvm_1_1relay_1_1StridedSliceAttrs.html',1,'tvm::relay']]],
+  ['stridedsliceoutputshape_4326',['StridedSliceOutputShape',['../namespacetvm_1_1topi.html#aff75b2e533b654909ca1bd9485ef4e6b',1,'tvm::topi']]],
+  ['strides_4327',['strides',['../structtvm_1_1relay_1_1StridedSliceAttrs.html#ab8c4942d4242425714b21488bae72fec',1,'tvm::relay::StridedSliceAttrs::strides()'],['../structtvm_1_1relay_1_1DeformableConv2DAttrs.html#a20463675cf34274bc2d8ccb75f1e2014',1,'tvm::relay::DeformableConv2DAttrs::strides()'],['../structtvm_1_1relay_1_1SlidingWindowAttrs.html#a74e2768c0ff2825a95c17c2a9a034254',1,'tvm::relay::SlidingWindowAttrs::strides()'],['../classtvm_1_1tir_1_1BufferNode.html#ac18ddd10b79a30ae57d [...]
+  ['string_4328',['String',['../classtvm_1_1runtime_1_1String.html#a02fca36e3ff55cc1e83635b02a11fca3',1,'tvm::runtime::String::String(std::string other)'],['../classtvm_1_1runtime_1_1String.html#ac5d930b522e9fef9c07e51819d96d2f3',1,'tvm::runtime::String::String()'],['../classtvm_1_1runtime_1_1StringObj.html#a7fb804f7dc96dd9f705c84095f37f1ca',1,'tvm::runtime::StringObj::String()'],['../classtvm_1_1runtime_1_1StringObj_1_1FromStd.html#a7fb804f7dc96dd9f705c84095f37f1ca',1,'tvm::runtime::Str [...]
+  ['string_2eh_4329',['string.h',['../string_8h.html',1,'']]],
+  ['string2dldatatype_4330',['String2DLDataType',['../crt_2packed__func_8h.html#a1b379b0d66cecf7035d7d9efa4d87e5e',1,'String2DLDataType():&#160;packed_func.h'],['../namespacetvm_1_1runtime.html#a277f104e659f71cd8885744700016341',1,'tvm::runtime::String2DLDataType()']]],
+  ['string_5fstream_4331',['string_stream',['../classtvm_1_1relay_1_1DFPatternPrinter.html#a3c25498c925131b2bb3c7b77319a0056',1,'tvm::relay::DFPatternPrinter']]],
+  ['stringimm_4332',['StringImm',['../classtvm_1_1tir_1_1StringImm.html#a0f2830290e055f677c5d5dea98aab726',1,'tvm::tir::StringImm::StringImm()'],['../classtvm_1_1tir_1_1StringImm.html',1,'tvm::tir::StringImm']]],
+  ['stringimmnode_4333',['StringImmNode',['../classtvm_1_1tir_1_1StringImmNode.html',1,'tvm::tir']]],
+  ['stringobj_4334',['StringObj',['../classtvm_1_1runtime_1_1StringObj.html',1,'tvm::runtime']]],
+  ['structural_5fequal_2eh_4335',['structural_equal.h',['../structural__equal_8h.html',1,'']]],
+  ['structural_5fhash_2eh_4336',['structural_hash.h',['../structural__hash_8h.html',1,'']]],
+  ['structuralequal_4337',['StructuralEqual',['../classtvm_1_1StructuralEqual.html',1,'tvm']]],
+  ['structuralhash_4338',['StructuralHash',['../classtvm_1_1StructuralHash.html',1,'tvm']]],
+  ['sub_4339',['Sub',['../classtvm_1_1tir_1_1Sub.html#a1f9d1defacfb72b432e80f1211f4be9c',1,'tvm::tir::Sub']]],
+  ['sub_4340',['sub',['../namespacetvm.html#a9cbbc9769fffddd99dfb4ab3f69dafb3',1,'tvm::sub(int a, const PrimExpr &amp;b, Span span=Span())'],['../namespacetvm.html#a269b014ef11118b07bae6efb0300ba6f',1,'tvm::sub(float a, const PrimExpr &amp;b, Span span=Span())'],['../namespacetvm.html#a7470d45dafa0a91b6c62b25cdd61514e',1,'tvm::sub(const PrimExpr &amp;a, int b, Span span=Span())'],['../namespacetvm.html#a9d05fc8e6a57888c5fd908152bf6d84a',1,'tvm::sub(const PrimExpr &amp;a, double b, Span s [...]
+  ['sub_4341',['Sub',['../classtvm_1_1tir_1_1Sub.html',1,'tvm::tir']]],
+  ['sub_5fdiag_5fright_5falign_4342',['sub_diag_right_align',['../structtvm_1_1relay_1_1MatrixSetDiagAttrs.html#a1acfc2cf9788e7bef4798d059bd72aee',1,'tvm::relay::MatrixSetDiagAttrs']]],
+  ['sublayout_4343',['SubLayout',['../classtvm_1_1tir_1_1Layout.html#a6342d62a4cfd5bb2313c2589c91c6d49',1,'tvm::tir::Layout']]],
+  ['subnode_4344',['SubNode',['../classtvm_1_1tir_1_1SubNode.html',1,'tvm::tir']]],
+  ['subpixelattrs_4345',['SubPixelAttrs',['../structtvm_1_1relay_1_1SubPixelAttrs.html',1,'tvm::relay']]],
+  ['subscript_4346',['Subscript',['../structtvm_1_1topi_1_1EinsumEquation.html#a4a0b81e8b69b43af5868a1617cfe30d3',1,'tvm::topi::EinsumEquation']]],
+  ['subspacedivide_4347',['SubspaceDivide',['../namespacetvm_1_1arith.html#a07096c818b466b42171bc93c1784cdf8',1,'tvm::arith']]],
+  ['substitute_4348',['Substitute',['../namespacetvm_1_1tir.html#a71cc8d64e0d78149a19413953dec6230',1,'tvm::tir::Substitute(PrimExpr expr, std::function&lt; Optional&lt; PrimExpr &gt;(const Var &amp;var)&gt; vmap)'],['../namespacetvm_1_1tir.html#a9e1301a585fe9a09feb38a15b3300d8b',1,'tvm::tir::Substitute(Obj &amp;&amp;obj, const std::unordered_map&lt; IterVar, Expr &gt; &amp;iter_vmap)'],['../classtvm_1_1arith_1_1IntGroupBounds.html#a25fd6d19fec4a47d38913d95c9229e1f',1,'tvm::arith::IntGro [...]
+  ['substituteboundvars_4349',['SubstituteBoundVars',['../namespacetvm_1_1relay.html#aa65d5cde84db61b456ce982b5328fae2',1,'tvm::relay']]],
+  ['substitutewithdatatypelegalization_4350',['SubstituteWithDataTypeLegalization',['../namespacetvm_1_1tir.html#a7bf580da4d2e7867856ce00d3ff1805d',1,'tvm::tir::SubstituteWithDataTypeLegalization(Stmt stmt, std::function&lt; Optional&lt; PrimExpr &gt;(const Var &amp;)&gt; vmap)'],['../namespacetvm_1_1tir.html#ae06122cce8e8888b5ed3568e7a4368bc',1,'tvm::tir::SubstituteWithDataTypeLegalization(PrimExpr expr, std::function&lt; Optional&lt; PrimExpr &gt;(const Var &amp;)&gt; vmap)']]],
+  ['subtract_4351',['subtract',['../namespacetvm_1_1topi.html#a3030be37f9db43ef90a5b2cc0997acd6',1,'tvm::topi::subtract(const tvm::PrimExpr &amp;a, const tvm::PrimExpr &amp;b)'],['../namespacetvm_1_1topi.html#a461a374321614b257311660b8bc45d0d',1,'tvm::topi::subtract(const tvm::te::Tensor &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;subtract&quot;, std::string tag=kBroadcast)'],['../namespacetvm_1_1topi.html#a1447c5af8653fa4fcbe69ee287a0a8fa',1,'tvm::topi::s [...]
+  ['sum_4352',['sum',['../namespacetvm.html#afdad0c0329bd39949ba8d296cfb85d76',1,'tvm::sum()'],['../namespacetvm_1_1topi.html#abee7c35e8c15e2e61afe35852dfcb252',1,'tvm::topi::sum()']]],
+  ['super_5fdiag_5fright_5falign_4353',['super_diag_right_align',['../structtvm_1_1relay_1_1MatrixSetDiagAttrs.html#adb7c44439db1d842a6c804547df02a31',1,'tvm::relay::MatrixSetDiagAttrs']]],
+  ['support_5flevel_4354',['support_level',['../classtvm_1_1OpNode.html#a68a34098b5a111dec5f381cc4a80cb78',1,'tvm::OpNode']]],
+  ['surjective_4355',['Surjective',['../namespacetvm_1_1arith.html#a243b60bbe2d3852099eb65454b240c63ae76680d0048f5c8d7160cac340cb53c9',1,'tvm::arith']]],
+  ['swap_4356',['swap',['../classtvm_1_1runtime_1_1ObjectPtr.html#a0306cc87b99ff5f392fdb90d6aabc70f',1,'tvm::runtime::ObjectPtr']]],
+  ['sync_5fhandle_4357',['sync_handle',['../structTVMParallelGroupEnv.html#a0633fa0370c01f1ab38948a53801d90c',1,'TVMParallelGroupEnv']]],
+  ['syncandgetelapsednanos_4358',['SyncAndGetElapsedNanos',['../classtvm_1_1runtime_1_1TimerNode.html#a0d7432265ae9053d8d50507b1ffb55f0',1,'tvm::runtime::TimerNode']]],
+  ['syncstreamfromto_4359',['SyncStreamFromTo',['../classtvm_1_1runtime_1_1DeviceAPI.html#a3e455879adb262d3d66945cbe4a5a118',1,'tvm::runtime::DeviceAPI']]],
+  ['syntax_5fsugar_4360',['syntax_sugar',['../classtvm_1_1PrinterConfigNode.html#a518824def075339074d4451a79e3dd9b',1,'tvm::PrinterConfigNode']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_15.js b/docs/reference/api/doxygen/search/all_15.js
index 7801aa2b8b..94edfa0e4d 100644
--- a/docs/reference/api/doxygen/search/all_15.js
+++ b/docs/reference/api/doxygen/search/all_15.js
@@ -1,608 +1,608 @@
 var searchData=
 [
-  ['algo_4359',['algo',['../namespacetvm_1_1tir_1_1usmp_1_1algo.html',1,'tvm::tir::usmp']]],
-  ['arith_4360',['arith',['../namespacetvm_1_1arith.html',1,'tvm']]],
-  ['attr_4361',['attr',['../namespacetvm_1_1attr.html',1,'tvm::attr'],['../namespacetvm_1_1relay_1_1attr.html',1,'tvm::relay::attr'],['../namespacetvm_1_1tir_1_1attr.html',1,'tvm::tir::attr']]],
-  ['attrregistry_4362',['AttrRegistry',['../classtvm_1_1relay_1_1ExecutorRegEntry.html#a419335016b1f81770b99d7c075b4b535',1,'tvm::relay::ExecutorRegEntry::AttrRegistry()'],['../classtvm_1_1relay_1_1RuntimeRegEntry.html#a419335016b1f81770b99d7c075b4b535',1,'tvm::relay::RuntimeRegEntry::AttrRegistry()']]],
-  ['auto_5fscheduler_4363',['auto_scheduler',['../namespacetvm_1_1auto__scheduler.html',1,'tvm']]],
-  ['axis_4364',['axis',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html',1,'tvm::script::ir_builder::tir']]],
-  ['builtin_4365',['builtin',['../namespacetvm_1_1tir_1_1builtin.html',1,'tvm::tir']]],
-  ['codegen_4366',['codegen',['../namespacetvm_1_1codegen.html',1,'tvm']]],
-  ['contrib_4367',['contrib',['../namespacetvm_1_1runtime_1_1contrib.html',1,'tvm::runtime::contrib'],['../namespacetvm_1_1topi_1_1contrib.html',1,'tvm::topi::contrib']]],
-  ['cuda_4368',['cuda',['../namespacetvm_1_1topi_1_1cuda.html',1,'tvm::topi']]],
-  ['detail_4369',['detail',['../namespacetvm_1_1detail.html',1,'tvm']]],
-  ['details_4370',['details',['../namespacetvm_1_1script_1_1ir__builder_1_1details.html',1,'tvm::script::ir_builder']]],
-  ['generic_4371',['generic',['../namespacetvm_1_1topi_1_1generic.html',1,'tvm::topi']]],
-  ['instrument_4372',['instrument',['../namespacetvm_1_1instrument.html',1,'tvm']]],
-  ['ir_4373',['ir',['../namespacetvm_1_1script_1_1ir__builder_1_1ir.html',1,'tvm::script::ir_builder']]],
-  ['ir_5fbuilder_4374',['ir_builder',['../namespacetvm_1_1script_1_1ir__builder.html',1,'tvm::script']]],
-  ['legalize_4375',['legalize',['../namespacetvm_1_1relay_1_1legalize.html',1,'tvm::relay']]],
-  ['meta_5fschedule_4376',['meta_schedule',['../namespacetvm_1_1meta__schedule.html',1,'tvm']]],
-  ['metadata_4377',['metadata',['../namespacetvm_1_1runtime_1_1metadata.html',1,'tvm::runtime']]],
-  ['micro_5frpc_4378',['micro_rpc',['../namespacetvm_1_1runtime_1_1micro__rpc.html',1,'tvm::runtime']]],
-  ['nn_4379',['nn',['../namespacetvm_1_1topi_1_1nn.html',1,'tvm::topi']]],
-  ['objectequal_4380',['ObjectEqual',['../classtvm_1_1runtime_1_1String.html#ac0e10a4b0b377bd0783ee2b8feba4d2f',1,'tvm::runtime::String']]],
-  ['printer_4381',['printer',['../namespacetvm_1_1script_1_1printer.html',1,'tvm::script']]],
-  ['profiling_4382',['profiling',['../namespacetvm_1_1runtime_1_1profiling.html',1,'tvm::runtime']]],
-  ['qnn_4383',['qnn',['../namespacetvm_1_1relay_1_1qnn.html',1,'tvm::relay']]],
-  ['relay_4384',['relay',['../namespacetvm_1_1relay.html',1,'tvm']]],
-  ['rocm_4385',['rocm',['../namespacetvm_1_1topi_1_1rocm.html',1,'tvm::topi']]],
-  ['runtime_4386',['runtime',['../namespacetvm_1_1runtime.html',1,'tvm']]],
-  ['script_4387',['script',['../namespacetvm_1_1script.html',1,'tvm']]],
-  ['support_4388',['support',['../namespacetvm_1_1support.html',1,'tvm']]],
-  ['symbol_4389',['symbol',['../namespacetvm_1_1runtime_1_1symbol.html',1,'tvm::runtime']]],
-  ['table_4390',['Table',['../classtvm_1_1meta__schedule_1_1ProfilerNode.html#a7a1eb9c0d74739a7a4a124beebf7efcb',1,'tvm::meta_schedule::ProfilerNode']]],
-  ['tag_4391',['tag',['../classtvm_1_1TargetNode.html#a3046260cd16b7b134fa99705b41d2aee',1,'tvm::TargetNode::tag()'],['../classtvm_1_1runtime_1_1ADT.html#ae27124341aa924bfd3e8e0606fb16f1c',1,'tvm::runtime::ADT::tag()'],['../classtvm_1_1ConstructorNode.html#a396a76459b3a46eefdfcd952c69c5ee4',1,'tvm::ConstructorNode::tag()'],['../structtvm_1_1relay_1_1ConstructorValueObj.html#a4367c76ceee867b91ddd790931a1a362',1,'tvm::relay::ConstructorValueObj::tag()'],['../classtvm_1_1runtime_1_1ADTObj.h [...]
-  ['tag_2eh_4392',['tag.h',['../tag_8h.html',1,'']]],
-  ['tags_2eh_4393',['tags.h',['../tags_8h.html',1,'']]],
-  ['take_4394',['take',['../namespacetvm_1_1topi.html#aa1468cc7d8f47a44800fa38d6377ae67',1,'tvm::topi::take(const Tensor &amp;a, const Tensor &amp;indices, int batch_dims, std::string mode=&quot;clip&quot;, std::string name=&quot;T_take&quot;, std::string tag=kInjective)'],['../namespacetvm_1_1topi.html#a6d0f94f4b1cdb78ea65969d820a3903f',1,'tvm::topi::take(const Tensor &amp;a, const Tensor &amp;indices, int batch_dims, int axis, std::string mode=&quot;clip&quot;, std::string name=&quot;T [...]
-  ['takeattrs_4395',['TakeAttrs',['../structtvm_1_1relay_1_1TakeAttrs.html',1,'tvm::relay']]],
-  ['tan_4396',['tan',['../namespacetvm.html#af99838098788d40c80b402f29b3c2e8c',1,'tvm::tan()'],['../namespacetvm_1_1topi.html#a13b757fe52775f43a58d91c0a1330f97',1,'tvm::topi::tan(const Tensor &amp;x, std::string name=&quot;T_&quot; &quot;tan&quot;, std::string tag=kElementWise)']]],
-  ['tanh_4397',['tanh',['../namespacetvm_1_1topi.html#aec153e599d33c78a7592007cde1c02cb',1,'tvm::topi::tanh()'],['../namespacetvm.html#a12c5457301d8a2c03a2ba1163edd7cee',1,'tvm::tanh()']]],
-  ['target_4398',['Target',['../classtvm_1_1Target.html#a58a5a1e042e265fe5a6973045226fe1a',1,'tvm::Target::Target(std::nullptr_t)'],['../classtvm_1_1Target.html#a77f3d7cc97d8cfd7172af58b4e784d89',1,'tvm::Target::Target(const String &amp;tag_or_config_or_target_str)'],['../classtvm_1_1Target.html#ab825b350cf478bf948d807b6fdf636a0',1,'tvm::Target::Target(const Map&lt; String, ObjectRef &gt; &amp;config)'],['../classtvm_1_1Target.html#a1abb29217d8e394f0b88e28178c70bb0',1,'tvm::Target::Targe [...]
-  ['target_4399',['target',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#acf4407e0c8dced81b05b34ec0426c933',1,'tvm::auto_scheduler::SearchTaskNode::target()'],['../classtvm_1_1meta__schedule_1_1BuilderInputNode.html#afc001f3e427cfc8c05236b615cfd2868',1,'tvm::meta_schedule::BuilderInputNode::target()'],['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html#a45a380cfa2edfd63056fb1a00f9aac35',1,'tvm::meta_schedule::TuningRecordNode::target()'],['../classtvm_1_1meta__schedule_1_1 [...]
-  ['target_4400',['Target',['../classtvm_1_1Target.html',1,'tvm']]],
-  ['target_2eh_4401',['target.h',['../target_8h.html',1,'']]],
-  ['target_5fburst_5fbytes_4402',['target_burst_bytes',['../structtvm_1_1PoolInfoPropertiesNode.html#aa1efe29e920f5b003894a2ae3304da17',1,'tvm::PoolInfoPropertiesNode::target_burst_bytes()'],['../structtvm_1_1PoolInfoNode.html#a747c03e3eafc83b053637b735244c6d7',1,'tvm::PoolInfoNode::target_burst_bytes()']]],
-  ['target_5fdata_5ftype_5f_4403',['target_data_type_',['../classtvm_1_1tir_1_1IndexDataTypeNormalizer.html#ac3433736903957d9062b6ebd62cc3a81',1,'tvm::tir::IndexDataTypeNormalizer']]],
-  ['target_5fhost_4404',['target_host',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#afe27bf8cb82dc8a1b6fffb9e5a3e6c20',1,'tvm::auto_scheduler::SearchTaskNode']]],
-  ['target_5finfo_2eh_4405',['target_info.h',['../target__info_8h.html',1,'']]],
-  ['target_5fiter_5fid_4406',['target_iter_id',['../classtvm_1_1auto__scheduler_1_1ComputeAtStepNode.html#a5691967a42b989a54cf8c40c1627988e',1,'tvm::auto_scheduler::ComputeAtStepNode']]],
-  ['target_5fkind_2eh_4407',['target_kind.h',['../target__kind_8h.html',1,'']]],
-  ['target_5fparser_4408',['target_parser',['../classtvm_1_1TargetKindNode.html#a713525ca63d41aacadec9db01d28f59f',1,'tvm::TargetKindNode']]],
-  ['target_5fshape_4409',['target_shape',['../structtvm_1_1relay_1_1AffineGridAttrs.html#a3e8a722c28015e4fa002da324fc6d5b3',1,'tvm::relay::AffineGridAttrs']]],
-  ['target_5fstage_5fid_4410',['target_stage_id',['../classtvm_1_1auto__scheduler_1_1ComputeAtStepNode.html#ad3c69aebb4b821c8e975ce0c58dc8bbb',1,'tvm::auto_scheduler::ComputeAtStepNode']]],
-  ['targetfeatures_4411',['TargetFeatures',['../namespacetvm.html#ace51b98f2d1eed6026fff207a3065749',1,'tvm']]],
-  ['targetinternal_4412',['TargetInternal',['../classtvm_1_1TargetKind.html#a7924ccb2fdea6074cca1978c062fb034',1,'tvm::TargetKind::TargetInternal()'],['../classtvm_1_1TargetKindNode.html#a7924ccb2fdea6074cca1978c062fb034',1,'tvm::TargetKindNode::TargetInternal()'],['../classtvm_1_1Target.html#a7924ccb2fdea6074cca1978c062fb034',1,'tvm::Target::TargetInternal()'],['../classtvm_1_1TargetNode.html#a7924ccb2fdea6074cca1978c062fb034',1,'tvm::TargetNode::TargetInternal()']]],
-  ['targetjson_4413',['TargetJSON',['../namespacetvm.html#ad27a76489f3ede07b5d3f0dd3f97d93c',1,'tvm']]],
-  ['targetkind_4414',['TargetKind',['../classtvm_1_1TargetKind.html#ae811f35863758fb8d1340b14df5cd5fb',1,'tvm::TargetKind::TargetKind()'],['../classtvm_1_1TargetKindRegEntry.html#a75150485a300a03a22d9edad8619cc25',1,'tvm::TargetKindRegEntry::TargetKind()'],['../classtvm_1_1TargetKind.html',1,'tvm::TargetKind']]],
-  ['targetkindattrmap_4415',['TargetKindAttrMap',['../classtvm_1_1TargetKindAttrMap.html#abfd817be636e60822a33429ba30056bd',1,'tvm::TargetKindAttrMap::TargetKindAttrMap()'],['../classtvm_1_1TargetKindAttrMap.html',1,'tvm::TargetKindAttrMap&lt; ValueType &gt;']]],
-  ['targetkindnode_4416',['TargetKindNode',['../classtvm_1_1TargetKindNode.html',1,'tvm']]],
-  ['targetkindregentry_4417',['TargetKindRegEntry',['../classtvm_1_1TargetKindNode.html#a737fff60e8ac6c7549b2f44097fffb48',1,'tvm::TargetKindNode::TargetKindRegEntry()'],['../classtvm_1_1TargetKind.html#a737fff60e8ac6c7549b2f44097fffb48',1,'tvm::TargetKind::TargetKindRegEntry()'],['../classtvm_1_1TargetKindRegEntry.html',1,'tvm::TargetKindRegEntry']]],
-  ['targetnode_4418',['TargetNode',['../classtvm_1_1TargetNode.html',1,'tvm']]],
-  ['targets_4419',['targets',['../structtvm_1_1PoolInfoNode.html#a45f1c1873709d0f38d2e9098b7e979cb',1,'tvm::PoolInfoNode']]],
-  ['targettag_4420',['TargetTag',['../classtvm_1_1TargetTagRegEntry.html#a3674b5e98ceed5b98827394a3bf5d452',1,'tvm::TargetTagRegEntry::TargetTag()'],['../classtvm_1_1TargetTag.html',1,'tvm::TargetTag']]],
-  ['targettagnode_4421',['TargetTagNode',['../classtvm_1_1TargetTagNode.html',1,'tvm']]],
-  ['targettagregentry_4422',['TargetTagRegEntry',['../classtvm_1_1TargetTag.html#a512632a5c1441a191697b6d42860e757',1,'tvm::TargetTag::TargetTagRegEntry()'],['../classtvm_1_1TargetTagNode.html#a512632a5c1441a191697b6d42860e757',1,'tvm::TargetTagNode::TargetTagRegEntry()'],['../classtvm_1_1TargetTagRegEntry.html',1,'tvm::TargetTagRegEntry']]],
-  ['task_4423',['task',['../classtvm_1_1auto__scheduler_1_1MeasureInputNode.html#a317754c1f83425e8e8e7ee5fa2746da4',1,'tvm::auto_scheduler::MeasureInputNode']]],
-  ['task_5finput_5fnames_4424',['task_input_names',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#a910e7713ef04a1e5e125ed195968202e',1,'tvm::auto_scheduler::SearchTaskNode']]],
-  ['task_5fname_4425',['task_name',['../classtvm_1_1meta__schedule_1_1ExtractedTaskNode.html#a92f0cdb010a27536d1c96a8a3f0d0237',1,'tvm::meta_schedule::ExtractedTaskNode::task_name()'],['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#a5cd36a027a0a4b1840bf3884948c6298',1,'tvm::meta_schedule::TuneContextNode::task_name()']]],
-  ['task_5fscheduler_2eh_4426',['task_scheduler.h',['../task__scheduler_8h.html',1,'']]],
-  ['task_5fweight_4427',['task_weight',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html#a9f02491bea4ee36a64fdddee48c03891',1,'tvm::meta_schedule::TaskRecordNode']]],
-  ['taskrecord_4428',['TaskRecord',['../classtvm_1_1meta__schedule_1_1TaskRecord.html#a4acf50c4e2fcad8746243cfd7125d4c5',1,'tvm::meta_schedule::TaskRecord::TaskRecord()'],['../classtvm_1_1meta__schedule_1_1TaskRecord.html',1,'tvm::meta_schedule::TaskRecord']]],
-  ['taskrecordnode_4429',['TaskRecordNode',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html',1,'tvm::meta_schedule']]],
-  ['tasks_5f_4430',['tasks_',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#a6fd355e770730f154d98d07d6555dc6f',1,'tvm::meta_schedule::TaskSchedulerNode']]],
-  ['taskscheduler_4431',['TaskScheduler',['../classtvm_1_1meta__schedule_1_1TaskScheduler.html',1,'tvm::meta_schedule']]],
-  ['taskschedulernode_4432',['TaskSchedulerNode',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html',1,'tvm::meta_schedule']]],
-  ['tcalleffectkind_4433',['TCallEffectKind',['../namespacetvm_1_1tir.html#a651e82a046f157a7d286b0985b3edb84',1,'tvm::tir']]],
-  ['tcodes_4434',['tcodes',['../structTVMArgs.html#a029da77fa4c55b57f3953291072c7bda',1,'TVMArgs']]],
-  ['te_4435',['te',['../namespacetvm_1_1te.html',1,'tvm']]],
-  ['tempexpr_4436',['TempExpr',['../classtvm_1_1relay_1_1TempExpr.html',1,'tvm::relay']]],
-  ['tempexprnode_4437',['TempExprNode',['../classtvm_1_1relay_1_1TempExprNode.html',1,'tvm::relay']]],
-  ['tensor_4438',['tensor',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a22de469ea5521ba12e14f1e8181bae56',1,'tvm::runtime::vm::Instruction']]],
-  ['tensor_4439',['Tensor',['../classtvm_1_1te_1_1Tensor.html#afc8d8e74d1c840359661b39514d6fecf',1,'tvm::te::Tensor::Tensor()'],['../classtvm_1_1te_1_1Tensor.html',1,'tvm::te::Tensor']]],
-  ['tensor_2eh_4440',['tensor.h',['../tensor_8h.html',1,'']]],
-  ['tensor_5fintrin_4441',['tensor_intrin',['../classtvm_1_1te_1_1IterVarAttrNode.html#a6a0d96bbebfd716f851b2ad01738cb3f',1,'tvm::te::IterVarAttrNode']]],
-  ['tensor_5fintrin_2eh_4442',['tensor_intrin.h',['../tensor__intrin_8h.html',1,'']]],
-  ['tensor_5ftype_4443',['tensor_type',['../classtvm_1_1relay_1_1ConstantNode.html#aba3a8fe009d2b14308d6f9e2768e4e71',1,'tvm::relay::ConstantNode']]],
-  ['tensor_5ftype_2eh_4444',['tensor_type.h',['../tensor__type_8h.html',1,'']]],
-  ['tensor_5futils_2eh_4445',['tensor_utils.h',['../tensor__utils_8h.html',1,'']]],
-  ['tensoraffinetype_4446',['TensorAffineType',['../classtvm_1_1TensorAffineType.html#a4928323cbc7280eada697209f444a982',1,'tvm::TensorAffineType::TensorAffineType()'],['../classtvm_1_1TensorAffineType.html',1,'tvm::TensorAffineType']]],
-  ['tensoraffinetypenode_4447',['TensorAffineTypeNode',['../classtvm_1_1TensorAffineTypeNode.html',1,'tvm']]],
-  ['tensorcomputeop_4448',['TensorComputeOp',['../classtvm_1_1te_1_1TensorComputeOp.html#ab75792cce8f5dfd9de03534475f317c0',1,'tvm::te::TensorComputeOp::TensorComputeOp()'],['../classtvm_1_1te_1_1TensorComputeOp.html',1,'tvm::te::TensorComputeOp']]],
-  ['tensorcomputeopnode_4449',['TensorComputeOpNode',['../classtvm_1_1te_1_1TensorComputeOpNode.html#a6448f522f6326aaed2d4137376c9fc78',1,'tvm::te::TensorComputeOpNode::TensorComputeOpNode()'],['../classtvm_1_1te_1_1TensorComputeOpNode.html',1,'tvm::te::TensorComputeOpNode']]],
-  ['tensordom_4450',['TensorDom',['../structtvm_1_1te_1_1TensorDom.html#a1c1c057115d9dbeec11ff717bf18430b',1,'tvm::te::TensorDom::TensorDom()'],['../structtvm_1_1te_1_1TensorDom.html',1,'tvm::te::TensorDom']]],
-  ['tensordot_4451',['tensordot',['../namespacetvm_1_1topi.html#ac1a0190228326bbe8b98622c9696285e',1,'tvm::topi::tensordot(const Tensor &amp;A, const tvm::te::Tensor &amp;B, Array&lt; PrimExpr &gt; A_axes, Array&lt; PrimExpr &gt; B_axes, std::string name=&quot;T_tensordot&quot;, std::string tag=kMatMul)'],['../namespacetvm_1_1topi.html#abf2712c8265393c0582c9c7d5ae22da1',1,'tvm::topi::tensordot(const Tensor &amp;A, const tvm::te::Tensor &amp;B, int axes=2, std::string name=&quot;T_tensord [...]
-  ['tensorinfo_4452',['TensorInfo',['../classtvm_1_1meta__schedule_1_1TensorInfo.html#a4e465d00677302c2b6727f9caa248ca3',1,'tvm::meta_schedule::TensorInfo::TensorInfo()'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfo.html#a5150502c6ab08c7878538188939958d1',1,'tvm::runtime::metadata::TensorInfo::TensorInfo()'],['../classtvm_1_1meta__schedule_1_1TensorInfo.html',1,'tvm::meta_schedule::TensorInfo'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfo.html',1,'tvm::runtime::metadata::Tens [...]
-  ['tensorinfonode_4453',['TensorInfoNode',['../classtvm_1_1runtime_1_1metadata_1_1TensorInfoNode.html#a515ed589d62fb49976baabcaaffd106a',1,'tvm::runtime::metadata::TensorInfoNode::TensorInfoNode()'],['../classtvm_1_1meta__schedule_1_1TensorInfoNode.html',1,'tvm::meta_schedule::TensorInfoNode'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfoNode.html',1,'tvm::runtime::metadata::TensorInfoNode']]],
-  ['tensorintrin_4454',['TensorIntrin',['../classtvm_1_1tir_1_1TensorIntrin.html#af5a94c7b098b56056e02eaf187e6871c',1,'tvm::tir::TensorIntrin::TensorIntrin()'],['../classtvm_1_1te_1_1TensorIntrin.html#a4ff4237911227bf80b3076906dc3b7ea',1,'tvm::te::TensorIntrin::TensorIntrin()'],['../classtvm_1_1te_1_1TensorIntrin.html',1,'tvm::te::TensorIntrin'],['../classtvm_1_1tir_1_1TensorIntrin.html',1,'tvm::tir::TensorIntrin']]],
-  ['tensorintrincall_4455',['TensorIntrinCall',['../classtvm_1_1te_1_1TensorIntrinCall.html#a91c10074ce6babeba78fe72a0aab4b52',1,'tvm::te::TensorIntrinCall::TensorIntrinCall()'],['../classtvm_1_1te_1_1TensorIntrinCall.html',1,'tvm::te::TensorIntrinCall']]],
-  ['tensorintrincallnode_4456',['TensorIntrinCallNode',['../classtvm_1_1te_1_1TensorIntrinCallNode.html',1,'tvm::te']]],
-  ['tensorintrinnode_4457',['TensorIntrinNode',['../classtvm_1_1te_1_1TensorIntrinNode.html#ad59e7f2b881fc798a8c64fd3959f929c',1,'tvm::te::TensorIntrinNode::TensorIntrinNode()'],['../classtvm_1_1te_1_1TensorIntrinNode.html',1,'tvm::te::TensorIntrinNode'],['../classtvm_1_1tir_1_1TensorIntrinNode.html',1,'tvm::tir::TensorIntrinNode']]],
-  ['tensorize_4458',['Tensorize',['../classtvm_1_1tir_1_1ScheduleNode.html#afa67abdb51145a49d42cd1464429d928',1,'tvm::tir::ScheduleNode::Tensorize(const BlockRV &amp;block_rv, const String &amp;intrin, bool preserve_unit_iters=true)=0'],['../classtvm_1_1tir_1_1ScheduleNode.html#a66983e2dde6aeb18b443616398fff8bf',1,'tvm::tir::ScheduleNode::Tensorize(const LoopRV &amp;loop_rv, const String &amp;intrin, bool preserve_unit_iters=true)=0']]],
-  ['tensorize_4459',['tensorize',['../classtvm_1_1te_1_1Stage.html#ab5fe485e1d730c36b096c060b8d2ef9d',1,'tvm::te::Stage']]],
-  ['tensornode_4460',['TensorNode',['../classtvm_1_1te_1_1TensorNode.html#a153569448cb1bf9d2924d35639c3b8b8',1,'tvm::te::TensorNode::TensorNode()'],['../classtvm_1_1te_1_1TensorNode.html',1,'tvm::te::TensorNode']]],
-  ['tensors_4461',['tensors',['../classtvm_1_1auto__scheduler_1_1ComputeDAGNode.html#afc71b9ecc0d6b82a5c2ab3250f01514b',1,'tvm::auto_scheduler::ComputeDAGNode::tensors()'],['../classtvm_1_1te_1_1TensorIntrinCallNode.html#a92b543750ea55b9cfd6852139e2ddbd6',1,'tvm::te::TensorIntrinCallNode::tensors()']]],
-  ['tensortype_4462',['TensorType',['../namespacetvm_1_1relay.html#a52c13723bba53f4953dfd10c34d480f8',1,'tvm::relay::TensorType()'],['../classtvm_1_1TensorType.html#ade4460e9b02b42757a83808dec478b87',1,'tvm::TensorType::TensorType()'],['../classtvm_1_1TensorType.html',1,'tvm::TensorType']]],
-  ['tensortypenode_4463',['TensorTypeNode',['../namespacetvm_1_1relay.html#acfeff91bc774a278a2e9f6103a04387d',1,'tvm::relay::TensorTypeNode()'],['../classtvm_1_1TensorTypeNode.html',1,'tvm::TensorTypeNode']]],
-  ['terminalrenderer_4464',['TerminalRenderer',['../namespacetvm.html#a69a0e3f559d3a3b98d42701117d93ed0',1,'tvm']]],
-  ['terminatesession_4465',['TerminateSession',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a4816ac92f5e3a35e5c9c7bf8a8d8f35a',1,'tvm::runtime::micro_rpc::Session']]],
-  ['terminatetask_4466',['TerminateTask',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#a00bbe38e31855fd4534c08bfb4edadc7',1,'tvm::meta_schedule::TaskSchedulerNode']]],
-  ['test_4467',['test',['../classtvm_1_1script_1_1printer_1_1AssertDocNode.html#ac721eddf39251116e55dae1715f04635',1,'tvm::script::printer::AssertDocNode::test()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#adebc0e955069bdaa543df3a908351532',1,'tvm::runtime::vm::Instruction::test()']]],
-  ['texture2d_5fload_4468',['texture2d_load',['../namespacetvm_1_1tir_1_1builtin.html#ae52feb3e7828262a1b8a31062642ae36',1,'tvm::tir::builtin']]],
-  ['texture2d_5fstore_4469',['texture2d_store',['../namespacetvm_1_1tir_1_1builtin.html#a6ea87b06a1eb1050b7503ef6cc1bab34',1,'tvm::tir::builtin']]],
-  ['textureflatten_4470',['TextureFlatten',['../namespacetvm_1_1tir_1_1transform.html#ab19311e5b5ae82ad85ec3fdd7ea4556e',1,'tvm::tir::transform']]],
-  ['tglobalsymbol_4471',['TGlobalSymbol',['../namespacetvm_1_1tir.html#a0a81e48fe15eb305b96806e55e01a8c1',1,'tvm::tir']]],
-  ['thashcode_4472',['THashCode',['../classtvm_1_1meta__schedule_1_1WorkloadNode.html#aad19a5cb8e2c623e355ca5e2a98c4c18',1,'tvm::meta_schedule::WorkloadNode::THashCode()'],['../classtvm_1_1meta__schedule_1_1Workload.html#a561f6589a2577bd7fa502284d4d22445',1,'tvm::meta_schedule::Workload::THashCode()']]],
-  ['then_4473',['Then',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a289367554cd7d7de1d6c5b3496d1f453',1,'tvm::script::ir_builder::tir']]],
-  ['then_5fbranch_4474',['then_branch',['../classtvm_1_1script_1_1printer_1_1IfDocNode.html#a9b27f10e02e7a3ed7b7e549cd013f01d',1,'tvm::script::printer::IfDocNode']]],
-  ['then_5fcase_4475',['then_case',['../classtvm_1_1tir_1_1IfThenElseNode.html#ae7245cad13c62b608897f8c7ba70b6f6',1,'tvm::tir::IfThenElseNode']]],
-  ['then_5fstmts_4476',['then_stmts',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1IfFrameNode.html#a6e9c33bfd81abcb7e56caa8f30f16a45',1,'tvm::script::ir_builder::tir::IfFrameNode']]],
-  ['thenframe_4477',['ThenFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ThenFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['thenframenode_4478',['ThenFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ThenFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['thread_5faxis_4479',['thread_axis',['../namespacetvm_1_1te.html#aacca360b75a7db1eb79785bff8c6e205',1,'tvm::te']]],
-  ['thread_5fbind_2eh_4480',['thread_bind.h',['../thread__bind_8h.html',1,'']]],
-  ['thread_5fbinding_4481',['thread_binding',['../classtvm_1_1tir_1_1ForNode.html#a0defab036f2eb9d431f549b8522050c8',1,'tvm::tir::ForNode']]],
-  ['thread_5fextent_4482',['thread_extent',['../namespacetvm_1_1tir_1_1attr.html#a399eed804ecc482a607f0f65f07b78dd',1,'tvm::tir::attr']]],
-  ['thread_5ftag_4483',['thread_tag',['../classtvm_1_1tir_1_1IterVarNode.html#a2addeb80da895ace5d42423cc959f862',1,'tvm::tir::IterVarNode']]],
-  ['threadbinding_4484',['ThreadBinding',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a77dbf4a5b04d1c68ef5aae7c546a9a39',1,'tvm::script::ir_builder::tir']]],
-  ['threadgroup_4485',['ThreadGroup',['../classtvm_1_1runtime_1_1threading_1_1ThreadGroup.html#ac5d6f6fc93271e3627201be7cfcde287',1,'tvm::runtime::threading::ThreadGroup::ThreadGroup()'],['../classtvm_1_1runtime_1_1threading_1_1ThreadGroup.html',1,'tvm::runtime::threading::ThreadGroup']]],
-  ['threading_4486',['threading',['../namespacetvm_1_1runtime_1_1threading.html',1,'tvm::runtime']]],
-  ['threading_5fbackend_2eh_4487',['threading_backend.h',['../threading__backend_8h.html',1,'']]],
-  ['threadsync_4488',['ThreadSync',['../namespacetvm_1_1tir_1_1transform.html#a0010cbc20ee308819046d77ad58b62cf',1,'tvm::tir::transform']]],
-  ['threefrygenerateattrs_4489',['ThreefryGenerateAttrs',['../structtvm_1_1relay_1_1ThreefryGenerateAttrs.html',1,'tvm::relay']]],
-  ['threshold_4490',['threshold',['../structtvm_1_1relay_1_1ProposalAttrs.html#ae8473a10238c2e4f21875b7e40cbdc10',1,'tvm::relay::ProposalAttrs::threshold()'],['../structtvm_1_1relay_1_1MultiBoxTransformLocAttrs.html#aad37e7285068b8ea93b27caaad5409d2',1,'tvm::relay::MultiBoxTransformLocAttrs::threshold()']]],
-  ['tile_4491',['tile',['../namespacetvm_1_1topi.html#a46fb3ad8c3324ee094fb356ebc07245f',1,'tvm::topi::tile()'],['../classtvm_1_1te_1_1Stage.html#a7a42ba3166c506fcacf596ac13553b67',1,'tvm::te::Stage::tile()']]],
-  ['tile_5fcols_4492',['tile_cols',['../structtvm_1_1relay_1_1ConvGemmWeightTransformAttrs.html#a0f0514e508ccf44050c577f6b6959c3f',1,'tvm::relay::ConvGemmWeightTransformAttrs']]],
-  ['tile_5frows_4493',['tile_rows',['../structtvm_1_1relay_1_1ConvGemmWeightTransformAttrs.html#ae4937a44ca013ca6a91a86794ef9fb17',1,'tvm::relay::ConvGemmWeightTransformAttrs']]],
-  ['tile_5fsize_4494',['tile_size',['../structtvm_1_1relay_1_1Conv2DWinogradAttrs.html#a3d89aa2ad84ad78f147b5bf068f89f62',1,'tvm::relay::Conv2DWinogradAttrs::tile_size()'],['../structtvm_1_1relay_1_1Conv3DWinogradAttrs.html#a67f940d2505ef19f2d8b4fd4cb1fd6d7',1,'tvm::relay::Conv3DWinogradAttrs::tile_size()'],['../structtvm_1_1relay_1_1ConvWinogradWeightTransformAttrs.html#a53c9fee4031f509f6cd8bf148843690f',1,'tvm::relay::ConvWinogradWeightTransformAttrs::tile_size()']]],
-  ['tileattrs_4495',['TileAttrs',['../structtvm_1_1relay_1_1TileAttrs.html',1,'tvm::relay']]],
-  ['time_5fcost_4496',['time_cost',['../classtvm_1_1auto__scheduler_1_1BuildResultNode.html#a7ed923852fb8afd025326a2579ed8423',1,'tvm::auto_scheduler::BuildResultNode']]],
-  ['timedscope_4497',['TimedScope',['../classtvm_1_1meta__schedule_1_1Profiler.html#a1d1dec276ea195395fc88dc0a140e6e5',1,'tvm::meta_schedule::Profiler']]],
-  ['timeout_4498',['timeout',['../classtvm_1_1auto__scheduler_1_1ProgramBuilderNode.html#a4a4966dcb5eac6a1bce53cfd4dd483e6',1,'tvm::auto_scheduler::ProgramBuilderNode::timeout()'],['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html#af92f4d4bc16b73a6664cdea6e2dfde81',1,'tvm::auto_scheduler::ProgramRunnerNode::timeout()']]],
-  ['timer_4499',['timer',['../structtvm_1_1runtime_1_1profiling_1_1CallFrame.html#a2315e3b8fa996924b42df643d07d86ee',1,'tvm::runtime::profiling::CallFrame']]],
-  ['timer_4500',['Timer',['../classtvm_1_1runtime_1_1Timer.html',1,'tvm::runtime']]],
-  ['timernode_4501',['TimerNode',['../classtvm_1_1runtime_1_1TimerNode.html',1,'tvm::runtime']]],
-  ['timestamp_4502',['timestamp',['../classtvm_1_1auto__scheduler_1_1MeasureResultNode.html#a8b19513d8b082d04eba41a9f353beee0',1,'tvm::auto_scheduler::MeasureResultNode']]],
-  ['tir_4503',['tir',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html',1,'tvm::script::ir_builder::tir'],['../namespacetvm_1_1tir.html',1,'tvm::tir']]],
-  ['tir_5fprefix_4504',['tir_prefix',['../classtvm_1_1PrinterConfigNode.html#a2148a7bfe39c1cc3c645da5a00fc122c',1,'tvm::PrinterConfigNode']]],
-  ['tirframe_4505',['TIRFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrame.html#a70e34dbed98c143d552dff607368d8a6',1,'tvm::script::ir_builder::tir::TIRFrame::TIRFrame()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrame.html',1,'tvm::script::ir_builder::tir::TIRFrame']]],
-  ['tirframenode_4506',['TIRFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['tnoncomputational_4507',['TNonComputational',['../namespacetvm_1_1relay.html#ab4c835287dfc5ec074ea8431bcebbb17',1,'tvm::relay']]],
-  ['toanormalform_4508',['ToANormalForm',['../namespacetvm_1_1relay_1_1transform.html#a8cb03cf00b2ddf25f183ab837cde713c',1,'tvm::relay::transform::ToANormalForm()'],['../namespacetvm_1_1relay_1_1transform.html#a256d361d98e061197dd5d9d1d457fb19',1,'tvm::relay::transform::ToANormalForm(const Expr &amp;expr)']]],
-  ['tobasicblocknormalform_4509',['ToBasicBlockNormalForm',['../namespacetvm_1_1relay_1_1transform.html#a6185cc89297d9216551db7a3816d5180',1,'tvm::relay::transform']]],
-  ['tocps_4510',['ToCPS',['../namespacetvm_1_1relay_1_1transform.html#ad90e4d6ac08b62ef553755e759d398fa',1,'tvm::relay::transform::ToCPS()'],['../namespacetvm_1_1relay.html#ab9548d99857f7698f4fe64d056dcd46a',1,'tvm::relay::ToCPS()']]],
-  ['todebugstring_4511',['ToDebugString',['../classtvm_1_1TargetNode.html#a41181a3757227725abc614e976b264ad',1,'tvm::TargetNode']]],
-  ['todevice_4512',['ToDevice',['../classtvm_1_1VirtualDeviceNode.html#ac3324e6e3ddb8938f7e98c9312b44c64',1,'tvm::VirtualDeviceNode']]],
-  ['todlpack_4513',['ToDLPack',['../classtvm_1_1runtime_1_1NDArray.html#ab7238434803d6a171318495fe46dc977',1,'tvm::runtime::NDArray']]],
-  ['todual_4514',['ToDual',['../classtvm_1_1tir_1_1LayoutAxis.html#a54c175b562828e2ea878aaaa5411930a',1,'tvm::tir::LayoutAxis']]],
-  ['tographnormalform_4515',['ToGraphNormalForm',['../namespacetvm_1_1relay_1_1transform.html#a2cfef0e02cca22f3e0e85e0a10a82f5b',1,'tvm::relay::transform']]],
-  ['top_5fk_4516',['top_k',['../structtvm_1_1relay_1_1NonMaximumSuppressionAttrs.html#ad9e2e281399a290410757e948784b93a',1,'tvm::relay::NonMaximumSuppressionAttrs']]],
-  ['topi_4517',['topi',['../namespacetvm_1_1topi.html',1,'tvm']]],
-  ['topi_5fdeclare_5funary_5fop_4518',['TOPI_DECLARE_UNARY_OP',['../elemwise_8h.html#af185de28568db73253bc391f202b6b02',1,'elemwise.h']]],
-  ['topi_5fdefine_5fbcast_5fop_4519',['TOPI_DEFINE_BCAST_OP',['../broadcast_8h.html#acb867d9c8250a748f59b5a47711b495a',1,'broadcast.h']]],
-  ['topi_5fdefine_5fop_5foverload_4520',['TOPI_DEFINE_OP_OVERLOAD',['../broadcast_8h.html#a7f3943bdaba8836ffa7d14457a7d730c',1,'broadcast.h']]],
-  ['topisstateful_4521',['TOpIsStateful',['../namespacetvm_1_1relay.html#afb8a8d4dd43830d4ce7d566abcd1c450',1,'tvm::relay']]],
-  ['topkattrs_4522',['TopKAttrs',['../structtvm_1_1relay_1_1TopKAttrs.html',1,'tvm::relay']]],
-  ['toppattern_4523',['TOpPattern',['../namespacetvm_1_1relay.html#a5dab2ddae20ac7564a81ab3a0a9aba76',1,'tvm::relay']]],
-  ['toprimal_4524',['ToPrimal',['../classtvm_1_1tir_1_1LayoutAxis.html#a45791b7980bfaf0791bdf8e97f1775b0',1,'tvm::tir::LayoutAxis']]],
-  ['topythonstring_4525',['ToPythonString',['../classtvm_1_1tir_1_1IndexMapNode.html#ac1d6436a2b756319e6005da64d5edebd',1,'tvm::tir::IndexMapNode']]],
-  ['torchruntimecreate_4526',['TorchRuntimeCreate',['../namespacetvm_1_1runtime_1_1contrib.html#a9f22008a54a14d817f75207666ef433d',1,'tvm::runtime::contrib']]],
-  ['tosizevar_4527',['ToSizeVar',['../classtvm_1_1tir_1_1AnyNode.html#a741b57467c114e83182910df14fca3be',1,'tvm::tir::AnyNode']]],
-  ['tostr_4528',['ToStr',['../classtvm_1_1auto__scheduler_1_1State.html#acc2b1cbaece2a0cd0d0d26f808b2331b',1,'tvm::auto_scheduler::State']]],
-  ['tostring_4529',['ToString',['../classtvm_1_1relay_1_1FeatureSet.html#ad496face33ee548cd323d80ff8e098d3',1,'tvm::relay::FeatureSet']]],
-  ['tosubordinate_4530',['ToSubordinate',['../classtvm_1_1tir_1_1LayoutAxis.html#a51c581fb0346938402eef49d12398d79',1,'tvm::tir::LayoutAxis']]],
-  ['total_5ftimer_4531',['total_timer',['../classtvm_1_1meta__schedule_1_1ProfilerNode.html#a97841f5cf4d1cf688fb38715507865ad',1,'tvm::meta_schedule::ProfilerNode']]],
-  ['totupletype_4532',['ToTupleType',['../namespacetvm_1_1relay.html#ae6757a008816e31cce4109e8dfc2bc16',1,'tvm::relay']]],
-  ['touchtask_4533',['TouchTask',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#af2471f9b70cc8bfd39c1e1f26310da85',1,'tvm::meta_schedule::TaskSchedulerNode']]],
-  ['tovar_4534',['ToVar',['../classtvm_1_1tir_1_1AnyNode.html#ae01ebbba2378afb6509a22de97f8fb30',1,'tvm::tir::AnyNode']]],
-  ['tparent_4535',['TParent',['../classtvm_1_1OpAttrMap.html#a316480ca7450209650fc1a62f7ce4a14',1,'tvm::OpAttrMap::TParent()'],['../classtvm_1_1TargetKindAttrMap.html#a37eb6bfb0d881cf897147b17ff7d3265',1,'tvm::TargetKindAttrMap::TParent()']]],
-  ['trace_4536',['Trace',['../classtvm_1_1tir_1_1Trace.html#af79bccf1bde25efea387bb1b82dacaa6',1,'tvm::tir::Trace::Trace(Array&lt; Instruction &gt; insts, Map&lt; Instruction, ObjectRef &gt; decisions)'],['../classtvm_1_1tir_1_1Trace.html#a8e09abffd0b9b1afac7b832cf16c142d',1,'tvm::tir::Trace::Trace()']]],
-  ['trace_4537',['trace',['../classtvm_1_1tir_1_1ScheduleNode.html#a953bca4123b5a758adfdcd65634a5f3b',1,'tvm::tir::ScheduleNode::trace()'],['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html#a8cc2d64f796593a1a774eef259f17b29',1,'tvm::meta_schedule::TuningRecordNode::trace()']]],
-  ['trace_4538',['Trace',['../classtvm_1_1tir_1_1Trace.html',1,'tvm::tir']]],
-  ['trace_2eh_4539',['trace.h',['../trace_8h.html',1,'']]],
-  ['traced_4540',['Traced',['../classtvm_1_1tir_1_1Schedule.html#a15eec0ab3d2caa651f68ad7c88ed31eb',1,'tvm::tir::Schedule']]],
-  ['tracenode_4541',['TraceNode',['../classtvm_1_1tir_1_1TraceNode.html',1,'tvm::tir']]],
-  ['trandstate_4542',['TRandState',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#aa184b0eb048b357df078c4ed5e0155e6',1,'tvm::meta_schedule::TuneContextNode::TRandState()'],['../classtvm_1_1meta__schedule_1_1TuneContext.html#af7fd90f18bece51fa3c212b73eca2f27',1,'tvm::meta_schedule::TuneContext::TRandState()'],['../classtvm_1_1support_1_1LinearCongruentialEngine.html#a4d3a3a94a3f3d2dfab4b5ccb1a7e97de',1,'tvm::support::LinearCongruentialEngine::TRandState()']]],
-  ['transform_4543',['Transform',['../classtvm_1_1te_1_1Transform.html#a51422cc2290f6b87fe61edb0db691125',1,'tvm::te::Transform']]],
-  ['transform_4544',['transform',['../namespacetvm_1_1relay_1_1qnn_1_1transform.html',1,'tvm::relay::qnn::transform'],['../namespacetvm_1_1relay_1_1transform.html',1,'tvm::relay::transform']]],
-  ['transform_4545',['Transform',['../classtvm_1_1te_1_1Transform.html',1,'tvm::te']]],
-  ['transform_4546',['transform',['../namespacetvm_1_1tir_1_1transform.html',1,'tvm::tir::transform'],['../namespacetvm_1_1tir_1_1usmp_1_1transform.html',1,'tvm::tir::usmp::transform'],['../namespacetvm_1_1transform.html',1,'tvm::transform']]],
-  ['transform_2eh_4547',['transform.h',['../topi_2transform_8h.html',1,'(Global Namespace)'],['../ir_2transform_8h.html',1,'(Global Namespace)'],['../relay_2attrs_2transform_8h.html',1,'(Global Namespace)'],['../relay_2qnn_2transform_8h.html',1,'(Global Namespace)'],['../relay_2transform_8h.html',1,'(Global Namespace)'],['../tir_2transform_8h.html',1,'(Global Namespace)'],['../tir_2usmp_2transform_8h.html',1,'(Global Namespace)']]],
-  ['transform_5flayout_4548',['transform_layout',['../classtvm_1_1te_1_1Stage.html#acec77eca6c9a4f1738a7c119d7ac2c2c',1,'tvm::te::Stage']]],
-  ['transform_5fstep_2eh_4549',['transform_step.h',['../transform__step_8h.html',1,'']]],
-  ['transform_5fsteps_4550',['transform_steps',['../classtvm_1_1auto__scheduler_1_1StateNode.html#a980f03e5744ed104cf231219a4895d5e',1,'tvm::auto_scheduler::StateNode']]],
-  ['transformblocklayout_4551',['TransformBlockLayout',['../classtvm_1_1tir_1_1ScheduleNode.html#a998b22e37ef63a697a984c8ebcc39ca2',1,'tvm::tir::ScheduleNode']]],
-  ['transformed_5fvariables_4552',['transformed_variables',['../classtvm_1_1te_1_1TransformNode.html#a034d22228133e50074502bfe1f495935',1,'tvm::te::TransformNode']]],
-  ['transformlayout_4553',['TransformLayout',['../classtvm_1_1tir_1_1ScheduleNode.html#af4943cc242cec3064a5127515c22219b',1,'tvm::tir::ScheduleNode']]],
-  ['transformmmabufferlayout_4554',['TransformMmaBufferLayout',['../namespacetvm_1_1tir_1_1transform.html#a1956116a1d54ea3f33c68b35792f720e',1,'tvm::tir::transform']]],
-  ['transformnode_4555',['TransformNode',['../classtvm_1_1te_1_1TransformNode.html',1,'tvm::te']]],
-  ['transitive_5fcomparisons_4556',['transitive_comparisons',['../classtvm_1_1arith_1_1Analyzer.html#a23e266bcb0d9b5ca6cc01c691271db72',1,'tvm::arith::Analyzer']]],
-  ['transitivecomparisonanalyzer_4557',['TransitiveComparisonAnalyzer',['../classtvm_1_1arith_1_1TransitiveComparisonAnalyzer.html',1,'tvm::arith']]],
-  ['transpose_4558',['transpose',['../namespacetvm_1_1topi.html#a1488ee98fd053e8b01b481f720df77fa',1,'tvm::topi']]],
-  ['transpose_5fa_4559',['transpose_a',['../structtvm_1_1relay_1_1BatchMatmulAttrs.html#aea3a5e93559981fc31122615d677d831',1,'tvm::relay::BatchMatmulAttrs::transpose_a()'],['../structtvm_1_1relay_1_1MatmulAttrs.html#a397aa1573fc7e0bc13930390298a22fc',1,'tvm::relay::MatmulAttrs::transpose_a()']]],
-  ['transpose_5fb_4560',['transpose_b',['../structtvm_1_1relay_1_1BatchMatmulAttrs.html#a05710acb6565be899d567f642a26639a',1,'tvm::relay::BatchMatmulAttrs::transpose_b()'],['../structtvm_1_1relay_1_1MatmulAttrs.html#afd2c250d27a093cd4afbdb6d6fa7e370',1,'tvm::relay::MatmulAttrs::transpose_b()']]],
-  ['transposeattrs_4561',['TransposeAttrs',['../structtvm_1_1relay_1_1TransposeAttrs.html',1,'tvm::relay']]],
-  ['traverseafterreduce_4562',['TraverseAfterReduce',['../namespacetvm_1_1topi_1_1cuda.html#a9009672dab261008d66d4e59d896935f',1,'tvm::topi::cuda']]],
-  ['traversebeforereduce_4563',['TraverseBeforeReduce',['../namespacetvm_1_1topi_1_1cuda.html#a9d51320c5b9bd9147018689b1b5f1279',1,'tvm::topi::cuda']]],
-  ['treshapeop_4564',['TReshapeOp',['../namespacetvm_1_1relay.html#a7c86efe3d58b34726786cfe79f616191',1,'tvm::relay']]],
-  ['triluattrs_4565',['TriluAttrs',['../structtvm_1_1relay_1_1TriluAttrs.html',1,'tvm::relay']]],
-  ['true_5fbranch_4566',['true_branch',['../classtvm_1_1relay_1_1IfPatternNode.html#ad292c855acc88167ab25acd200c01d48',1,'tvm::relay::IfPatternNode::true_branch()'],['../classtvm_1_1relay_1_1IfNode.html#abbda9637de8fac5d227ef7c305e5f34e',1,'tvm::relay::IfNode::true_branch()']]],
-  ['true_5foffset_4567',['true_offset',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a58e6e5eba0eba678b94273ef7deb1df2',1,'tvm::runtime::vm::Instruction']]],
-  ['true_5fvalue_4568',['true_value',['../classtvm_1_1tir_1_1SelectNode.html#a35e913f542bd1231b92adfc2d58938ef',1,'tvm::tir::SelectNode']]],
-  ['trunc_4569',['trunc',['../namespacetvm_1_1topi.html#a358fbedbd89b1225958eeff6230cf926',1,'tvm::topi::trunc()'],['../namespacetvm.html#a5472f967969aebee254e8e78f2396436',1,'tvm::trunc()']]],
-  ['trunc_5fdivide_4570',['trunc_divide',['../namespacetvm_1_1topi.html#aa705916cb0961abc998d4a4d9efac74b',1,'tvm::topi::trunc_divide(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;trunc_divide&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#acd9b99bd322a6d7339b6aea2fa7e49ae',1,'tvm::topi::trunc_divide(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B, std::string name=&quot;T_&quot; &quot;trunc_divide&quot;, s [...]
-  ['trunc_5fmod_4571',['trunc_mod',['../namespacetvm_1_1topi.html#a39441ae0b7b4003c05efb1ce8bafdd83',1,'tvm::topi::trunc_mod(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;trunc_mod&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#a69c65a01037ee4f8c785ddaf3f640dbd',1,'tvm::topi::trunc_mod(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B, std::string name=&quot;T_&quot; &quot;trunc_mod&quot;, std::string tag=kEl [...]
-  ['truncdiv_4572',['truncdiv',['../namespacetvm.html#aa71d2e133a1311571af1b1a61bcf956d',1,'tvm::truncdiv(int a, const PrimExpr &amp;b, Span span=Span())'],['../namespacetvm.html#acb5a87ae3bdd224a421df3f22a64338d',1,'tvm::truncdiv(const PrimExpr &amp;a, int b, Span span=Span())'],['../namespacetvm.html#ac62b239b36ad259a118bb20cb78a01a2',1,'tvm::truncdiv(PrimExpr a, PrimExpr b, Span span=Span())']]],
-  ['truncmod_4573',['truncmod',['../namespacetvm.html#a2428ea0e23bd9f7218aebd066bb2cd88',1,'tvm::truncmod(PrimExpr a, PrimExpr b, Span span=Span())'],['../namespacetvm.html#aa7e06644ed017169c5ce6829445ab754',1,'tvm::truncmod(const PrimExpr &amp;a, int b, Span span=Span())'],['../namespacetvm.html#aa388c5d23548438d00d64d0084e82391',1,'tvm::truncmod(int a, const PrimExpr &amp;b, Span span=Span())']]],
-  ['trycompare_4574',['TryCompare',['../classtvm_1_1arith_1_1TransitiveComparisonAnalyzer.html#ad00ac744402de84c7c3d6f2f46a6f7c7',1,'tvm::arith::TransitiveComparisonAnalyzer']]],
-  ['tscriptdtypeprintlocation_4575',['TScriptDtypePrintLocation',['../namespacetvm_1_1tir.html#ae85a9723d52c53bd767ae70236358289',1,'tvm::tir']]],
-  ['tscriptprintername_4576',['TScriptPrinterName',['../namespacetvm_1_1tir.html#a617163e8161d114528cfc73199d0cc3c',1,'tvm::tir']]],
-  ['tself_4577',['TSelf',['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#afaeae1b79f815895ab9ab09b444e7ba3',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;::TSelf()'],['../classtvm_1_1runtime_1_1PackedFuncSubObj.html#a87d39179dda6dd2c54112b80b9acc89d',1,'tvm::runtime::PackedFuncSubObj::TSelf()'],['../classtvm_1_1TypedEnvFunc_3_01R_07Args_8_8_8_08_4.html#a29adf4db79c99318db779a71f26acc0f',1,'tvm::TypedEnvFunc&lt; R(Args...)&gt;::TSelf()'],['../structtvm_1_1det [...]
-  ['tshapedatadependent_4578',['TShapeDataDependent',['../namespacetvm_1_1relay.html#a75edb2b00671af1cf3274a5975ecba77',1,'tvm::relay']]],
-  ['tune_4579',['Tune',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#aaf52b3eeb6010128d146cee6413ce8d3',1,'tvm::meta_schedule::TaskSchedulerNode::Tune()'],['../classtvm_1_1meta__schedule_1_1PyTaskSchedulerNode.html#a941c230f85e3e60d33b47eaacbfcd160',1,'tvm::meta_schedule::PyTaskSchedulerNode::Tune()']]],
-  ['tune_5fcontext_2eh_4580',['tune_context.h',['../tune__context_8h.html',1,'']]],
-  ['tunecontext_4581',['TuneContext',['../classtvm_1_1meta__schedule_1_1TuneContext.html#a9e88241c8f068410b475d443082fd52d',1,'tvm::meta_schedule::TuneContext::TuneContext()'],['../classtvm_1_1meta__schedule_1_1TuneContext.html',1,'tvm::meta_schedule::TuneContext']]],
-  ['tunecontextnode_4582',['TuneContextNode',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html',1,'tvm::meta_schedule']]],
-  ['tuningoptions_4583',['TuningOptions',['../classtvm_1_1auto__scheduler_1_1TuningOptions.html#ac869d3a3e888c77677b62d064fec0f6e',1,'tvm::auto_scheduler::TuningOptions::TuningOptions()'],['../classtvm_1_1auto__scheduler_1_1TuningOptions.html',1,'tvm::auto_scheduler::TuningOptions']]],
-  ['tuningoptionsnode_4584',['TuningOptionsNode',['../classtvm_1_1auto__scheduler_1_1TuningOptionsNode.html',1,'tvm::auto_scheduler']]],
-  ['tuningrecord_4585',['TuningRecord',['../classtvm_1_1meta__schedule_1_1TuningRecord.html#aa4699af50f91bda306e6c199766c4757',1,'tvm::meta_schedule::TuningRecord::TuningRecord()'],['../classtvm_1_1meta__schedule_1_1TuningRecord.html',1,'tvm::meta_schedule::TuningRecord']]],
-  ['tuningrecordnode_4586',['TuningRecordNode',['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html',1,'tvm::meta_schedule']]],
-  ['tuple_4587',['Tuple',['../classtvm_1_1relay_1_1Tuple.html#a284e236318986fd385a02aa68bd3e938',1,'tvm::relay::Tuple::Tuple()'],['../classtvm_1_1runtime_1_1ADT.html#a871e902541f0a7e550e74ae0c621994c',1,'tvm::runtime::ADT::Tuple()']]],
-  ['tuple_4588',['tuple',['../classtvm_1_1relay_1_1TupleGetItemPatternNode.html#a1fdd79b2fbbf3d7a14cea7e7efc38574',1,'tvm::relay::TupleGetItemPatternNode::tuple()'],['../classtvm_1_1relay_1_1TupleGetItemNode.html#aade4882f84d828975c689b5c6b1b68e6',1,'tvm::relay::TupleGetItemNode::tuple()']]],
-  ['tuple_4589',['Tuple',['../classtvm_1_1relay_1_1Tuple.html',1,'tvm::relay']]],
-  ['tupleaffinetype_4590',['TupleAffineType',['../classtvm_1_1TupleAffineType.html#afced247570984fed7386c147d02efb79',1,'tvm::TupleAffineType::TupleAffineType()'],['../classtvm_1_1TupleAffineType.html',1,'tvm::TupleAffineType']]],
-  ['tupleaffinetypenode_4591',['TupleAffineTypeNode',['../classtvm_1_1TupleAffineTypeNode.html',1,'tvm']]],
-  ['tupledoc_4592',['TupleDoc',['../classtvm_1_1script_1_1printer_1_1TupleDoc.html#ac3ec09b672b619376fa70cead671de78',1,'tvm::script::printer::TupleDoc::TupleDoc()'],['../classtvm_1_1script_1_1printer_1_1TupleDoc.html#a78ef6fe46a358a34df8cf8c797ce3d6e',1,'tvm::script::printer::TupleDoc::TupleDoc(Array&lt; ExprDoc &gt; elements)'],['../classtvm_1_1script_1_1printer_1_1TupleDoc.html',1,'tvm::script::printer::TupleDoc']]],
-  ['tupledocnode_4593',['TupleDocNode',['../classtvm_1_1script_1_1printer_1_1TupleDocNode.html',1,'tvm::script::printer']]],
-  ['tuplegetitem_4594',['TupleGetItem',['../classtvm_1_1relay_1_1TupleGetItem.html#a744f50341d00e504ae4d677723433b7c',1,'tvm::relay::TupleGetItem::TupleGetItem()'],['../classtvm_1_1relay_1_1TupleGetItem.html',1,'tvm::relay::TupleGetItem']]],
-  ['tuplegetitemnode_4595',['TupleGetItemNode',['../classtvm_1_1relay_1_1TupleGetItemNode.html',1,'tvm::relay']]],
-  ['tuplegetitempattern_4596',['TupleGetItemPattern',['../classtvm_1_1relay_1_1TupleGetItemPattern.html#adbf5ac16dedf9bb90603d0379b1cb6c8',1,'tvm::relay::TupleGetItemPattern::TupleGetItemPattern()'],['../classtvm_1_1relay_1_1TupleGetItemPattern.html',1,'tvm::relay::TupleGetItemPattern']]],
-  ['tuplegetitempatternnode_4597',['TupleGetItemPatternNode',['../classtvm_1_1relay_1_1TupleGetItemPatternNode.html',1,'tvm::relay']]],
-  ['tuplenode_4598',['TupleNode',['../classtvm_1_1relay_1_1TupleNode.html',1,'tvm::relay']]],
-  ['tuplepattern_4599',['TuplePattern',['../classtvm_1_1relay_1_1TuplePattern.html#a5556053a3dea336f75706644c463d996',1,'tvm::relay::TuplePattern::TuplePattern()'],['../classtvm_1_1relay_1_1TuplePattern.html',1,'tvm::relay::TuplePattern']]],
-  ['tuplepatternnode_4600',['TuplePatternNode',['../classtvm_1_1relay_1_1TuplePatternNode.html',1,'tvm::relay']]],
-  ['tupletype_4601',['TupleType',['../classtvm_1_1TupleType.html#ad9d079fcb60dcafad7048c77b3758f37',1,'tvm::TupleType::TupleType()'],['../namespacetvm_1_1relay.html#ace9102638dffea6747ae9fa9be9f00f2',1,'tvm::relay::TupleType()'],['../classtvm_1_1TupleType.html',1,'tvm::TupleType']]],
-  ['tupletypenode_4602',['TupleTypeNode',['../classtvm_1_1TupleTypeNode.html#a1e9cbe8e91816366c181fb888ddc18d9',1,'tvm::TupleTypeNode::TupleTypeNode()'],['../namespacetvm_1_1relay.html#a916609c894ac1000b66f9582f338d965',1,'tvm::relay::TupleTypeNode()'],['../classtvm_1_1TupleTypeNode.html',1,'tvm::TupleTypeNode']]],
-  ['tvectorizable_4603',['TVectorizable',['../namespacetvm_1_1tir.html#a0c5d42cf669b8ceeb42aa13d95cb5262',1,'tvm::tir']]],
-  ['tvm_4604',['tvm',['../namespacetvm.html',1,'']]],
-  ['tvm_5faccess_5fptr_4605',['tvm_access_ptr',['../namespacetvm_1_1tir_1_1builtin.html#a3e84c73dbbcf7f97008ac84c169feae9',1,'tvm::tir::builtin']]],
-  ['tvm_5fadd_5ffileline_4606',['TVM_ADD_FILELINE',['../registry_8h.html#a4658d181c38630e35f37c98fd1b0bde9',1,'registry.h']]],
-  ['tvm_5fattr_5ffield_4607',['TVM_ATTR_FIELD',['../ir_2attrs_8h.html#a578da113eb199bad72e26c03ad24832f',1,'attrs.h']]],
-  ['tvm_5fattribute_5funused_4608',['TVM_ATTRIBUTE_UNUSED',['../c__runtime__api_8h.html#a744c2fdb7ff7dc43070c1841a5873c84',1,'TVM_ATTRIBUTE_UNUSED():&#160;c_runtime_api.h'],['../object_8h.html#a744c2fdb7ff7dc43070c1841a5873c84',1,'TVM_ATTRIBUTE_UNUSED():&#160;object.h']]],
-  ['tvm_5faverage_5ffunction_5fname_5fstrlen_5fbytes_4609',['TVM_AVERAGE_FUNCTION_NAME_STRLEN_BYTES',['../func__registry_8h.html#a39dff58b9fce1890c7ffc208e4971ccc',1,'func_registry.h']]],
-  ['tvm_5fbmma_5fsync_4610',['tvm_bmma_sync',['../namespacetvm_1_1tir_1_1builtin.html#a8d5e173f1a16740172a9ad6f2aa85a08',1,'tvm::tir::builtin']]],
-  ['tvm_5fcall_5fcpacked_4611',['tvm_call_cpacked',['../namespacetvm_1_1tir_1_1builtin.html#a1e35988d61914016c49d3cf8a5af3f54',1,'tvm::tir::builtin']]],
-  ['tvm_5fcall_5fcpacked_5flowered_4612',['tvm_call_cpacked_lowered',['../namespacetvm_1_1tir_1_1builtin.html#a3131992ea9acd964fb7d3ca782d74805',1,'tvm::tir::builtin']]],
-  ['tvm_5fcall_5fpacked_4613',['tvm_call_packed',['../namespacetvm_1_1tir_1_1builtin.html#a2c13c6e4b2f92e17f357665f9f11736c',1,'tvm::tir::builtin']]],
-  ['tvm_5fcall_5fpacked_5flowered_4614',['tvm_call_packed_lowered',['../namespacetvm_1_1tir_1_1builtin.html#a0117a4a76af962576a6a3bbf32f97b36',1,'tvm::tir::builtin']]],
-  ['tvm_5fcall_5ftrace_5fpacked_4615',['tvm_call_trace_packed',['../namespacetvm_1_1tir_1_1builtin.html#ac54288cc9f1fee8c26db9bd87ac320ee',1,'tvm::tir::builtin']]],
-  ['tvm_5fcall_5ftrace_5fpacked_5flowered_4616',['tvm_call_trace_packed_lowered',['../namespacetvm_1_1tir_1_1builtin.html#aa6e23eac98abb8378b9837011a5c04b5',1,'tvm::tir::builtin']]],
-  ['tvm_5fcheck_5freturn_4617',['tvm_check_return',['../namespacetvm_1_1tir_1_1builtin.html#a23897d81faa46ac29ab0d6d8fc618707',1,'tvm::tir::builtin']]],
-  ['tvm_5fcheck_5ftype_5fcode_4618',['TVM_CHECK_TYPE_CODE',['../packed__func_8h.html#ad1e150bb815d5cb964e15d4fa7b5fd93',1,'packed_func.h']]],
-  ['tvm_5fcontext_5fid_4619',['tvm_context_id',['../namespacetvm_1_1tir_1_1builtin.html#a21c2ad8b095dcbefa786394981ea0b71',1,'tvm::tir::builtin']]],
-  ['tvm_5fcrt_5ferror_5fcategory_5fmsk_4620',['TVM_CRT_ERROR_CATEGORY_Msk',['../error__codes_8h.html#ae678431f8a855cdb34d534326c555347',1,'error_codes.h']]],
-  ['tvm_5fcrt_5ferror_5fcategory_5fpos_4621',['TVM_CRT_ERROR_CATEGORY_Pos',['../error__codes_8h.html#a30d468bc8b5e5f220808f03d5cd8c449',1,'error_codes.h']]],
-  ['tvm_5fcrt_5ferror_5fcategory_5ft_4622',['tvm_crt_error_category_t',['../error__codes_8h.html#afb9e14425d21e5e28a3223f7697a0808',1,'error_codes.h']]],
-  ['tvm_5fcrt_5ferror_5fcode_5fmsk_4623',['TVM_CRT_ERROR_CODE_Msk',['../error__codes_8h.html#a8fb17aed4ed7d554247beed0a56ac11e',1,'error_codes.h']]],
-  ['tvm_5fcrt_5ferror_5fcode_5fpos_4624',['TVM_CRT_ERROR_CODE_Pos',['../error__codes_8h.html#a58117d4a7d0c4911d8813985dde1d41c',1,'error_codes.h']]],
-  ['tvm_5fcrt_5ferror_5ft_4625',['tvm_crt_error_t',['../error__codes_8h.html#a77b4da0131882f0c9b887a47dd34467a',1,'error_codes.h']]],
-  ['tvm_5fdeclare_5fattrs_4626',['TVM_DECLARE_ATTRS',['../structtvm_1_1relay_1_1TransposeAttrs.html#a99db019c5b5fe6ac6fa59f566a72bad6',1,'tvm::relay::TransposeAttrs::TVM_DECLARE_ATTRS()'],['../structtvm_1_1relay_1_1CastAttrs.html#a460996bb6ac2eb42602b245721a4b2d3',1,'tvm::relay::CastAttrs::TVM_DECLARE_ATTRS()'],['../structtvm_1_1relay_1_1ReshapeAttrs.html#adb72dc00148149948a282e4fdbd1cd28',1,'tvm::relay::ReshapeAttrs::TVM_DECLARE_ATTRS()'],['../structtvm_1_1relay_1_1ReshapeLikeAttrs.html [...]
-  ['tvm_5fdeclare_5fbase_5fobject_5finfo_4627',['TVM_DECLARE_BASE_OBJECT_INFO',['../classtvm_1_1relay_1_1TempExprNode.html#a96299d26d32ecba0f0975ed531d8ee4b',1,'tvm::relay::TempExprNode::TVM_DECLARE_BASE_OBJECT_INFO()'],['../classtvm_1_1relay_1_1DFPatternNode.html#a1da73a611341e9f3fe252d9fc3b8e6e8',1,'tvm::relay::DFPatternNode::TVM_DECLARE_BASE_OBJECT_INFO()'],['../classtvm_1_1relay_1_1DFPatternCallbackNode.html#a0b09756dcd17877284e01d1a90a31d00',1,'tvm::relay::DFPatternCallbackNode::TVM [...]
-  ['tvm_5fdeclare_5ffinal_5fobject_5finfo_4628',['TVM_DECLARE_FINAL_OBJECT_INFO',['../classtvm_1_1script_1_1printer_1_1ListDocNode.html#a57a2c6b08129ee35fc84bd00f0798f9a',1,'tvm::script::printer::ListDocNode::TVM_DECLARE_FINAL_OBJECT_INFO()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1RealizeFrameNode.html#a08a429ac84e25a3399906e3c15fb7831',1,'tvm::script::ir_builder::tir::RealizeFrameNode::TVM_DECLARE_FINAL_OBJECT_INFO()'],['../classtvm_1_1script_1_1printer_1_1TupleDocNode.html#ad [...]
-  ['tvm_5fdeclare_5fintrin_5fbinary_4629',['TVM_DECLARE_INTRIN_BINARY',['../tir_2op_8h.html#abc43baea1e8f1c876bfa743a063a5928',1,'op.h']]],
-  ['tvm_5fdeclare_5fintrin_5funary_4630',['TVM_DECLARE_INTRIN_UNARY',['../tir_2op_8h.html#a032e3ae6824990aad98b8992f90a83c9',1,'op.h']]],
-  ['tvm_5fdefine_5fassign_5fop_5foverload_4631',['TVM_DEFINE_ASSIGN_OP_OVERLOAD',['../tir_2op_8h.html#a34c733b88658efba336e09ccd85e576c',1,'op.h']]],
-  ['tvm_5fdefine_5fbinop_5fconst_5fval_5foverload_4632',['TVM_DEFINE_BINOP_CONST_VAL_OVERLOAD',['../tir_2op_8h.html#aabcf618a12e97c38fccecf7351392154',1,'op.h']]],
-  ['tvm_5fdefine_5fbinop_5fconst_5fval_5foverload_5fspanned_4633',['TVM_DEFINE_BINOP_CONST_VAL_OVERLOAD_SPANNED',['../tir_2op_8h.html#a8fc539385c2bb11740d0a6bef19be7b8',1,'op.h']]],
-  ['tvm_5fdefine_5fdefault_5fcopy_5fmove_5fand_5fassign_4634',['TVM_DEFINE_DEFAULT_COPY_MOVE_AND_ASSIGN',['../object_8h.html#a06b57185e07e0065383528eb655fe4d8',1,'TVM_DEFINE_DEFAULT_COPY_MOVE_AND_ASSIGN():&#160;object.h'],['../classtvm_1_1auto__scheduler_1_1RandomModel.html#a6ac9fdc1f2b660d552e605c658f53351',1,'tvm::auto_scheduler::RandomModel::TVM_DEFINE_DEFAULT_COPY_MOVE_AND_ASSIGN()']]],
-  ['tvm_5fdefine_5fint_5fop_5fconst_5fval_5foverload_4635',['TVM_DEFINE_INT_OP_CONST_VAL_OVERLOAD',['../tir_2op_8h.html#a0ad19625381aae20ca7a930260089c47',1,'op.h']]],
-  ['tvm_5fdefine_5fint_5fop_5fconst_5fval_5foverload_5fspanned_4636',['TVM_DEFINE_INT_OP_CONST_VAL_OVERLOAD_SPANNED',['../tir_2op_8h.html#ac211367ff4e2382caf322a3903f8c629',1,'op.h']]],
-  ['tvm_5fdefine_5flogical_5fop_5fconst_5fval_5foverload_4637',['TVM_DEFINE_LOGICAL_OP_CONST_VAL_OVERLOAD',['../tir_2op_8h.html#ab6a17993efa67183ba992dac29284c80',1,'op.h']]],
-  ['tvm_5fdefine_5flogical_5fop_5fconst_5fval_5foverload_5fspanned_4638',['TVM_DEFINE_LOGICAL_OP_CONST_VAL_OVERLOAD_SPANNED',['../tir_2op_8h.html#a29826503ae15ba83c6bc8e6cbe218a69',1,'op.h']]],
-  ['tvm_5fdefine_5fmutable_5fnotnullable_5fobject_5fref_5fmethods_4639',['TVM_DEFINE_MUTABLE_NOTNULLABLE_OBJECT_REF_METHODS',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1WhileFrame.html#ab4db6cc6a2a1f73abe491e04acab144a',1,'tvm::script::ir_builder::tir::WhileFrame::TVM_DEFINE_MUTABLE_NOTNULLABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AssertFrame.html#a9172bd20b60e2103454328c9724e5329',1,'tvm::script::ir_builder::tir::AssertFrame::TVM_DEFINE_MUTABLE_NO [...]
-  ['tvm_5fdefine_5fmutable_5fobject_5fref_5fmethods_4640',['TVM_DEFINE_MUTABLE_OBJECT_REF_METHODS',['../classtvm_1_1runtime_1_1metadata_1_1MetadataArray.html#afc026f29b2a2fa475690d006e6b9945e',1,'tvm::runtime::metadata::MetadataArray::TVM_DEFINE_MUTABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1runtime_1_1metadata_1_1MetadataBase.html#a98bb2f373db5ffafce12493806cc53a9',1,'tvm::runtime::metadata::MetadataBase::TVM_DEFINE_MUTABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1runtime_1_1metadata_1_ [...]
-  ['tvm_5fdefine_5fnotnullable_5fobject_5fref_5fmethods_4641',['TVM_DEFINE_NOTNULLABLE_OBJECT_REF_METHODS',['../classtvm_1_1script_1_1printer_1_1ExprStmtDoc.html#ab5a0a5ff5c1be64b00ab38ef8d47406f',1,'tvm::script::printer::ExprStmtDoc::TVM_DEFINE_NOTNULLABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1script_1_1printer_1_1ScopeDoc.html#a26980ac9d335ce9b88e4e2c3459fe1b1',1,'tvm::script::printer::ScopeDoc::TVM_DEFINE_NOTNULLABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1script_1_1printer_1_1ForDo [...]
-  ['tvm_5fdefine_5fobject_5fref_5fcow_5fmethod_4642',['TVM_DEFINE_OBJECT_REF_COW_METHOD',['../classtvm_1_1tir_1_1Ramp.html#a483517d064c72e5cdd2691a8f5bffe78',1,'tvm::tir::Ramp::TVM_DEFINE_OBJECT_REF_COW_METHOD()'],['../classtvm_1_1tir_1_1Broadcast.html#ac66be95e763508c9f5ad8e190229282f',1,'tvm::tir::Broadcast::TVM_DEFINE_OBJECT_REF_COW_METHOD()'],['../classtvm_1_1tir_1_1Let.html#a73126fef9985a8378e4870ba7b0f6338',1,'tvm::tir::Let::TVM_DEFINE_OBJECT_REF_COW_METHOD()'],['../classtvm_1_1tir [...]
-  ['tvm_5fdefine_5fobject_5fref_5fmethods_4643',['TVM_DEFINE_OBJECT_REF_METHODS',['../classtvm_1_1te_1_1TensorIntrin.html#a0613d0606d62717cfc90a3db766d0050',1,'tvm::te::TensorIntrin::TVM_DEFINE_OBJECT_REF_METHODS()'],['../classtvm_1_1te_1_1Tensor.html#af7962b812b3df90676471d0b3754908f',1,'tvm::te::Tensor::TVM_DEFINE_OBJECT_REF_METHODS()'],['../classtvm_1_1te_1_1SpecializedCondition.html#ae220d758fa35788a78e1c61089d746c0',1,'tvm::te::SpecializedCondition::TVM_DEFINE_OBJECT_REF_METHODS()'] [...]
-  ['tvm_5fdescribe_4644',['TVM_DESCRIBE',['../registry_8h.html#aa26ce86513967e27c7571bf70cb8a57e',1,'registry.h']]],
-  ['tvm_5fdev_5fmblob_4645',['tvm_dev_mblob',['../namespacetvm_1_1runtime_1_1symbol.html#a316b327224938ffb2a73a9c4432d1da9',1,'tvm::runtime::symbol']]],
-  ['tvm_5fdispatch_5fmap_4646',['TVM_DISPATCH_MAP',['../map_8h.html#a1d45968795b6054f63824cb9c5512d5a',1,'map.h']]],
-  ['tvm_5fdispatch_5fmap_5fconst_4647',['TVM_DISPATCH_MAP_CONST',['../map_8h.html#a04a1af748cfbdfdf0a5707c02c55652e',1,'map.h']]],
-  ['tvm_5fdll_5fexport_5fpacked_5ffunc_4648',['TVM_DLL_EXPORT_PACKED_FUNC',['../packed__func_8h.html#aee75208638f500a4ce5e726c9d87d8ff',1,'packed_func.h']]],
-  ['tvm_5fdll_5fexport_5ftyped_5ffunc_4649',['TVM_DLL_EXPORT_TYPED_FUNC',['../packed__func_8h.html#ae5b445392a64daa55bef53b4cf53025c',1,'packed_func.h']]],
-  ['tvm_5fentrypoint_5fsuffix_4650',['tvm_entrypoint_suffix',['../namespacetvm_1_1runtime_1_1symbol.html#a00aad7edbef6fd3a1e2f6f94582fea8d',1,'tvm::runtime::symbol']]],
-  ['tvm_5fexecutor_5fregister_5fvar_5fdef_4651',['TVM_EXECUTOR_REGISTER_VAR_DEF',['../executor_8h.html#adc8dc40ffafbd72a2217bba13024acb3',1,'executor.h']]],
-  ['tvm_5ffill_5ffragment_4652',['tvm_fill_fragment',['../namespacetvm_1_1tir_1_1builtin.html#a616992eb291d701cac42c670d83c206c',1,'tvm::tir::builtin']]],
-  ['tvm_5ffunc_5freg_5fvar_5fdef_4653',['TVM_FUNC_REG_VAR_DEF',['../registry_8h.html#a11b8ea3ea0ce4b6cd2d7be2d5a5627b8',1,'registry.h']]],
-  ['tvm_5ffunction_5findex_5ft_4654',['tvm_function_index_t',['../func__registry_8h.html#a1d8ab7ca0c7903c699f805e8e9aa395a',1,'func_registry.h']]],
-  ['tvm_5fgeneric_5ffunc_5freg_5fvar_5fdef_4655',['TVM_GENERIC_FUNC_REG_VAR_DEF',['../generic__func_8h.html#a10648c82893ca73b419af99573860159',1,'generic_func.h']]],
-  ['tvm_5fget_5fc_5fmetadata_4656',['tvm_get_c_metadata',['../namespacetvm_1_1runtime_1_1symbol.html#aff7a6c169b32757c856f6fc505316361',1,'tvm::runtime::symbol']]],
-  ['tvm_5fglobal_5fbarrier_5fkinit_4657',['tvm_global_barrier_kinit',['../namespacetvm_1_1tir_1_1builtin.html#ac8e7bc86b8fa81453291ae5299062001',1,'tvm::tir::builtin']]],
-  ['tvm_5fglobal_5fbarrier_5fstate_4658',['tvm_global_barrier_state',['../namespacetvm_1_1runtime_1_1symbol.html#ac21d436bd65464b49858ba4bc55fb3ec',1,'tvm::runtime::symbol']]],
-  ['tvm_5findex_5ft_4659',['tvm_index_t',['../c__runtime__api_8h.html#ace8007daffd9f2c6d954c24d870bfcc4',1,'c_runtime_api.h']]],
-  ['tvm_5finst_5fkind_5fregister_5fvar_5fdef_4660',['TVM_INST_KIND_REGISTER_VAR_DEF',['../instruction_8h.html#a013bf2d8c6153ab1ed207f9639cfffd2',1,'instruction.h']]],
-  ['tvm_5fload_5fmatrix_5fsync_4661',['tvm_load_matrix_sync',['../namespacetvm_1_1tir_1_1builtin.html#a93b4dd000c31a1d35f493786380d108d',1,'tvm::tir::builtin']]],
-  ['tvm_5flookup_5flinked_5fparam_4662',['tvm_lookup_linked_param',['../namespacetvm_1_1runtime_1_1symbol.html#a209395ae8f4fe3b2a46e2b4a205e4772',1,'tvm::runtime::symbol']]],
-  ['tvm_5fmap_5ffail_5fif_5fchanged_4663',['TVM_MAP_FAIL_IF_CHANGED',['../map_8h.html#a06c210bfb319f0bf0e436f4542e40369',1,'map.h']]],
-  ['tvm_5fmetadata_5fversion_4664',['TVM_METADATA_VERSION',['../metadata_8h.html#a700f5dfbc1a51a0186d4c5a298ea3fd6',1,'metadata.h']]],
-  ['tvm_5fmicro_5fruntime_5fapi_5fapi_4665',['TVM_MICRO_RUNTIME_API_API',['../microtvm__runtime_8h.html#a82bcf22ac3cc35341edf8624944d2b6e',1,'microtvm_runtime.h']]],
-  ['tvm_5fmma_5fsync_4666',['tvm_mma_sync',['../namespacetvm_1_1tir_1_1builtin.html#a0cbd267877168afd5bbea35f0e5d70fe',1,'tvm::tir::builtin']]],
-  ['tvm_5fmodule_5fctx_4667',['tvm_module_ctx',['../namespacetvm_1_1runtime_1_1symbol.html#aeebcec34a8e6cc24c495710aedea5de9',1,'tvm::runtime::symbol']]],
-  ['tvm_5fmodule_5findex_5ft_4668',['tvm_module_index_t',['../func__registry_8h.html#a42d7461ea18444226216141298f1c24c',1,'func_registry.h']]],
-  ['tvm_5fmodule_5fmain_4669',['tvm_module_main',['../namespacetvm_1_1runtime_1_1symbol.html#abfc81fd15b5d8087b956a8cef4152bf4',1,'tvm::runtime::symbol']]],
-  ['tvm_5fobject_5fatomic_5fref_5fcounter_4670',['TVM_OBJECT_ATOMIC_REF_COUNTER',['../object_8h.html#a5f80f888f69ef72c51ba04cac65d033c',1,'object.h']]],
-  ['tvm_5fobject_5fenable_5fscript_5fprinter_4671',['TVM_OBJECT_ENABLE_SCRIPT_PRINTER',['../classtvm_1_1PrimExprNode.html#a418d4b34295c08f6d03ac70667e4e4bb',1,'tvm::PrimExprNode::TVM_OBJECT_ENABLE_SCRIPT_PRINTER()'],['../script__printer_8h.html#aeb27774525547e9a0656235a3506bbed',1,'TVM_OBJECT_ENABLE_SCRIPT_PRINTER():&#160;script_printer.h'],['../classtvm_1_1tir_1_1BufferNode.html#ab024a51cd27286a2b1300012736f81d3',1,'tvm::tir::BufferNode::TVM_OBJECT_ENABLE_SCRIPT_PRINTER()'],['../classtv [...]
-  ['tvm_5fobject_5freg_5fvar_5fdef_4672',['TVM_OBJECT_REG_VAR_DEF',['../object_8h.html#ac63db332fce1a32738566c8880f0d425',1,'object.h']]],
-  ['tvm_5fop_5fregister_5fvar_5fdef_4673',['TVM_OP_REGISTER_VAR_DEF',['../ir_2op_8h.html#ab5474fc13be62edade1979771c0c2a49',1,'op.h']]],
-  ['tvm_5fparam_5fprefix_4674',['tvm_param_prefix',['../namespacetvm_1_1runtime_1_1symbol.html#ae37724090c492bb8cdf40f967eef111c',1,'tvm::runtime::symbol']]],
-  ['tvm_5fpass_5fctx_5fconfig_5fvar_5fdef_4675',['TVM_PASS_CTX_CONFIG_VAR_DEF',['../ir_2transform_8h.html#af18caf4263a1f9e5f8f300c4aae5e094',1,'transform.h']]],
-  ['tvm_5fprepare_5fglobal_5fbarrier_4676',['tvm_prepare_global_barrier',['../namespacetvm_1_1runtime_1_1symbol.html#af4a5fe87c6e95d6b082a2be1960efbe5',1,'tvm::runtime::symbol']]],
-  ['tvm_5freflection_5freg_5fvar_5fdef_4677',['TVM_REFLECTION_REG_VAR_DEF',['../reflection_8h.html#a889418628421484559c553a6636f3dcf',1,'reflection.h']]],
-  ['tvm_5freg_5ffunc_5fvar_5fdef_4678',['TVM_REG_FUNC_VAR_DEF',['../functor_8h.html#a0e447ad7c49540ce24c2d6e2d89f4eba',1,'functor.h']]],
-  ['tvm_5fregister_5fexecutor_4679',['TVM_REGISTER_EXECUTOR',['../executor_8h.html#abbb3f564f6e8f6ba09ded4d4bdad4754',1,'executor.h']]],
-  ['tvm_5fregister_5fgeneric_5ffunc_4680',['TVM_REGISTER_GENERIC_FUNC',['../generic__func_8h.html#acab90de01c5afdb5bf990640a676232b',1,'generic_func.h']]],
-  ['tvm_5fregister_5fglobal_4681',['TVM_REGISTER_GLOBAL',['../registry_8h.html#a10f047b126505a3deda0b7f68718fa37',1,'registry.h']]],
-  ['tvm_5fregister_5finst_5fkind_4682',['TVM_REGISTER_INST_KIND',['../instruction_8h.html#a67ee92e4c9644b9ea19b6aaae1a62bbe',1,'instruction.h']]],
-  ['tvm_5fregister_5fnode_5ftype_4683',['TVM_REGISTER_NODE_TYPE',['../reflection_8h.html#a12fd7a4323c2f8ad77d48448009f2129',1,'reflection.h']]],
-  ['tvm_5fregister_5fobject_5ftype_4684',['TVM_REGISTER_OBJECT_TYPE',['../object_8h.html#ae1029068e5e7608770038906ca30db09',1,'object.h']]],
-  ['tvm_5fregister_5fop_4685',['TVM_REGISTER_OP',['../ir_2op_8h.html#ab695572ca7d8604832410ac4e5ea5713',1,'op.h']]],
-  ['tvm_5fregister_5fpass_5fconfig_5foption_4686',['TVM_REGISTER_PASS_CONFIG_OPTION',['../ir_2transform_8h.html#a5576002bc3ea1141558d1afa1aca33b6',1,'transform.h']]],
-  ['tvm_5fregister_5freflection_5fvtable_4687',['TVM_REGISTER_REFLECTION_VTABLE',['../reflection_8h.html#ad7093e57035dde5910eb7cdb2f08c64f',1,'reflection.h']]],
-  ['tvm_5fregister_5fruntime_4688',['TVM_REGISTER_RUNTIME',['../runtime_8h.html#af7309f599aa010b9064119e22ec91f2c',1,'runtime.h']]],
-  ['tvm_5fregister_5ftarget_5fkind_4689',['TVM_REGISTER_TARGET_KIND',['../target__kind_8h.html#a036b7ecec73974b7782644f28671c786',1,'target_kind.h']]],
-  ['tvm_5fregister_5ftarget_5ftag_4690',['TVM_REGISTER_TARGET_TAG',['../tag_8h.html#a7fe29db2695f0c156ce18bb2506290e6',1,'tag.h']]],
-  ['tvm_5fruntime_5falloc_5falignment_5fbytes_4691',['TVM_RUNTIME_ALLOC_ALIGNMENT_BYTES',['../stack__allocator_8h.html#ad59ee29e418fab065b127993d2ebb0e2',1,'stack_allocator.h']]],
-  ['tvm_5fruntime_5fheader_5fonly_4692',['TVM_RUNTIME_HEADER_ONLY',['../packed__func_8h.html#a50e8d21ff57c58c35bc4cc9310e64273',1,'packed_func.h']]],
-  ['tvm_5fruntime_5fregister_5fvar_5fdef_4693',['TVM_RUNTIME_REGISTER_VAR_DEF',['../runtime_8h.html#af8e75590a4116ea35a37322634ef803e',1,'runtime.h']]],
-  ['tvm_5fset_5fdevice_4694',['tvm_set_device',['../namespacetvm_1_1runtime_1_1symbol.html#aaad9cf8f51a55b2ec5b19854d692bd21',1,'tvm::runtime::symbol']]],
-  ['tvm_5fsref_5fas_5for_5ferr_4695',['TVM_SREF_AS_OR_ERR',['../tir_2utils_8h.html#ae3c276f44cdd20825ab5677f3223a235',1,'utils.h']]],
-  ['tvm_5fsref_5fto_5fblock_4696',['TVM_SREF_TO_BLOCK',['../tir_2utils_8h.html#a4ce2bd31269f012cf4a8d59b8c63a75a',1,'utils.h']]],
-  ['tvm_5fsref_5fto_5ffor_4697',['TVM_SREF_TO_FOR',['../tir_2utils_8h.html#a212c570fa89535310f30664deb0d5305',1,'utils.h']]],
-  ['tvm_5fstack_5falloca_4698',['tvm_stack_alloca',['../namespacetvm_1_1tir_1_1builtin.html#a15c5e0e0478e0ebff91690f60992cf3f',1,'tvm::tir::builtin']]],
-  ['tvm_5fstack_5fmake_5farray_4699',['tvm_stack_make_array',['../namespacetvm_1_1tir_1_1builtin.html#a28f99e6dd767482765b854ee9fc71f2c',1,'tvm::tir::builtin']]],
-  ['tvm_5fstack_5fmake_5fshape_4700',['tvm_stack_make_shape',['../namespacetvm_1_1tir_1_1builtin.html#abd540cb73407771ecfb4f78722ce5a1b',1,'tvm::tir::builtin']]],
-  ['tvm_5fstatic_5fhandle_4701',['tvm_static_handle',['../namespacetvm_1_1tir_1_1builtin.html#a6aeb24a28d19cdc60e4e1fa7b420d7fd',1,'tvm::tir::builtin']]],
-  ['tvm_5fstatic_5fir_5ffunctor_4702',['TVM_STATIC_IR_FUNCTOR',['../functor_8h.html#a2a8f992f14c3ef28a35830bb8499d538',1,'functor.h']]],
-  ['tvm_5fstorage_5fsync_4703',['tvm_storage_sync',['../namespacetvm_1_1tir_1_1builtin.html#a925a45e5bb05e0cbf2daf2ffdbdcf53a',1,'tvm::tir::builtin']]],
-  ['tvm_5fstore_5fmatrix_5fsync_4704',['tvm_store_matrix_sync',['../namespacetvm_1_1tir_1_1builtin.html#afc81da8cbcd7f34ec5e1e80d837ca265',1,'tvm::tir::builtin']]],
-  ['tvm_5fstr_5fconcat_4705',['TVM_STR_CONCAT',['../object_8h.html#a73bf3e57b9d7a6e0dd55d901321d01ed',1,'object.h']]],
-  ['tvm_5fstr_5fconcat_5f_4706',['TVM_STR_CONCAT_',['../object_8h.html#a7b72d9155e59e0d4dcdf674c90e64c7e',1,'object.h']]],
-  ['tvm_5fstringize_4707',['TVM_STRINGIZE',['../registry_8h.html#a9695ac0f55d84dc1188cdf29c3c58454',1,'registry.h']]],
-  ['tvm_5fstringize_5fdetail_4708',['TVM_STRINGIZE_DETAIL',['../registry_8h.html#a013dedecd9c6f457ed4ecc475fbdbd50',1,'registry.h']]],
-  ['tvm_5fstruct_5fget_4709',['tvm_struct_get',['../namespacetvm_1_1tir_1_1builtin.html#a23003bd9331efaa58d8420529ea96c0b',1,'tvm::tir::builtin']]],
-  ['tvm_5fstruct_5fset_4710',['tvm_struct_set',['../namespacetvm_1_1tir_1_1builtin.html#a6df03eca1d9cc14d0db6cdd39120a867',1,'tvm::tir::builtin']]],
-  ['tvm_5ftarget_5fkind_5fregister_5fvar_5fdef_4711',['TVM_TARGET_KIND_REGISTER_VAR_DEF',['../target__kind_8h.html#a2341708a81fcee611c3c5a156596522c',1,'target_kind.h']]],
-  ['tvm_5ftarget_5ftag_5fregister_5fvar_5fdef_4712',['TVM_TARGET_TAG_REGISTER_VAR_DEF',['../tag_8h.html#a58fe38cda73eab8c7c4938b464782dcf',1,'tag.h']]],
-  ['tvm_5fthread_5fallreduce_4713',['tvm_thread_allreduce',['../namespacetvm_1_1tir_1_1builtin.html#aa1d19e758595200998a4e1ea39767b6b',1,'tvm::tir::builtin']]],
-  ['tvm_5fthread_5fcontext_4714',['tvm_thread_context',['../namespacetvm_1_1tir_1_1builtin.html#a6be181be34fba13d129aadc6c9a23f73',1,'tvm::tir::builtin']]],
-  ['tvm_5fthrow_5flast_5ferror_4715',['tvm_throw_last_error',['../namespacetvm_1_1tir_1_1builtin.html#a92624d2aa5c435cd7a0ea8efb698a115',1,'tvm::tir::builtin']]],
-  ['tvm_5ftir_5fir_5fbuilder_5fdef_5fdtype_5fcast_4716',['TVM_TIR_IR_BUILDER_DEF_DTYPE_CAST',['../tir_2ir_8h.html#a411d701e2f5c55c20f1471c339b2866d',1,'ir.h']]],
-  ['tvm_5ftir_5fir_5fbuilder_5fdef_5fdtype_5fcast_5flanes_4717',['TVM_TIR_IR_BUILDER_DEF_DTYPE_CAST_LANES',['../tir_2ir_8h.html#af4333f8d928fe3c9e799afd516d0f04c',1,'ir.h']]],
-  ['tvm_5ftir_5fir_5fbuilder_5fdef_5fdtype_5fcast_5fsizes_4718',['TVM_TIR_IR_BUILDER_DEF_DTYPE_CAST_SIZES',['../tir_2ir_8h.html#a492f0c9cc3b778f85c7827e7e719e9c9',1,'ir.h']]],
-  ['tvm_5ftir_5fir_5fbuilder_5fdef_5fdtype_5fcast_5fsizes_5flanes_4719',['TVM_TIR_IR_BUILDER_DEF_DTYPE_CAST_SIZES_LANES',['../tir_2ir_8h.html#a9410989688d1a84ad2d088103f988b26',1,'ir.h']]],
-  ['tvm_5ftir_5fregister_5fop_4720',['TVM_TIR_REGISTER_OP',['../tir_2op_8h.html#a27947eef4b3043099d3c5e5f5bfe13f4',1,'op.h']]],
-  ['tvm_5ftuple_4721',['tvm_tuple',['../namespacetvm_1_1tir_1_1builtin.html#ab424ca353ceedd88a95fc37eeb9628a9',1,'tvm::tir::builtin']]],
-  ['tvm_5ftype_5fas_4722',['TVM_TYPE_AS',['../tir_2utils_8h.html#ab60c1e6848b181005be55ce1c10cf920',1,'utils.h']]],
-  ['tvm_5ftype_5fas_5for_5ferr_4723',['TVM_TYPE_AS_OR_ERR',['../tir_2utils_8h.html#a616e279b3f53b9afdd51b7f8c8aa670a',1,'utils.h']]],
-  ['tvm_5ftype_5ffunctor_5fdispatch_4724',['TVM_TYPE_FUNCTOR_DISPATCH',['../type__functor_8h.html#afaa114a04d18cd3f8f11995628692d74',1,'type_functor.h']]],
-  ['tvm_5fversion_4725',['TVM_VERSION',['../c__runtime__api_8h.html#a429515e9851601ec44c8ed421521ab6d',1,'c_runtime_api.h']]],
-  ['tvm_5fwarp_5factivemask_4726',['tvm_warp_activemask',['../namespacetvm_1_1tir_1_1builtin.html#afc4086a245ded9076de226ae802ced32',1,'tvm::tir::builtin']]],
-  ['tvm_5fwarp_5fshuffle_4727',['tvm_warp_shuffle',['../namespacetvm_1_1tir_1_1builtin.html#aca44a85c87273dfab1731421f4edd2bf',1,'tvm::tir::builtin']]],
-  ['tvm_5fwarp_5fshuffle_5fdown_4728',['tvm_warp_shuffle_down',['../namespacetvm_1_1tir_1_1builtin.html#af6d1c48570e10287683d58f22e4de98f',1,'tvm::tir::builtin']]],
-  ['tvm_5fwarp_5fshuffle_5fup_4729',['tvm_warp_shuffle_up',['../namespacetvm_1_1tir_1_1builtin.html#ae0470bd69bb03047aae4cb52e1e6e337',1,'tvm::tir::builtin']]],
-  ['tvm_5fweak_4730',['TVM_WEAK',['../c__runtime__api_8h.html#a4d6bcd569c115f7ae4ddc455b14ca395',1,'c_runtime_api.h']]],
-  ['tvm_5fworkspace_5ft_4731',['tvm_workspace_t',['../structtvm__workspace__t.html',1,'']]],
-  ['tvmaotexecutor_4732',['TVMAotExecutor',['../aot__executor_8h.html#a52f4678d3b012d3c1022b40ac4c0f7f5',1,'TVMAotExecutor():&#160;aot_executor.h'],['../structTVMAotExecutor.html',1,'TVMAotExecutor']]],
-  ['tvmaotexecutor_5fcreate_4733',['TVMAotExecutor_Create',['../aot__executor_8h.html#ab663a086fc2c4f6df166625a9ac83ee1',1,'aot_executor.h']]],
-  ['tvmaotexecutor_5fgetinputindex_4734',['TVMAotExecutor_GetInputIndex',['../aot__executor_8h.html#ad3b4f9b4d0b73d9c1b7e42d77076ffdb',1,'aot_executor.h']]],
-  ['tvmaotexecutor_5fgetinputname_4735',['TVMAotExecutor_GetInputName',['../aot__executor_8h.html#a92c15e55b7c94225d8849b2669a639fc',1,'aot_executor.h']]],
-  ['tvmaotexecutor_5fgetnuminputs_4736',['TVMAotExecutor_GetNumInputs',['../aot__executor_8h.html#a84924c70598933ebb941961f77cdd977',1,'aot_executor.h']]],
-  ['tvmaotexecutor_5fgetnumoutputs_4737',['TVMAotExecutor_GetNumOutputs',['../aot__executor_8h.html#a7f42e0f9479896def33b3427f9a97518',1,'aot_executor.h']]],
-  ['tvmaotexecutor_5frelease_4738',['TVMAotExecutor_Release',['../aot__executor_8h.html#a15512a380d3f9cbd9c24ad9289f1dfd6',1,'aot_executor.h']]],
-  ['tvmaotexecutor_5frun_4739',['TVMAotExecutor_Run',['../aot__executor_8h.html#aa576382ee317ceef3e1858d0a953df95',1,'aot_executor.h']]],
-  ['tvmaotexecutormodule_5fregister_4740',['TVMAotExecutorModule_Register',['../aot__executor__module_8h.html#a7367944cb3bd7078b1e8a1630d0343d3',1,'aot_executor_module.h']]],
-  ['tvmapisetlasterror_4741',['TVMAPISetLastError',['../c__runtime__api_8h.html#a477111f9accd70633dc5f2e7139b6cf4',1,'c_runtime_api.h']]],
-  ['tvmargs_4742',['TVMArgs',['../classtvm_1_1runtime_1_1TVMArgs.html',1,'tvm::runtime::TVMArgs'],['../crt_2packed__func_8h.html#a7e6f4ecedf23b1d2bf1aaf360ae1a2e7',1,'TVMArgs():&#160;packed_func.h'],['../classtvm_1_1runtime_1_1TVMArgs.html#a5d2aeac7387e436e6e19d7a6dfe91307',1,'tvm::runtime::TVMArgs::TVMArgs()'],['../classtvm_1_1BaseAttrsNode.html#a257561dad74174cbdc08f6725a45d8ac',1,'tvm::BaseAttrsNode::TVMArgs()'],['../structTVMArgs.html',1,'TVMArgs']]],
-  ['tvmargs_5fasmodulehandle_4743',['TVMArgs_AsModuleHandle',['../crt_2packed__func_8h.html#ac9ff726610266833998a62d68488fc68',1,'packed_func.h']]],
-  ['tvmargs_5fcreate_4744',['TVMArgs_Create',['../crt_2packed__func_8h.html#af6ecccc431f7a06935e8dd1c57502b07',1,'packed_func.h']]],
-  ['tvmargssetter_4745',['TVMArgsSetter',['../classtvm_1_1runtime_1_1TVMArgsSetter.html',1,'tvm::runtime::TVMArgsSetter'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a35ae555d4becf356b16aeacd353d41ee',1,'tvm::runtime::ObjectPtr::TVMArgsSetter()'],['../classtvm_1_1runtime_1_1ObjectRef.html#a35ae555d4becf356b16aeacd353d41ee',1,'tvm::runtime::ObjectRef::TVMArgsSetter()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#a35ae555d4becf356b16aeacd353d41ee',1,'tvm::runtime::TVMPODValue_::TVMArgsSe [...]
-  ['tvmargssetterapply_4746',['TVMArgsSetterApply',['../structtvm_1_1runtime_1_1TVMArgsSetterApply.html',1,'tvm::runtime']]],
-  ['tvmargtypecode_4747',['TVMArgTypeCode',['../c__runtime__api_8h.html#a190e81769e805cca153514137a66e793',1,'c_runtime_api.h']]],
-  ['tvmargvalue_4748',['TVMArgValue',['../classtvm_1_1runtime_1_1TVMArgValue.html',1,'tvm::runtime::TVMArgValue'],['../classtvm_1_1runtime_1_1TVMArgValue.html#a987b2fb283cea5484d4655e3f711c046',1,'tvm::runtime::TVMArgValue::TVMArgValue()'],['../classtvm_1_1runtime_1_1TVMArgValue.html#a5fbd71750e5bbba6edc9094178af9276',1,'tvm::runtime::TVMArgValue::TVMArgValue(TVMValue value, int type_code)'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a7e8b2c6a4fde079ee813c425d2eb6b24',1,'tvm::runtime::Ob [...]
-  ['tvmarrayalloc_4749',['TVMArrayAlloc',['../c__runtime__api_8h.html#a014b89c1a3b17473d82cb3973eeedba7',1,'c_runtime_api.h']]],
-  ['tvmarraycopyfrombytes_4750',['TVMArrayCopyFromBytes',['../c__runtime__api_8h.html#a50e9b3dfdc1f4228bf52a26da14456a1',1,'c_runtime_api.h']]],
-  ['tvmarraycopyfromto_4751',['TVMArrayCopyFromTo',['../c__runtime__api_8h.html#a120628b5226af6deee4010c5a512f618',1,'c_runtime_api.h']]],
-  ['tvmarraycopytobytes_4752',['TVMArrayCopyToBytes',['../c__runtime__api_8h.html#ae246eaa00342c042f3f194605ad9bc7a',1,'c_runtime_api.h']]],
-  ['tvmarrayfree_4753',['TVMArrayFree',['../c__runtime__api_8h.html#a591e48e52098965e235657dab56bc096',1,'c_runtime_api.h']]],
-  ['tvmarrayfromdlpack_4754',['TVMArrayFromDLPack',['../c__runtime__api_8h.html#ad67b8f8766fce6b62c82a458e3c9b053',1,'c_runtime_api.h']]],
-  ['tvmarrayhandle_4755',['TVMArrayHandle',['../c__runtime__api_8h.html#a73ca58cb32f4a4adf71d274dc1e27be4',1,'c_runtime_api.h']]],
-  ['tvmarrayhandletoobjecthandle_4756',['TVMArrayHandleToObjectHandle',['../namespacetvm_1_1runtime.html#afdd7050eda88b079f0a962bd413a34ea',1,'tvm::runtime']]],
-  ['tvmarraytodlpack_4757',['TVMArrayToDLPack',['../c__runtime__api_8h.html#ae690840d1af9c7b0fe5b9b457456f60d',1,'c_runtime_api.h']]],
-  ['tvmbackendallocworkspace_4758',['TVMBackendAllocWorkspace',['../c__backend__api_8h.html#a07eaf7d1b748d99aa7715c7adbdea231',1,'c_backend_api.h']]],
-  ['tvmbackendfreeworkspace_4759',['TVMBackendFreeWorkspace',['../c__backend__api_8h.html#a4560d2cbefdae811371596672e455dfd',1,'c_backend_api.h']]],
-  ['tvmbackendgetfuncfromenv_4760',['TVMBackendGetFuncFromEnv',['../c__backend__api_8h.html#a56a654a9aeba2f1ccf3e10918dd88ec5',1,'c_backend_api.h']]],
-  ['tvmbackendpackedcfunc_4761',['TVMBackendPackedCFunc',['../c__backend__api_8h.html#a58f5af221f14f8e68bd57f83643b3cac',1,'c_backend_api.h']]],
-  ['tvmbackendparallelbarrier_4762',['TVMBackendParallelBarrier',['../c__backend__api_8h.html#a2d54b04a58a58eb9ff43e33c320e60a7',1,'c_backend_api.h']]],
-  ['tvmbackendparallellaunch_4763',['TVMBackendParallelLaunch',['../c__backend__api_8h.html#a6ff8662943d0f003d55d9046cd24daf8',1,'c_backend_api.h']]],
-  ['tvmbackendregisterenvcapi_4764',['TVMBackendRegisterEnvCAPI',['../c__backend__api_8h.html#a1a73615a9c1d736554b6b29912299922',1,'c_backend_api.h']]],
-  ['tvmbackendregistersystemlibsymbol_4765',['TVMBackendRegisterSystemLibSymbol',['../c__backend__api_8h.html#a0c57deb5acb9338ec778d91bd6e42191',1,'c_backend_api.h']]],
-  ['tvmbackendrunonce_4766',['TVMBackendRunOnce',['../c__backend__api_8h.html#ae70bd3ee026eb55b438ada05b08f0ce8',1,'c_backend_api.h']]],
-  ['tvmbytearray_4767',['TVMByteArray',['../structTVMByteArray.html',1,'']]],
-  ['tvmbytearrayfree_4768',['TVMByteArrayFree',['../c__runtime__api_8h.html#aa9f2237f2fdcb96a75e7f3c6fa4209aa',1,'c_runtime_api.h']]],
-  ['tvmcbargtoreturn_4769',['TVMCbArgToReturn',['../c__runtime__api_8h.html#a6fee438ab81fbf4235375d9f17f36d6f',1,'c_runtime_api.h']]],
-  ['tvmcfuncsetreturn_4770',['TVMCFuncSetReturn',['../c__runtime__api_8h.html#ad0c98ff469bd844546a71f61d2e164f3',1,'c_runtime_api.h']]],
-  ['tvmconstantinfo_4771',['TVMConstantInfo',['../structTVMConstantInfo.html',1,'']]],
-  ['tvmdeviceallocdataspace_4772',['TVMDeviceAllocDataSpace',['../c__runtime__api_8h.html#a06455d20ce57f3d3d13776ff6bdf0b61',1,'c_runtime_api.h']]],
-  ['tvmdeviceallocdataspacewithscope_4773',['TVMDeviceAllocDataSpaceWithScope',['../c__runtime__api_8h.html#a54212f093a2fc24a5fd7c3fecf29813a',1,'c_runtime_api.h']]],
-  ['tvmdevicecopydatafromto_4774',['TVMDeviceCopyDataFromTo',['../c__runtime__api_8h.html#aa588ee4bed71a4e7cb1f08ae8c46568f',1,'c_runtime_api.h']]],
-  ['tvmdeviceexttype_4775',['TVMDeviceExtType',['../c__runtime__api_8h.html#a57cbccb14c35a0e62dbc1b911188fcef',1,'c_runtime_api.h']]],
-  ['tvmdeviceexttype_5fend_4776',['TVMDeviceExtType_End',['../c__runtime__api_8h.html#a57cbccb14c35a0e62dbc1b911188fcefa474961a2b52fee7b33e529015162e884',1,'c_runtime_api.h']]],
-  ['tvmdevicefreedataspace_4777',['TVMDeviceFreeDataSpace',['../c__runtime__api_8h.html#a05c7535a79c99bddaf9e3aebde6013e6',1,'c_runtime_api.h']]],
-  ['tvmdlmanagedtensorcalldeleter_4778',['TVMDLManagedTensorCallDeleter',['../c__runtime__api_8h.html#a6483791fc218022e690b6e0d81a095b0',1,'c_runtime_api.h']]],
-  ['tvmextensionfuncdeclarer_4779',['TVMExtensionFuncDeclarer',['../c__runtime__api_8h.html#a3980ab80477e57fc6045c12ea8b9e48d',1,'c_runtime_api.h']]],
-  ['tvmfunccall_4780',['TVMFuncCall',['../c__runtime__api_8h.html#a8a01e20ffd5784465df0759c950154b5',1,'c_runtime_api.h']]],
-  ['tvmfunccreatefromcfunc_4781',['TVMFuncCreateFromCFunc',['../c__runtime__api_8h.html#adfd8d1f9e7229625d4acd7da3b10287c',1,'c_runtime_api.h']]],
-  ['tvmfuncfree_4782',['TVMFuncFree',['../c__runtime__api_8h.html#af420f0d74004615c03bb40270ad5d489',1,'c_runtime_api.h']]],
-  ['tvmfuncgetglobal_4783',['TVMFuncGetGlobal',['../c__runtime__api_8h.html#ad3bd42da244a0e32ac82d7428e01a010',1,'c_runtime_api.h']]],
-  ['tvmfunclistglobalnames_4784',['TVMFuncListGlobalNames',['../c__runtime__api_8h.html#a392740d028710fcd356b2b63ab90fd01',1,'c_runtime_api.h']]],
-  ['tvmfuncregisterglobal_4785',['TVMFuncRegisterGlobal',['../c__runtime__api_8h.html#a9642167d9a76bfe7e81174e8776d504b',1,'c_runtime_api.h']]],
-  ['tvmfuncregistry_4786',['TVMFuncRegistry',['../structTVMFuncRegistry.html',1,'TVMFuncRegistry'],['../func__registry_8h.html#a38e83c5fe99e0dfd2c131cc44cd74c18',1,'TVMFuncRegistry():&#160;func_registry.h']]],
-  ['tvmfuncregistry_5fget0thfunctionname_4787',['TVMFuncRegistry_Get0thFunctionName',['../func__registry_8h.html#ae7b93356e31f8ce196c3dc02e1e7aa4a',1,'func_registry.h']]],
-  ['tvmfuncregistry_5fgetbyindex_4788',['TVMFuncRegistry_GetByIndex',['../func__registry_8h.html#a7181f6969391c489ac4c76d4e5daa809',1,'func_registry.h']]],
-  ['tvmfuncregistry_5fgetnumfuncs_4789',['TVMFuncRegistry_GetNumFuncs',['../func__registry_8h.html#a5e18e8e0656f55f7c2677332856c9de3',1,'func_registry.h']]],
-  ['tvmfuncregistry_5flookup_4790',['TVMFuncRegistry_Lookup',['../func__registry_8h.html#a2533d67f0ce5fbcf4cd1ba3fba721e2b',1,'func_registry.h']]],
-  ['tvmfuncregistry_5fsetnumfuncs_4791',['TVMFuncRegistry_SetNumFuncs',['../func__registry_8h.html#a33ba627f9b0011b3a3e00ac5b253c0fd',1,'func_registry.h']]],
-  ['tvmfuncremoveglobal_4792',['TVMFuncRemoveGlobal',['../c__runtime__api_8h.html#ab98d6b66089da8b33b662ccdb243b26b',1,'c_runtime_api.h']]],
-  ['tvmfunctionhandle_4793',['TVMFunctionHandle',['../c__runtime__api_8h.html#acf57d257a6e0841d84ebbd2a339d183e',1,'c_runtime_api.h']]],
-  ['tvmgetlasterror_4794',['TVMGetLastError',['../c__runtime__api_8h.html#a775383bcd8c0237e36bdf0c9654d62c3',1,'c_runtime_api.h']]],
-  ['tvmgraphexecutor_4795',['TVMGraphExecutor',['../graph__executor_8h.html#aa07e21a523d91145595f95e9aadf5e61',1,'graph_executor.h']]],
-  ['tvmgraphexecutor_5fcreate_4796',['TVMGraphExecutor_Create',['../graph__executor_8h.html#ada04cf7114e79511f2575453d244ea48',1,'graph_executor.h']]],
-  ['tvmgraphexecutor_5fgetinputindex_4797',['TVMGraphExecutor_GetInputIndex',['../graph__executor_8h.html#ace4739ee4e9e6b20605e9c565065de1b',1,'graph_executor.h']]],
-  ['tvmgraphexecutor_5fgetnuminputs_4798',['TVMGraphExecutor_GetNumInputs',['../graph__executor_8h.html#a52f5b9fc4c62f7f239e76534ec20369e',1,'graph_executor.h']]],
-  ['tvmgraphexecutor_5fgetnumoutputs_4799',['TVMGraphExecutor_GetNumOutputs',['../graph__executor_8h.html#adae931df07cb504e7951d82722b3706d',1,'graph_executor.h']]],
-  ['tvmgraphexecutor_5fgetoutput_4800',['TVMGraphExecutor_GetOutput',['../graph__executor_8h.html#a81fb1497f82a1a942fcbfa8be29c1646',1,'graph_executor.h']]],
-  ['tvmgraphexecutor_5floadparams_4801',['TVMGraphExecutor_LoadParams',['../graph__executor_8h.html#a4ffb31d1bae06cc87a488955bc27f56d',1,'graph_executor.h']]],
-  ['tvmgraphexecutor_5frelease_4802',['TVMGraphExecutor_Release',['../graph__executor_8h.html#afc898800b81cecb2b6fd5f57a6ba153d',1,'graph_executor.h']]],
-  ['tvmgraphexecutor_5frun_4803',['TVMGraphExecutor_Run',['../graph__executor_8h.html#aef2d3b3f4c26ed751da9a60ca1ac082b',1,'graph_executor.h']]],
-  ['tvmgraphexecutor_5fsetinput_4804',['TVMGraphExecutor_SetInput',['../graph__executor_8h.html#a54b808f6646bc01a94e97bec002ffd0c',1,'graph_executor.h']]],
-  ['tvmgraphexecutorgraphattr_4805',['TVMGraphExecutorGraphAttr',['../graph__executor_8h.html#a0017621bbbb01622a3544117e8437395',1,'TVMGraphExecutorGraphAttr():&#160;graph_executor.h'],['../structTVMGraphExecutorGraphAttr.html',1,'TVMGraphExecutorGraphAttr']]],
-  ['tvmgraphexecutormodule_5fregister_4806',['TVMGraphExecutorModule_Register',['../graph__executor__module_8h.html#a174fff47eabdfcc1ecad5e7616020a35',1,'graph_executor_module.h']]],
-  ['tvminitializeruntime_4807',['TVMInitializeRuntime',['../crt_8h.html#aa5daf120863bdc94e142ebb127b65f38',1,'crt.h']]],
-  ['tvmmetadata_4808',['TVMMetadata',['../aot__executor_8h.html#a4599d4a62bfcf460b9682d8a715360c7',1,'TVMMetadata():&#160;aot_executor.h'],['../structTVMMetadata.html',1,'TVMMetadata']]],
-  ['tvmmodcreatefromcmodule_4809',['TVMModCreateFromCModule',['../runtime_2crt_2module_8h.html#a4c11ce8105182e14068bb9a427605a81',1,'module.h']]],
-  ['tvmmodfree_4810',['TVMModFree',['../c__runtime__api_8h.html#ac16764609b45ac911a5c9971e195e377',1,'c_runtime_api.h']]],
-  ['tvmmodgetfunction_4811',['TVMModGetFunction',['../c__runtime__api_8h.html#a5a19a18084c94396a673efa309405a6c',1,'c_runtime_api.h']]],
-  ['tvmmodimport_4812',['TVMModImport',['../c__runtime__api_8h.html#aaeb0d67f6d7e90e671dd5a53b1d6b81a',1,'c_runtime_api.h']]],
-  ['tvmmodloadfromfile_4813',['TVMModLoadFromFile',['../c__runtime__api_8h.html#a47f79bb2004573a8e36a175d1b73fc64',1,'c_runtime_api.h']]],
-  ['tvmmodule_4814',['TVMModule',['../runtime_2crt_2module_8h.html#abd47b4fef13cf3b4dd7f7b7ad45357cf',1,'TVMModule():&#160;module.h'],['../structTVMModule.html',1,'TVMModule']]],
-  ['tvmmodulehandle_4815',['TVMModuleHandle',['../c__runtime__api_8h.html#ac4b0321c489287dcf4637e15328298ce',1,'c_runtime_api.h']]],
-  ['tvmmovableargvalue_5f_4816',['TVMMovableArgValue_',['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html',1,'tvm::runtime::TVMMovableArgValue_'],['../classtvm_1_1runtime_1_1ObjectPtr.html#acd985550cba6cf8509122cbd996c1557',1,'tvm::runtime::ObjectPtr::TVMMovableArgValue_()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#acd985550cba6cf8509122cbd996c1557',1,'tvm::runtime::TVMPODValue_::TVMMovableArgValue_()'],['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html#a8eca9048535541f374a58 [...]
-  ['tvmmovableargvaluewithcontext_5f_4817',['TVMMovableArgValueWithContext_',['../classtvm_1_1runtime_1_1TVMMovableArgValueWithContext__.html',1,'tvm::runtime::TVMMovableArgValueWithContext_'],['../classtvm_1_1runtime_1_1TVMMovableArgValueWithContext__.html#adf0eff794c9dd05da7e61c03b03f6bc7',1,'tvm::runtime::TVMMovableArgValueWithContext_::TVMMovableArgValueWithContext_()']]],
-  ['tvmmutablefuncregistry_4818',['TVMMutableFuncRegistry',['../func__registry_8h.html#ad3e4a30d33cbb84e33d3665373f82df1',1,'TVMMutableFuncRegistry():&#160;func_registry.h'],['../structTVMMutableFuncRegistry.html',1,'TVMMutableFuncRegistry']]],
-  ['tvmmutablefuncregistry_5fcreate_4819',['TVMMutableFuncRegistry_Create',['../func__registry_8h.html#a305cf0e49c5aac2d4cc744bf6417adca',1,'func_registry.h']]],
-  ['tvmmutablefuncregistry_5fset_4820',['TVMMutableFuncRegistry_Set',['../func__registry_8h.html#a6a0639e41bbb0efbf4d5b0f8c6fd206d',1,'func_registry.h']]],
-  ['tvmobjectderivedfrom_4821',['TVMObjectDerivedFrom',['../c__runtime__api_8h.html#a1d193b0c247af874dad4b31afc8ee7d8',1,'c_runtime_api.h']]],
-  ['tvmobjectfree_4822',['TVMObjectFree',['../c__runtime__api_8h.html#a660b709e0d509be7909fc31e3690d3f9',1,'c_runtime_api.h']]],
-  ['tvmobjectgettypeindex_4823',['TVMObjectGetTypeIndex',['../c__runtime__api_8h.html#ab9ddee6cf53918337cd4ca68d8bfc8b1',1,'c_runtime_api.h']]],
-  ['tvmobjecthandle_4824',['TVMObjectHandle',['../c__runtime__api_8h.html#aff795d001e3761c75b2b8f422465d5a0',1,'c_runtime_api.h']]],
-  ['tvmobjectretain_4825',['TVMObjectRetain',['../c__runtime__api_8h.html#ae899a6a211b7913e92420a01b804db64',1,'c_runtime_api.h']]],
-  ['tvmobjecttypeindex2key_4826',['TVMObjectTypeIndex2Key',['../c__runtime__api_8h.html#ae867a91b746fbe91e289b0d2ab0446aa',1,'c_runtime_api.h']]],
-  ['tvmobjecttypekey2index_4827',['TVMObjectTypeKey2Index',['../c__runtime__api_8h.html#a77d84581b6d0d78c77d88139c6adbf81',1,'c_runtime_api.h']]],
-  ['tvmopparam_4828',['TVMOpParam',['../structTVMOpParam.html',1,'TVMOpParam'],['../graph__executor_8h.html#a79b221d404ca5d2989ec971e6385c8a7',1,'TVMOpParam():&#160;graph_executor.h']]],
-  ['tvmpackedcfunc_4829',['TVMPackedCFunc',['../c__runtime__api_8h.html#a07954203342f2787acf988c4c351d9c3',1,'c_runtime_api.h']]],
-  ['tvmpackedcfuncfinalizer_4830',['TVMPackedCFuncFinalizer',['../c__runtime__api_8h.html#ac035a1354f2e5d7f8613af73cc735742',1,'c_runtime_api.h']]],
-  ['tvmpackedfunc_4831',['TVMPackedFunc',['../structTVMPackedFunc.html',1,'TVMPackedFunc'],['../crt_2packed__func_8h.html#a4c9d8154e6e2fda7435a53626ae96923',1,'TVMPackedFunc():&#160;packed_func.h']]],
-  ['tvmpackedfunc_5fcall_4832',['TVMPackedFunc_Call',['../crt_2packed__func_8h.html#acb0ddf3dca19377b657d6829b34dd57b',1,'packed_func.h']]],
-  ['tvmpackedfunc_5finitglobalfunc_4833',['TVMPackedFunc_InitGlobalFunc',['../crt_2packed__func_8h.html#ad19fd169419265a9122c4f257b4093d8',1,'packed_func.h']]],
-  ['tvmpackedfunc_5finitmodulefunc_4834',['TVMPackedFunc_InitModuleFunc',['../crt_2packed__func_8h.html#a65f35e3b3f521d105d7aa71347135efd',1,'packed_func.h']]],
-  ['tvmpackedfunc_5fsetargs_4835',['TVMPackedFunc_SetArgs',['../crt_2packed__func_8h.html#af145c1c723cc05360ab7b66bcf6f435e',1,'packed_func.h']]],
-  ['tvmparallelgroupenv_4836',['TVMParallelGroupEnv',['../structTVMParallelGroupEnv.html',1,'']]],
-  ['tvmplatformabort_4837',['TVMPlatformAbort',['../platform_8h.html#a47980e4ea2182978f94ca87cc15ca0c8',1,'platform.h']]],
-  ['tvmplatformaftermeasurement_4838',['TVMPlatformAfterMeasurement',['../platform_8h.html#a20e1528da0256cd8be25c91ea76a5f7b',1,'platform.h']]],
-  ['tvmplatformbeforemeasurement_4839',['TVMPlatformBeforeMeasurement',['../platform_8h.html#afd94474b764a8526d53fd6230ce4b475',1,'platform.h']]],
-  ['tvmplatformformatmessage_4840',['TVMPlatformFormatMessage',['../platform_8h.html#a6dfecb024ace62e724817f90b6407285',1,'platform.h']]],
-  ['tvmplatformgeneraterandom_4841',['TVMPlatformGenerateRandom',['../platform_8h.html#a92f8606f31e9b8ccaf02024bd4dbeb0e',1,'platform.h']]],
-  ['tvmplatforminitialize_4842',['TVMPlatformInitialize',['../platform_8h.html#a77204d888fc5e68c74b8bdf1e91bada2',1,'platform.h']]],
-  ['tvmplatformmemoryallocate_4843',['TVMPlatformMemoryAllocate',['../platform_8h.html#a133959eaf3ec68c568bdb71fcb94ddcb',1,'platform.h']]],
-  ['tvmplatformmemoryfree_4844',['TVMPlatformMemoryFree',['../platform_8h.html#a890631daad5b14e3c9fd34b94e41eaed',1,'platform.h']]],
-  ['tvmplatformtimerstart_4845',['TVMPlatformTimerStart',['../platform_8h.html#a1accf5aa6941b2f22e69b2f1870a1be6',1,'platform.h']]],
-  ['tvmplatformtimerstop_4846',['TVMPlatformTimerStop',['../platform_8h.html#a02a9ab0c374c97f35295008eff51d034',1,'platform.h']]],
-  ['tvmpodvalue_5f_4847',['TVMPODValue_',['../classtvm_1_1runtime_1_1TVMPODValue__.html',1,'tvm::runtime::TVMPODValue_'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a9a9fd94393cfd7d4b6e6029348e3e19a',1,'tvm::runtime::ObjectPtr::TVMPODValue_()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#a2f46b59a6c1d5eb4575d7f583b5f1a0c',1,'tvm::runtime::TVMPODValue_::TVMPODValue_()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#afe1837bdbafe8341c2031c5cebcf6e74',1,'tvm::runtime::TVMPODValue_::TVMPO [...]
-  ['tvmretvalue_4848',['TVMRetValue',['../classtvm_1_1runtime_1_1TVMRetValue.html',1,'tvm::runtime::TVMRetValue'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#ae0ea8b4adc6dab8c74086bceaef6b3e1',1,'tvm::runtime::TVMPODValue_::TVMRetValue()'],['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#ae0ea8b4adc6dab8c74086bceaef6b3e1',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;::TVMRetValue()'],['../classtvm_1_1runtime_1_1ObjectRef.html#ae0ea8b4adc6dab8c74086bceaef6 [...]
-  ['tvmretvaluehandle_4849',['TVMRetValueHandle',['../c__runtime__api_8h.html#a6cd1076476117e74454f67931c2da1d4',1,'c_runtime_api.h']]],
-  ['tvmscriptprinter_4850',['TVMScriptPrinter',['../classtvm_1_1TVMScriptPrinter.html',1,'tvm']]],
-  ['tvmsetstream_4851',['TVMSetStream',['../c__runtime__api_8h.html#ac414ed248ddb1bfb561685bba3de5e89',1,'c_runtime_api.h']]],
-  ['tvmstreamcreate_4852',['TVMStreamCreate',['../c__runtime__api_8h.html#a71d0c47cca9068b17cf0a1f4c58d8ca9',1,'c_runtime_api.h']]],
-  ['tvmstreamfree_4853',['TVMStreamFree',['../c__runtime__api_8h.html#a9dd0545318bfee4e2f4ccce7d7008e01',1,'c_runtime_api.h']]],
-  ['tvmstreamhandle_4854',['TVMStreamHandle',['../c__runtime__api_8h.html#ab1d5f6b7945e1410602a8a057fda5757',1,'c_runtime_api.h']]],
-  ['tvmstreamstreamsynchronize_4855',['TVMStreamStreamSynchronize',['../c__runtime__api_8h.html#aa91f776ed41a36790409f78725f81419',1,'c_runtime_api.h']]],
-  ['tvmstructfieldkind_4856',['TVMStructFieldKind',['../namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1c',1,'tvm::tir::builtin']]],
-  ['tvmsynchronize_4857',['TVMSynchronize',['../c__runtime__api_8h.html#a386d7efd946bc750af8bf109f93f6ce2',1,'c_runtime_api.h']]],
-  ['tvmsystemlibentrypoint_4858',['TVMSystemLibEntryPoint',['../runtime_2crt_2module_8h.html#a32fdb5a1df93075a184a36d2549833fa',1,'module.h']]],
-  ['tvmtensorinfo_4859',['TVMTensorInfo',['../structTVMTensorInfo.html',1,'']]],
-  ['tvmvalue_4860',['TVMValue',['../unionTVMValue.html',1,'']]],
-  ['type_4861',['Type',['../classtvm_1_1Type.html',1,'tvm']]],
-  ['type_4862',['type',['../classtvm_1_1runtime_1_1vm_1_1Allocator.html#a7cfb6d4ea480436801276fe2e7660eb2',1,'tvm::runtime::vm::Allocator::type()'],['../classtvm_1_1relay_1_1TypePatternNode.html#aab5faa2a58862707b8dc18b59cccac19',1,'tvm::relay::TypePatternNode::type()'],['../structtvm_1_1detail_1_1is__specialized_3_01Container_3_01Args_8_8_8_01_4_00_01Container_01_4.html#a8dee3a1604498d6bc64948f1c0d19dc2',1,'tvm::detail::is_specialized&lt; Container&lt; Args... &gt;, Container &gt;::type [...]
-  ['type_4863',['Type',['../namespacetvm_1_1relay.html#a661d95f170bca230773914caeef3fe52',1,'tvm::relay']]],
-  ['type_2eh_4864',['type.h',['../ir_2type_8h.html',1,'(Global Namespace)'],['../relay_2type_8h.html',1,'(Global Namespace)']]],
-  ['type_5fannotation_4865',['type_annotation',['../classtvm_1_1relay_1_1VarNode.html#a79a56885eaf2a9326ff490164a5c1f0e',1,'tvm::relay::VarNode::type_annotation()'],['../classtvm_1_1tir_1_1VarNode.html#a7a84c6d137a79e9a5b9c4b6183f18353',1,'tvm::tir::VarNode::type_annotation()']]],
-  ['type_5fargs_4866',['type_args',['../classtvm_1_1relay_1_1CallNode.html#ad23d97a6ae1cc1bea903d4c714f811d6',1,'tvm::relay::CallNode']]],
-  ['type_5fas_4867',['type_as',['../classtvm_1_1RelayExprNode.html#aa6a49e1f6c40d4e24452114232bd1152',1,'tvm::RelayExprNode']]],
-  ['type_5fcode_4868',['type_code',['../classtvm_1_1runtime_1_1TVMPODValue__.html#aefca71073146f4be36d6a4a0de33d6e0',1,'tvm::runtime::TVMPODValue_']]],
-  ['type_5fcode_5f_4869',['type_code_',['../classtvm_1_1runtime_1_1TVMPODValue__.html#aed3e983e990c5c4ed3ac09b95055297e',1,'tvm::runtime::TVMPODValue_']]],
-  ['type_5fcodes_4870',['type_codes',['../classtvm_1_1runtime_1_1TVMArgs.html#abdb0f929087b14eb28d75c7a047cef9e',1,'tvm::runtime::TVMArgs']]],
-  ['type_5fconstraints_4871',['type_constraints',['../classtvm_1_1FuncTypeNode.html#a6dd6e8ed07019caa87943ec47d065499',1,'tvm::FuncTypeNode']]],
-  ['type_5fdefinitions_4872',['type_definitions',['../classtvm_1_1IRModuleNode.html#a547dbf39f1ecf5324153e10f7ca724c3',1,'tvm::IRModuleNode']]],
-  ['type_5ffunctor_2eh_4873',['type_functor.h',['../type__functor_8h.html',1,'']]],
-  ['type_5ffunctor_5fdefault_4874',['TYPE_FUNCTOR_DEFAULT',['../type__functor_8h.html#ad222ca7b5f1a4a8c626d1f1e4b53cdb0',1,'type_functor.h']]],
-  ['type_5findex_4875',['type_index',['../classtvm_1_1runtime_1_1Object.html#a481f01923b14e1851ebd38506e9c66ea',1,'tvm::runtime::Object']]],
-  ['type_5findex_5f_4876',['type_index_',['../classtvm_1_1runtime_1_1Object.html#a4bfc2586cb55f2af47728187b3256255',1,'tvm::runtime::Object']]],
-  ['type_5finfo_4877',['type_info',['../classtvm_1_1AttrFieldInfoNode.html#aa0e740cddb317c3a74a12302ab531b9b',1,'tvm::AttrFieldInfoNode']]],
-  ['type_5fkey_4878',['type_key',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a00f035566a720dbac56f5dea042fb0ec',1,'tvm::runtime::vm::VirtualMachine::type_key()'],['../classtvm_1_1runtime_1_1vm_1_1Executable.html#aef4f3663ee57fcbdf2d775f4dbbe79dc',1,'tvm::runtime::vm::Executable::type_key()'],['../classtvm_1_1runtime_1_1ModuleNode.html#a5834549180e1c668e7126562cea9a557',1,'tvm::runtime::ModuleNode::type_key()'],['../classtvm_1_1runtime_1_1metadata_1_1MetadataArrayNode.html#a452a [...]
-  ['type_5fkey_5f_4879',['type_key_',['../structtvm_1_1detail_1_1AttrInitEntry.html#a573a25648ca0d3d8fe28f6c7614248bf',1,'tvm::detail::AttrInitEntry']]],
-  ['type_5fparams_4880',['type_params',['../classtvm_1_1FuncTypeNode.html#acc1bb373c5851f6cec016a874f304d05',1,'tvm::FuncTypeNode::type_params()'],['../classtvm_1_1relay_1_1FunctionNode.html#a558ecb3ab57111b1a0f347764054d5e1',1,'tvm::relay::FunctionNode::type_params()']]],
-  ['type_5frelation_2eh_4881',['type_relation.h',['../type__relation_8h.html',1,'']]],
-  ['type_5fvars_4882',['type_vars',['../classtvm_1_1TypeDataNode.html#a350a23efc88be1def5b93d27ac6fa88b',1,'tvm::TypeDataNode']]],
-  ['typeannotation_4883',['TypeAnnotation',['../namespacetvm_1_1tir.html#abf355a4fdeb063b1adb4946cad5fca68',1,'tvm::tir']]],
-  ['typecall_4884',['TypeCall',['../classtvm_1_1TypeCall.html',1,'tvm::TypeCall'],['../namespacetvm_1_1relay.html#ab406a37acee11226e3e2e119beee439e',1,'tvm::relay::TypeCall()'],['../classtvm_1_1TypeCall.html#a54ca5beebff2a428241cf7564b496e02',1,'tvm::TypeCall::TypeCall()']]],
-  ['typecallnode_4885',['TypeCallNode',['../classtvm_1_1TypeCallNode.html',1,'tvm::TypeCallNode'],['../namespacetvm_1_1relay.html#af4dccabc877b8fd7db47cb73fb93883e',1,'tvm::relay::TypeCallNode()']]],
-  ['typecode_4886',['TypeCode',['../classtvm_1_1runtime_1_1DataType.html#a3c9ce1627be2550f656cd37b6c698c7d',1,'tvm::runtime::DataType']]],
-  ['typeconstraint_4887',['TypeConstraint',['../classtvm_1_1TypeConstraint.html',1,'tvm::TypeConstraint'],['../namespacetvm_1_1relay.html#a64e2e93fe04716efd8334ab4e39c92ce',1,'tvm::relay::TypeConstraint()']]],
-  ['typeconstraintnode_4888',['TypeConstraintNode',['../classtvm_1_1TypeConstraintNode.html',1,'tvm::TypeConstraintNode'],['../namespacetvm_1_1relay.html#a565e027589acded20ca38df22be098dc',1,'tvm::relay::TypeConstraintNode()']]],
-  ['typedata_4889',['TypeData',['../classtvm_1_1TypeData.html',1,'tvm::TypeData'],['../classtvm_1_1TypeData.html#a0a98fd1095812379d2bd1337db1511c1',1,'tvm::TypeData::TypeData()'],['../namespacetvm_1_1relay.html#a6e725a1cb4c83346e261eac7dc7292a8',1,'tvm::relay::TypeData()']]],
-  ['typedatanode_4890',['TypeDataNode',['../classtvm_1_1TypeDataNode.html',1,'tvm::TypeDataNode'],['../namespacetvm_1_1relay.html#a2b8c0d5920eaca88569907e92df6066f',1,'tvm::relay::TypeDataNode()']]],
-  ['typedenvfunc_4891',['TypedEnvFunc',['../classtvm_1_1TypedEnvFunc.html',1,'tvm::TypedEnvFunc&lt; FType &gt;'],['../classtvm_1_1TypedEnvFunc_3_01R_07Args_8_8_8_08_4.html#a0d72a6fa7263821c14bcd37837998ed9',1,'tvm::TypedEnvFunc&lt; R(Args...)&gt;::TypedEnvFunc(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1TypedEnvFunc_3_01R_07Args_8_8_8_08_4.html#a41a6b9014d0feeb628ca7edfd0d26f0b',1,'tvm::TypedEnvFunc&lt; R(Args...)&gt;::TypedEnvFunc()']]],
-  ['typedenvfunc_3c_20bool_28const_20array_3c_20type_20_3e_20_26args_2c_20int_20num_5finputs_2c_20const_20attrs_20_26attrs_2c_20const_20typereporter_20_26reporter_29_3e_4892',['TypedEnvFunc&lt; bool(const Array&lt; Type &gt; &amp;args, int num_inputs, const Attrs &amp;attrs, const TypeReporter &amp;reporter)&gt;',['../classtvm_1_1TypedEnvFunc.html',1,'tvm']]],
-  ['typedenvfunc_3c_20r_28args_2e_2e_2e_29_3e_4893',['TypedEnvFunc&lt; R(Args...)&gt;',['../classtvm_1_1TypedEnvFunc_3_01R_07Args_8_8_8_08_4.html',1,'tvm']]],
-  ['typedpackedfunc_4894',['TypedPackedFunc',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime::TypedPackedFunc&lt; FType &gt;'],['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#a4abadc6786dd14a3aed6e2b5b342d1d6',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;::TypedPackedFunc()'],['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#a36ca0d1876544463ee848766e70e5e96',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;::Typed [...]
-  ['typedpackedfunc_3c_20array_3c_20objectref_20_3e_28objectref_20json_5fattrs_29_3e_4895',['TypedPackedFunc&lt; Array&lt; ObjectRef &gt;(ObjectRef json_attrs)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20array_3c_20objectref_20_3e_28schedule_20sch_2c_20const_20array_3c_20objectref_20_3e_20_26inputs_2c_20const_20array_3c_20objectref_20_3e_20_26attrs_2c_20const_20optional_3c_20objectref_20_3e_20_26decision_29_3e_4896',['TypedPackedFunc&lt; Array&lt; ObjectRef &gt;(Schedule sch, const Array&lt; ObjectRef &gt; &amp;inputs, const Array&lt; ObjectRef &gt; &amp;attrs, const Optional&lt; ObjectRef &gt; &amp;decision)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html' [...]
-  ['typedpackedfunc_3c_20array_3c_20runnerresult_20_3e_28int_29_3e_4897',['TypedPackedFunc&lt; Array&lt; RunnerResult &gt;(int)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20array_3c_20te_3a_3atensor_20_3e_28const_20attrs_20_26attrs_2c_20const_20array_3c_20te_3a_3atensor_20_3e_20_26inputs_2c_20const_20type_20_26out_5ftype_29_3e_4898',['TypedPackedFunc&lt; Array&lt; te::Tensor &gt;(const Attrs &amp;attrs, const Array&lt; te::Tensor &gt; &amp;inputs, const Type &amp;out_type)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20array_3c_20tuningrecord_20_3e_28_29_3e_4899',['TypedPackedFunc&lt; Array&lt; TuningRecord &gt;()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20array_3c_20tuningrecord_20_3e_28const_20workload_20_26_2c_20int_29_3e_4900',['TypedPackedFunc&lt; Array&lt; TuningRecord &gt;(const Workload &amp;, int)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20array_3c_20tvm_3a_3aruntime_3a_3andarray_20_3e_28const_20tunecontext_20_26context_2c_20const_20array_3c_20measurecandidate_20_3e_20_26candidates_29_3e_4901',['TypedPackedFunc&lt; Array&lt; tvm::runtime::NDArray &gt;(const TuneContext &amp;context, const Array&lt; MeasureCandidate &gt; &amp;candidates)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20bool_28_29_3e_4902',['TypedPackedFunc&lt; bool()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20bool_28const_20irmodule_20_26_29_3e_4903',['TypedPackedFunc&lt; bool(const IRModule &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20int_28_29_3e_4904',['TypedPackedFunc&lt; int()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20int64_5ft_28_29_3e_4905',['TypedPackedFunc&lt; int64_t()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20objectref_28array_3c_20objectref_20_3e_20attrs_29_3e_4906',['TypedPackedFunc&lt; ObjectRef(Array&lt; ObjectRef &gt; attrs)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20optional_3c_20irmodule_20_3e_28const_20irmodule_20_26_2c_20const_20target_20_26_2c_20const_20string_20_26_29_3e_4907',['TypedPackedFunc&lt; Optional&lt; IRModule &gt;(const IRModule &amp;, const Target &amp;, const String &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20optional_3c_20tir_3a_3aschedule_20_3e_28const_20irmodule_20_26_2c_20const_20target_20_26_2c_20const_20string_20_26_29_3e_4908',['TypedPackedFunc&lt; Optional&lt; tir::Schedule &gt;(const IRModule &amp;, const Target &amp;, const String &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20optional_3c_20tuningrecord_20_3e_28const_20irmodule_20_26_2c_20const_20target_20_26_2c_20const_20string_20_26_29_3e_4909',['TypedPackedFunc&lt; Optional&lt; TuningRecord &gt;(const IRModule &amp;, const Target &amp;, const String &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20r_28args_2e_2e_2e_29_3e_4910',['TypedPackedFunc&lt; R(Args...)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20runnerresult_28_29_3e_4911',['TypedPackedFunc&lt; RunnerResult()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20string_28_29_3e_4912',['TypedPackedFunc&lt; String()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20string_28const_20array_3c_20objectref_20_3e_20_26inputs_2c_20const_20array_3c_20objectref_20_3e_20_26attrs_2c_20const_20optional_3c_20objectref_20_3e_20_26decision_2c_20const_20array_3c_20string_20_3e_20_26outputs_29_3e_4913',['TypedPackedFunc&lt; String(const Array&lt; ObjectRef &gt; &amp;inputs, const Array&lt; ObjectRef &gt; &amp;attrs, const Optional&lt; ObjectRef &gt; &amp;decision, const Array&lt; String &gt; &amp;outputs)&gt;',['../classtvm_1_1runtime_1_1T [...]
-  ['typedpackedfunc_3c_20targetjson_28targetjson_29_3e_4914',['TypedPackedFunc&lt; TargetJSON(TargetJSON)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20te_3a_3aschedule_28const_20attrs_20_26attrs_2c_20const_20array_3c_20te_3a_3atensor_20_3e_20_26outs_2c_20const_20target_20_26target_29_3e_4915',['TypedPackedFunc&lt; te::Schedule(const Attrs &amp;attrs, const Array&lt; te::Tensor &gt; &amp;outs, const Target &amp;target)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20tvm_3a_3atir_3a_3astmt_28array_3c_20tvm_3a_3atir_3a_3avar_20_3e_20loop_5fvars_2c_20array_3c_20range_20_3e_20loop_5fextents_2c_20tvm_3a_3atir_3a_3astmt_20loop_5fbody_29_3e_4916',['TypedPackedFunc&lt; tvm::tir::Stmt(Array&lt; tvm::tir::Var &gt; loop_vars, Array&lt; Range &gt; loop_extents, tvm::tir::Stmt loop_body)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28_29_3e_4917',['TypedPackedFunc&lt; void()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28array_3c_20tunecontext_20_3e_20tasks_2c_20array_3c_20floatimm_20_3e_20task_5fweights_2c_20int_20max_5ftrials_5fglobal_2c_20int_20max_5ftrials_5fper_5ftask_2c_20int_20num_5ftrials_5fper_5fiter_2c_20builder_20builder_2c_20runner_20runner_2c_20array_3c_20measurecallback_20_3e_20measure_5fcallbacks_2c_20optional_3c_20database_20_3e_20database_2c_20optional_3c_20costmodel_20_3e_20cost_5fmodel_29_3e_4918',['TypedPackedFunc&lt; void(Array&lt; TuneContext &gt; tas [...]
-  ['typedpackedfunc_3c_20void_28const_20taskscheduler_20_26task_5fscheduler_2c_20int_20task_5fid_2c_20const_20array_3c_20measurecandidate_20_3e_20_26measure_5fcandidates_2c_20const_20array_3c_20builderresult_20_3e_20_26builds_2c_20const_20array_3c_20runnerresult_20_3e_20_26results_29_3e_4919',['TypedPackedFunc&lt; void(const TaskScheduler &amp;task_scheduler, int task_id, const Array&lt; MeasureCandidate &gt; &amp;measure_candidates, const Array&lt; BuilderResult &gt; &amp;builds, const  [...]
-  ['typedpackedfunc_3c_20void_28const_20tunecontext_20_26_2c_20const_20array_3c_20measurecandidate_20_3e_20_26_2c_20const_20array_3c_20runnerresult_20_3e_20_26_29_3e_4920',['TypedPackedFunc&lt; void(const TuneContext &amp;, const Array&lt; MeasureCandidate &gt; &amp;, const Array&lt; RunnerResult &gt; &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28const_20tunecontext_20_26_2c_20const_20array_3c_20measurecandidate_20_3e_20_26_2c_20void_20_2ap_5faddr_29_3e_4921',['TypedPackedFunc&lt; void(const TuneContext &amp;, const Array&lt; MeasureCandidate &gt; &amp;, void *p_addr)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28const_20tuningrecord_20_26_29_3e_4922',['TypedPackedFunc&lt; void(const TuningRecord &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28size_5ft_2c_20void_20_2a_29_3e_4923',['TypedPackedFunc&lt; void(size_t, void *)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28string_29_3e_4924',['TypedPackedFunc&lt; void(String)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28tvm_3a_3adiagnosticcontext_20ctx_29_3e_4925',['TypedPackedFunc&lt; void(tvm::DiagnosticContext ctx)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20workload_28const_20irmodule_20_26_29_3e_4926',['TypedPackedFunc&lt; Workload(const IRModule &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typeequal_4927',['TypeEqual',['../namespacetvm_1_1runtime.html#aee574cee6755b0c2f1aa664c6967686f',1,'tvm::runtime']]],
-  ['typefunctor_4928',['TypeFunctor',['../classtvm_1_1TypeFunctor.html',1,'tvm']]],
-  ['typefunctor_3c_20r_28const_20type_20_26n_2c_20args_2e_2e_2e_29_3e_4929',['TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm']]],
-  ['typefunctor_3c_20type_28const_20type_20_26n_29_3e_4930',['TypeFunctor&lt; Type(const Type &amp;n)&gt;',['../classtvm_1_1TypeFunctor.html',1,'tvm']]],
-  ['typefunctor_3c_20void_28const_20type_20_26n_29_3e_4931',['TypeFunctor&lt; void(const Type &amp;n)&gt;',['../classtvm_1_1TypeFunctor.html',1,'tvm']]],
-  ['typeindex_4932',['TypeIndex',['../structtvm_1_1runtime_1_1TypeIndex.html',1,'tvm::runtime']]],
-  ['typeindex2key_4933',['TypeIndex2Key',['../classtvm_1_1runtime_1_1Object.html#a817ba6c23b7ee1821c48a75edf255a30',1,'tvm::runtime::Object']]],
-  ['typeindex2keyhash_4934',['TypeIndex2KeyHash',['../classtvm_1_1runtime_1_1Object.html#a6ee32a02dd44257da105fbbe5d9c8622',1,'tvm::runtime::Object']]],
-  ['typekey2index_4935',['TypeKey2Index',['../classtvm_1_1runtime_1_1Object.html#a6841f97e06e6614dd7e82c6dd41b818a',1,'tvm::runtime::Object']]],
-  ['typekind_4936',['TypeKind',['../namespacetvm.html#acd267f8d7f55da6ac681239831963279',1,'tvm']]],
-  ['typekind2string_4937',['TypeKind2String',['../namespacetvm.html#ab839d9f872e97b31e30c0059a3eb9a92',1,'tvm']]],
-  ['typematch_4938',['TypeMatch',['../namespacetvm_1_1runtime.html#adbabb7cfb79bfb6d802f65a9803e4eb6',1,'tvm::runtime']]],
-  ['typemutator_4939',['TypeMutator',['../classtvm_1_1TypeMutator.html',1,'tvm']]],
-  ['typename_4940',['TypeName',['../structtvm_1_1detail_1_1TypeName.html',1,'tvm::detail::TypeName&lt; T &gt;'],['../structtvm_1_1runtime_1_1ObjectTypeChecker.html#a3498eb545b33e1c23a417fa58ec51dd6',1,'tvm::runtime::ObjectTypeChecker::TypeName()'],['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Array_3_01T_01_4_01_4.html#aab22b555cfe16d040c204527c73a3287',1,'tvm::runtime::ObjectTypeChecker&lt; Array&lt; T &gt; &gt;::TypeName()'],['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Map_3 [...]
-  ['typename_3c_20bool_20_3e_4941',['TypeName&lt; bool &gt;',['../structtvm_1_1detail_1_1TypeName_3_01bool_01_4.html',1,'tvm::detail']]],
-  ['typename_3c_20datatype_20_3e_4942',['TypeName&lt; DataType &gt;',['../structtvm_1_1detail_1_1TypeName_3_01DataType_01_4.html',1,'tvm::detail']]],
-  ['typename_3c_20double_20_3e_4943',['TypeName&lt; double &gt;',['../structtvm_1_1detail_1_1TypeName_3_01double_01_4.html',1,'tvm::detail']]],
-  ['typename_3c_20int_20_3e_4944',['TypeName&lt; int &gt;',['../structtvm_1_1detail_1_1TypeName_3_01int_01_4.html',1,'tvm::detail']]],
-  ['typename_3c_20int64_5ft_20_3e_4945',['TypeName&lt; int64_t &gt;',['../structtvm_1_1detail_1_1TypeName_3_01int64__t_01_4.html',1,'tvm::detail']]],
-  ['typename_3c_20uint64_5ft_20_3e_4946',['TypeName&lt; uint64_t &gt;',['../structtvm_1_1detail_1_1TypeName_3_01uint64__t_01_4.html',1,'tvm::detail']]],
-  ['typename_3c_20void_20_2a_20_3e_4947',['TypeName&lt; void * &gt;',['../structtvm_1_1detail_1_1TypeName_3_01void_01_5_01_4.html',1,'tvm::detail']]],
-  ['typenode_4948',['TypeNode',['../classtvm_1_1TypeNode.html',1,'tvm::TypeNode'],['../namespacetvm_1_1relay.html#af6995f0c848d0d5cc4124a38f43aaf12',1,'tvm::relay::TypeNode()']]],
-  ['typepattern_4949',['TypePattern',['../classtvm_1_1relay_1_1TypePattern.html',1,'tvm::relay::TypePattern'],['../classtvm_1_1relay_1_1TypePattern.html#a3364c4747a676e0e33e8127fe17632ea',1,'tvm::relay::TypePattern::TypePattern()']]],
-  ['typepatternnode_4950',['TypePatternNode',['../classtvm_1_1relay_1_1TypePatternNode.html',1,'tvm::relay']]],
-  ['typerelation_4951',['TypeRelation',['../classtvm_1_1TypeRelation.html',1,'tvm::TypeRelation'],['../namespacetvm_1_1relay.html#adab0d56fd993df71df3068dea0cd5456',1,'tvm::relay::TypeRelation()'],['../classtvm_1_1TypeRelation.html#ac26b1897eab8197ed26606ab81b7403b',1,'tvm::TypeRelation::TypeRelation()']]],
-  ['typerelationfn_4952',['TypeRelationFn',['../namespacetvm_1_1relay.html#af253112249297a6cfb2a9b94cde0f235',1,'tvm::relay::TypeRelationFn()'],['../namespacetvm.html#a72dcba4493adfcd8908663898ece3514',1,'tvm::TypeRelationFn()']]],
-  ['typerelationnode_4953',['TypeRelationNode',['../classtvm_1_1TypeRelationNode.html',1,'tvm::TypeRelationNode'],['../namespacetvm_1_1relay.html#a89d812eaf13520b04e89a9414c51748c',1,'tvm::relay::TypeRelationNode()']]],
-  ['typereporter_4954',['TypeReporter',['../classtvm_1_1TypeReporter.html',1,'tvm::TypeReporter'],['../classtvm_1_1TypeReporter.html#a8e7e05a07f9f7ad9bea91f27afac9051',1,'tvm::TypeReporter::TypeReporter()'],['../classtvm_1_1TypeReporter.html#aa3dc38a3c84d324d0b3a9f358460a091',1,'tvm::TypeReporter::TypeReporter(ObjectPtr&lt; Object &gt; n)'],['../namespacetvm_1_1relay.html#afa9be9990c2006832cbfc02ebb35e527',1,'tvm::relay::TypeReporter()']]],
-  ['typereporternode_4955',['TypeReporterNode',['../classtvm_1_1TypeReporterNode.html',1,'tvm::TypeReporterNode'],['../namespacetvm_1_1relay.html#aaa3b5700ea20db399f539cec1abcb12b',1,'tvm::relay::TypeReporterNode()']]],
-  ['types_4956',['types',['../classtvm_1_1TupleAffineTypeNode.html#a30c834b7e1cb64467e6587ac16ebb187',1,'tvm::TupleAffineTypeNode']]],
-  ['typevar_4957',['TypeVar',['../classtvm_1_1TypeVar.html',1,'tvm::TypeVar'],['../classtvm_1_1TypeVar.html#adf5ef8e89d162735519b5d125c89e3e3',1,'tvm::TypeVar::TypeVar()'],['../namespacetvm_1_1relay.html#a63321eb51080f3f57dd7563a3ca0bfa6',1,'tvm::relay::TypeVar()']]],
-  ['typevarnode_4958',['TypeVarNode',['../classtvm_1_1TypeVarNode.html',1,'tvm::TypeVarNode'],['../namespacetvm_1_1relay.html#ab2cbe31b81ebd71ea8028a8404a7c9f3',1,'tvm::relay::TypeVarNode()']]],
-  ['typevisitor_4959',['TypeVisitor',['../classtvm_1_1TypeVisitor.html',1,'tvm']]],
-  ['usmp_4960',['usmp',['../namespacetvm_1_1tir_1_1usmp.html',1,'tvm::tir']]],
-  ['vision_4961',['vision',['../namespacetvm_1_1topi_1_1vision.html',1,'tvm::topi']]],
-  ['vm_4962',['vm',['../namespacetvm_1_1runtime_1_1vm.html',1,'tvm::runtime']]],
-  ['x86_4963',['x86',['../namespacetvm_1_1topi_1_1x86.html',1,'tvm::topi']]]
+  ['algo_4361',['algo',['../namespacetvm_1_1tir_1_1usmp_1_1algo.html',1,'tvm::tir::usmp']]],
+  ['arith_4362',['arith',['../namespacetvm_1_1arith.html',1,'tvm']]],
+  ['attr_4363',['attr',['../namespacetvm_1_1attr.html',1,'tvm::attr'],['../namespacetvm_1_1relay_1_1attr.html',1,'tvm::relay::attr'],['../namespacetvm_1_1tir_1_1attr.html',1,'tvm::tir::attr']]],
+  ['attrregistry_4364',['AttrRegistry',['../classtvm_1_1relay_1_1ExecutorRegEntry.html#a419335016b1f81770b99d7c075b4b535',1,'tvm::relay::ExecutorRegEntry::AttrRegistry()'],['../classtvm_1_1relay_1_1RuntimeRegEntry.html#a419335016b1f81770b99d7c075b4b535',1,'tvm::relay::RuntimeRegEntry::AttrRegistry()']]],
+  ['auto_5fscheduler_4365',['auto_scheduler',['../namespacetvm_1_1auto__scheduler.html',1,'tvm']]],
+  ['axis_4366',['axis',['../namespacetvm_1_1script_1_1ir__builder_1_1tir_1_1axis.html',1,'tvm::script::ir_builder::tir']]],
+  ['builtin_4367',['builtin',['../namespacetvm_1_1tir_1_1builtin.html',1,'tvm::tir']]],
+  ['codegen_4368',['codegen',['../namespacetvm_1_1codegen.html',1,'tvm']]],
+  ['contrib_4369',['contrib',['../namespacetvm_1_1runtime_1_1contrib.html',1,'tvm::runtime::contrib'],['../namespacetvm_1_1topi_1_1contrib.html',1,'tvm::topi::contrib']]],
+  ['cuda_4370',['cuda',['../namespacetvm_1_1topi_1_1cuda.html',1,'tvm::topi']]],
+  ['detail_4371',['detail',['../namespacetvm_1_1detail.html',1,'tvm']]],
+  ['details_4372',['details',['../namespacetvm_1_1script_1_1ir__builder_1_1details.html',1,'tvm::script::ir_builder']]],
+  ['generic_4373',['generic',['../namespacetvm_1_1topi_1_1generic.html',1,'tvm::topi']]],
+  ['instrument_4374',['instrument',['../namespacetvm_1_1instrument.html',1,'tvm']]],
+  ['ir_4375',['ir',['../namespacetvm_1_1script_1_1ir__builder_1_1ir.html',1,'tvm::script::ir_builder']]],
+  ['ir_5fbuilder_4376',['ir_builder',['../namespacetvm_1_1script_1_1ir__builder.html',1,'tvm::script']]],
+  ['legalize_4377',['legalize',['../namespacetvm_1_1relay_1_1legalize.html',1,'tvm::relay']]],
+  ['meta_5fschedule_4378',['meta_schedule',['../namespacetvm_1_1meta__schedule.html',1,'tvm']]],
+  ['metadata_4379',['metadata',['../namespacetvm_1_1runtime_1_1metadata.html',1,'tvm::runtime']]],
+  ['micro_5frpc_4380',['micro_rpc',['../namespacetvm_1_1runtime_1_1micro__rpc.html',1,'tvm::runtime']]],
+  ['nn_4381',['nn',['../namespacetvm_1_1topi_1_1nn.html',1,'tvm::topi']]],
+  ['objectequal_4382',['ObjectEqual',['../classtvm_1_1runtime_1_1String.html#ac0e10a4b0b377bd0783ee2b8feba4d2f',1,'tvm::runtime::String']]],
+  ['printer_4383',['printer',['../namespacetvm_1_1script_1_1printer.html',1,'tvm::script']]],
+  ['profiling_4384',['profiling',['../namespacetvm_1_1runtime_1_1profiling.html',1,'tvm::runtime']]],
+  ['qnn_4385',['qnn',['../namespacetvm_1_1relay_1_1qnn.html',1,'tvm::relay']]],
+  ['relay_4386',['relay',['../namespacetvm_1_1relay.html',1,'tvm']]],
+  ['rocm_4387',['rocm',['../namespacetvm_1_1topi_1_1rocm.html',1,'tvm::topi']]],
+  ['runtime_4388',['runtime',['../namespacetvm_1_1runtime.html',1,'tvm']]],
+  ['script_4389',['script',['../namespacetvm_1_1script.html',1,'tvm']]],
+  ['support_4390',['support',['../namespacetvm_1_1support.html',1,'tvm']]],
+  ['symbol_4391',['symbol',['../namespacetvm_1_1runtime_1_1symbol.html',1,'tvm::runtime']]],
+  ['table_4392',['Table',['../classtvm_1_1meta__schedule_1_1ProfilerNode.html#a7a1eb9c0d74739a7a4a124beebf7efcb',1,'tvm::meta_schedule::ProfilerNode']]],
+  ['tag_4393',['tag',['../classtvm_1_1TargetNode.html#a3046260cd16b7b134fa99705b41d2aee',1,'tvm::TargetNode::tag()'],['../classtvm_1_1runtime_1_1ADT.html#ae27124341aa924bfd3e8e0606fb16f1c',1,'tvm::runtime::ADT::tag()'],['../classtvm_1_1ConstructorNode.html#a396a76459b3a46eefdfcd952c69c5ee4',1,'tvm::ConstructorNode::tag()'],['../structtvm_1_1relay_1_1ConstructorValueObj.html#a4367c76ceee867b91ddd790931a1a362',1,'tvm::relay::ConstructorValueObj::tag()'],['../classtvm_1_1runtime_1_1ADTObj.h [...]
+  ['tag_2eh_4394',['tag.h',['../tag_8h.html',1,'']]],
+  ['tags_2eh_4395',['tags.h',['../tags_8h.html',1,'']]],
+  ['take_4396',['take',['../namespacetvm_1_1topi.html#aa1468cc7d8f47a44800fa38d6377ae67',1,'tvm::topi::take(const Tensor &amp;a, const Tensor &amp;indices, int batch_dims, std::string mode=&quot;clip&quot;, std::string name=&quot;T_take&quot;, std::string tag=kInjective)'],['../namespacetvm_1_1topi.html#a6d0f94f4b1cdb78ea65969d820a3903f',1,'tvm::topi::take(const Tensor &amp;a, const Tensor &amp;indices, int batch_dims, int axis, std::string mode=&quot;clip&quot;, std::string name=&quot;T [...]
+  ['takeattrs_4397',['TakeAttrs',['../structtvm_1_1relay_1_1TakeAttrs.html',1,'tvm::relay']]],
+  ['tan_4398',['tan',['../namespacetvm.html#af99838098788d40c80b402f29b3c2e8c',1,'tvm::tan()'],['../namespacetvm_1_1topi.html#a13b757fe52775f43a58d91c0a1330f97',1,'tvm::topi::tan(const Tensor &amp;x, std::string name=&quot;T_&quot; &quot;tan&quot;, std::string tag=kElementWise)']]],
+  ['tanh_4399',['tanh',['../namespacetvm_1_1topi.html#aec153e599d33c78a7592007cde1c02cb',1,'tvm::topi::tanh()'],['../namespacetvm.html#a12c5457301d8a2c03a2ba1163edd7cee',1,'tvm::tanh()']]],
+  ['target_4400',['Target',['../classtvm_1_1Target.html#a58a5a1e042e265fe5a6973045226fe1a',1,'tvm::Target::Target(std::nullptr_t)'],['../classtvm_1_1Target.html#a77f3d7cc97d8cfd7172af58b4e784d89',1,'tvm::Target::Target(const String &amp;tag_or_config_or_target_str)'],['../classtvm_1_1Target.html#ab825b350cf478bf948d807b6fdf636a0',1,'tvm::Target::Target(const Map&lt; String, ObjectRef &gt; &amp;config)'],['../classtvm_1_1Target.html#a1abb29217d8e394f0b88e28178c70bb0',1,'tvm::Target::Targe [...]
+  ['target_4401',['target',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#acf4407e0c8dced81b05b34ec0426c933',1,'tvm::auto_scheduler::SearchTaskNode::target()'],['../classtvm_1_1meta__schedule_1_1BuilderInputNode.html#afc001f3e427cfc8c05236b615cfd2868',1,'tvm::meta_schedule::BuilderInputNode::target()'],['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html#a45a380cfa2edfd63056fb1a00f9aac35',1,'tvm::meta_schedule::TuningRecordNode::target()'],['../classtvm_1_1meta__schedule_1_1 [...]
+  ['target_4402',['Target',['../classtvm_1_1Target.html',1,'tvm']]],
+  ['target_2eh_4403',['target.h',['../target_8h.html',1,'']]],
+  ['target_5fburst_5fbytes_4404',['target_burst_bytes',['../structtvm_1_1PoolInfoPropertiesNode.html#aa1efe29e920f5b003894a2ae3304da17',1,'tvm::PoolInfoPropertiesNode::target_burst_bytes()'],['../structtvm_1_1PoolInfoNode.html#a747c03e3eafc83b053637b735244c6d7',1,'tvm::PoolInfoNode::target_burst_bytes()']]],
+  ['target_5fdata_5ftype_5f_4405',['target_data_type_',['../classtvm_1_1tir_1_1IndexDataTypeNormalizer.html#ac3433736903957d9062b6ebd62cc3a81',1,'tvm::tir::IndexDataTypeNormalizer']]],
+  ['target_5fhost_4406',['target_host',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#afe27bf8cb82dc8a1b6fffb9e5a3e6c20',1,'tvm::auto_scheduler::SearchTaskNode']]],
+  ['target_5finfo_2eh_4407',['target_info.h',['../target__info_8h.html',1,'']]],
+  ['target_5fiter_5fid_4408',['target_iter_id',['../classtvm_1_1auto__scheduler_1_1ComputeAtStepNode.html#a5691967a42b989a54cf8c40c1627988e',1,'tvm::auto_scheduler::ComputeAtStepNode']]],
+  ['target_5fkind_2eh_4409',['target_kind.h',['../target__kind_8h.html',1,'']]],
+  ['target_5fparser_4410',['target_parser',['../classtvm_1_1TargetKindNode.html#a713525ca63d41aacadec9db01d28f59f',1,'tvm::TargetKindNode']]],
+  ['target_5fshape_4411',['target_shape',['../structtvm_1_1relay_1_1AffineGridAttrs.html#a3e8a722c28015e4fa002da324fc6d5b3',1,'tvm::relay::AffineGridAttrs']]],
+  ['target_5fstage_5fid_4412',['target_stage_id',['../classtvm_1_1auto__scheduler_1_1ComputeAtStepNode.html#ad3c69aebb4b821c8e975ce0c58dc8bbb',1,'tvm::auto_scheduler::ComputeAtStepNode']]],
+  ['targetfeatures_4413',['TargetFeatures',['../namespacetvm.html#ace51b98f2d1eed6026fff207a3065749',1,'tvm']]],
+  ['targetinternal_4414',['TargetInternal',['../classtvm_1_1TargetKind.html#a7924ccb2fdea6074cca1978c062fb034',1,'tvm::TargetKind::TargetInternal()'],['../classtvm_1_1TargetKindNode.html#a7924ccb2fdea6074cca1978c062fb034',1,'tvm::TargetKindNode::TargetInternal()'],['../classtvm_1_1Target.html#a7924ccb2fdea6074cca1978c062fb034',1,'tvm::Target::TargetInternal()'],['../classtvm_1_1TargetNode.html#a7924ccb2fdea6074cca1978c062fb034',1,'tvm::TargetNode::TargetInternal()']]],
+  ['targetjson_4415',['TargetJSON',['../namespacetvm.html#ad27a76489f3ede07b5d3f0dd3f97d93c',1,'tvm']]],
+  ['targetkind_4416',['TargetKind',['../classtvm_1_1TargetKind.html#ae811f35863758fb8d1340b14df5cd5fb',1,'tvm::TargetKind::TargetKind()'],['../classtvm_1_1TargetKindRegEntry.html#a75150485a300a03a22d9edad8619cc25',1,'tvm::TargetKindRegEntry::TargetKind()'],['../classtvm_1_1TargetKind.html',1,'tvm::TargetKind']]],
+  ['targetkindattrmap_4417',['TargetKindAttrMap',['../classtvm_1_1TargetKindAttrMap.html#abfd817be636e60822a33429ba30056bd',1,'tvm::TargetKindAttrMap::TargetKindAttrMap()'],['../classtvm_1_1TargetKindAttrMap.html',1,'tvm::TargetKindAttrMap&lt; ValueType &gt;']]],
+  ['targetkindnode_4418',['TargetKindNode',['../classtvm_1_1TargetKindNode.html',1,'tvm']]],
+  ['targetkindregentry_4419',['TargetKindRegEntry',['../classtvm_1_1TargetKindNode.html#a737fff60e8ac6c7549b2f44097fffb48',1,'tvm::TargetKindNode::TargetKindRegEntry()'],['../classtvm_1_1TargetKind.html#a737fff60e8ac6c7549b2f44097fffb48',1,'tvm::TargetKind::TargetKindRegEntry()'],['../classtvm_1_1TargetKindRegEntry.html',1,'tvm::TargetKindRegEntry']]],
+  ['targetnode_4420',['TargetNode',['../classtvm_1_1TargetNode.html',1,'tvm']]],
+  ['targets_4421',['targets',['../structtvm_1_1PoolInfoNode.html#a45f1c1873709d0f38d2e9098b7e979cb',1,'tvm::PoolInfoNode']]],
+  ['targettag_4422',['TargetTag',['../classtvm_1_1TargetTagRegEntry.html#a3674b5e98ceed5b98827394a3bf5d452',1,'tvm::TargetTagRegEntry::TargetTag()'],['../classtvm_1_1TargetTag.html',1,'tvm::TargetTag']]],
+  ['targettagnode_4423',['TargetTagNode',['../classtvm_1_1TargetTagNode.html',1,'tvm']]],
+  ['targettagregentry_4424',['TargetTagRegEntry',['../classtvm_1_1TargetTag.html#a512632a5c1441a191697b6d42860e757',1,'tvm::TargetTag::TargetTagRegEntry()'],['../classtvm_1_1TargetTagNode.html#a512632a5c1441a191697b6d42860e757',1,'tvm::TargetTagNode::TargetTagRegEntry()'],['../classtvm_1_1TargetTagRegEntry.html',1,'tvm::TargetTagRegEntry']]],
+  ['task_4425',['task',['../classtvm_1_1auto__scheduler_1_1MeasureInputNode.html#a317754c1f83425e8e8e7ee5fa2746da4',1,'tvm::auto_scheduler::MeasureInputNode']]],
+  ['task_5finput_5fnames_4426',['task_input_names',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#a910e7713ef04a1e5e125ed195968202e',1,'tvm::auto_scheduler::SearchTaskNode']]],
+  ['task_5fname_4427',['task_name',['../classtvm_1_1meta__schedule_1_1ExtractedTaskNode.html#a92f0cdb010a27536d1c96a8a3f0d0237',1,'tvm::meta_schedule::ExtractedTaskNode::task_name()'],['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#a5cd36a027a0a4b1840bf3884948c6298',1,'tvm::meta_schedule::TuneContextNode::task_name()']]],
+  ['task_5fscheduler_2eh_4428',['task_scheduler.h',['../task__scheduler_8h.html',1,'']]],
+  ['task_5fweight_4429',['task_weight',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html#a9f02491bea4ee36a64fdddee48c03891',1,'tvm::meta_schedule::TaskRecordNode']]],
+  ['taskrecord_4430',['TaskRecord',['../classtvm_1_1meta__schedule_1_1TaskRecord.html#a4acf50c4e2fcad8746243cfd7125d4c5',1,'tvm::meta_schedule::TaskRecord::TaskRecord()'],['../classtvm_1_1meta__schedule_1_1TaskRecord.html',1,'tvm::meta_schedule::TaskRecord']]],
+  ['taskrecordnode_4431',['TaskRecordNode',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html',1,'tvm::meta_schedule']]],
+  ['tasks_5f_4432',['tasks_',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#a6fd355e770730f154d98d07d6555dc6f',1,'tvm::meta_schedule::TaskSchedulerNode']]],
+  ['taskscheduler_4433',['TaskScheduler',['../classtvm_1_1meta__schedule_1_1TaskScheduler.html',1,'tvm::meta_schedule']]],
+  ['taskschedulernode_4434',['TaskSchedulerNode',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html',1,'tvm::meta_schedule']]],
+  ['tcalleffectkind_4435',['TCallEffectKind',['../namespacetvm_1_1tir.html#a651e82a046f157a7d286b0985b3edb84',1,'tvm::tir']]],
+  ['tcodes_4436',['tcodes',['../structTVMArgs.html#a029da77fa4c55b57f3953291072c7bda',1,'TVMArgs']]],
+  ['te_4437',['te',['../namespacetvm_1_1te.html',1,'tvm']]],
+  ['tempexpr_4438',['TempExpr',['../classtvm_1_1relay_1_1TempExpr.html',1,'tvm::relay']]],
+  ['tempexprnode_4439',['TempExprNode',['../classtvm_1_1relay_1_1TempExprNode.html',1,'tvm::relay']]],
+  ['tensor_4440',['tensor',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a22de469ea5521ba12e14f1e8181bae56',1,'tvm::runtime::vm::Instruction']]],
+  ['tensor_4441',['Tensor',['../classtvm_1_1te_1_1Tensor.html#afc8d8e74d1c840359661b39514d6fecf',1,'tvm::te::Tensor::Tensor()'],['../classtvm_1_1te_1_1Tensor.html',1,'tvm::te::Tensor']]],
+  ['tensor_2eh_4442',['tensor.h',['../tensor_8h.html',1,'']]],
+  ['tensor_5fintrin_4443',['tensor_intrin',['../classtvm_1_1te_1_1IterVarAttrNode.html#a6a0d96bbebfd716f851b2ad01738cb3f',1,'tvm::te::IterVarAttrNode']]],
+  ['tensor_5fintrin_2eh_4444',['tensor_intrin.h',['../tensor__intrin_8h.html',1,'']]],
+  ['tensor_5ftype_4445',['tensor_type',['../classtvm_1_1relay_1_1ConstantNode.html#aba3a8fe009d2b14308d6f9e2768e4e71',1,'tvm::relay::ConstantNode']]],
+  ['tensor_5ftype_2eh_4446',['tensor_type.h',['../tensor__type_8h.html',1,'']]],
+  ['tensor_5futils_2eh_4447',['tensor_utils.h',['../tensor__utils_8h.html',1,'']]],
+  ['tensoraffinetype_4448',['TensorAffineType',['../classtvm_1_1TensorAffineType.html#a4928323cbc7280eada697209f444a982',1,'tvm::TensorAffineType::TensorAffineType()'],['../classtvm_1_1TensorAffineType.html',1,'tvm::TensorAffineType']]],
+  ['tensoraffinetypenode_4449',['TensorAffineTypeNode',['../classtvm_1_1TensorAffineTypeNode.html',1,'tvm']]],
+  ['tensorcomputeop_4450',['TensorComputeOp',['../classtvm_1_1te_1_1TensorComputeOp.html#ab75792cce8f5dfd9de03534475f317c0',1,'tvm::te::TensorComputeOp::TensorComputeOp()'],['../classtvm_1_1te_1_1TensorComputeOp.html',1,'tvm::te::TensorComputeOp']]],
+  ['tensorcomputeopnode_4451',['TensorComputeOpNode',['../classtvm_1_1te_1_1TensorComputeOpNode.html#a6448f522f6326aaed2d4137376c9fc78',1,'tvm::te::TensorComputeOpNode::TensorComputeOpNode()'],['../classtvm_1_1te_1_1TensorComputeOpNode.html',1,'tvm::te::TensorComputeOpNode']]],
+  ['tensordom_4452',['TensorDom',['../structtvm_1_1te_1_1TensorDom.html#a1c1c057115d9dbeec11ff717bf18430b',1,'tvm::te::TensorDom::TensorDom()'],['../structtvm_1_1te_1_1TensorDom.html',1,'tvm::te::TensorDom']]],
+  ['tensordot_4453',['tensordot',['../namespacetvm_1_1topi.html#ac1a0190228326bbe8b98622c9696285e',1,'tvm::topi::tensordot(const Tensor &amp;A, const tvm::te::Tensor &amp;B, Array&lt; PrimExpr &gt; A_axes, Array&lt; PrimExpr &gt; B_axes, std::string name=&quot;T_tensordot&quot;, std::string tag=kMatMul)'],['../namespacetvm_1_1topi.html#abf2712c8265393c0582c9c7d5ae22da1',1,'tvm::topi::tensordot(const Tensor &amp;A, const tvm::te::Tensor &amp;B, int axes=2, std::string name=&quot;T_tensord [...]
+  ['tensorinfo_4454',['TensorInfo',['../classtvm_1_1meta__schedule_1_1TensorInfo.html#a4e465d00677302c2b6727f9caa248ca3',1,'tvm::meta_schedule::TensorInfo::TensorInfo()'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfo.html#a5150502c6ab08c7878538188939958d1',1,'tvm::runtime::metadata::TensorInfo::TensorInfo()'],['../classtvm_1_1meta__schedule_1_1TensorInfo.html',1,'tvm::meta_schedule::TensorInfo'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfo.html',1,'tvm::runtime::metadata::Tens [...]
+  ['tensorinfonode_4455',['TensorInfoNode',['../classtvm_1_1runtime_1_1metadata_1_1TensorInfoNode.html#a515ed589d62fb49976baabcaaffd106a',1,'tvm::runtime::metadata::TensorInfoNode::TensorInfoNode()'],['../classtvm_1_1meta__schedule_1_1TensorInfoNode.html',1,'tvm::meta_schedule::TensorInfoNode'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfoNode.html',1,'tvm::runtime::metadata::TensorInfoNode']]],
+  ['tensorintrin_4456',['TensorIntrin',['../classtvm_1_1tir_1_1TensorIntrin.html#af5a94c7b098b56056e02eaf187e6871c',1,'tvm::tir::TensorIntrin::TensorIntrin()'],['../classtvm_1_1te_1_1TensorIntrin.html#a4ff4237911227bf80b3076906dc3b7ea',1,'tvm::te::TensorIntrin::TensorIntrin()'],['../classtvm_1_1te_1_1TensorIntrin.html',1,'tvm::te::TensorIntrin'],['../classtvm_1_1tir_1_1TensorIntrin.html',1,'tvm::tir::TensorIntrin']]],
+  ['tensorintrincall_4457',['TensorIntrinCall',['../classtvm_1_1te_1_1TensorIntrinCall.html#a91c10074ce6babeba78fe72a0aab4b52',1,'tvm::te::TensorIntrinCall::TensorIntrinCall()'],['../classtvm_1_1te_1_1TensorIntrinCall.html',1,'tvm::te::TensorIntrinCall']]],
+  ['tensorintrincallnode_4458',['TensorIntrinCallNode',['../classtvm_1_1te_1_1TensorIntrinCallNode.html',1,'tvm::te']]],
+  ['tensorintrinnode_4459',['TensorIntrinNode',['../classtvm_1_1te_1_1TensorIntrinNode.html#ad59e7f2b881fc798a8c64fd3959f929c',1,'tvm::te::TensorIntrinNode::TensorIntrinNode()'],['../classtvm_1_1te_1_1TensorIntrinNode.html',1,'tvm::te::TensorIntrinNode'],['../classtvm_1_1tir_1_1TensorIntrinNode.html',1,'tvm::tir::TensorIntrinNode']]],
+  ['tensorize_4460',['Tensorize',['../classtvm_1_1tir_1_1ScheduleNode.html#afa67abdb51145a49d42cd1464429d928',1,'tvm::tir::ScheduleNode::Tensorize(const BlockRV &amp;block_rv, const String &amp;intrin, bool preserve_unit_iters=true)=0'],['../classtvm_1_1tir_1_1ScheduleNode.html#a66983e2dde6aeb18b443616398fff8bf',1,'tvm::tir::ScheduleNode::Tensorize(const LoopRV &amp;loop_rv, const String &amp;intrin, bool preserve_unit_iters=true)=0']]],
+  ['tensorize_4461',['tensorize',['../classtvm_1_1te_1_1Stage.html#ab5fe485e1d730c36b096c060b8d2ef9d',1,'tvm::te::Stage']]],
+  ['tensornode_4462',['TensorNode',['../classtvm_1_1te_1_1TensorNode.html#a153569448cb1bf9d2924d35639c3b8b8',1,'tvm::te::TensorNode::TensorNode()'],['../classtvm_1_1te_1_1TensorNode.html',1,'tvm::te::TensorNode']]],
+  ['tensors_4463',['tensors',['../classtvm_1_1auto__scheduler_1_1ComputeDAGNode.html#afc71b9ecc0d6b82a5c2ab3250f01514b',1,'tvm::auto_scheduler::ComputeDAGNode::tensors()'],['../classtvm_1_1te_1_1TensorIntrinCallNode.html#a92b543750ea55b9cfd6852139e2ddbd6',1,'tvm::te::TensorIntrinCallNode::tensors()']]],
+  ['tensortype_4464',['TensorType',['../namespacetvm_1_1relay.html#a52c13723bba53f4953dfd10c34d480f8',1,'tvm::relay::TensorType()'],['../classtvm_1_1TensorType.html#ade4460e9b02b42757a83808dec478b87',1,'tvm::TensorType::TensorType()'],['../classtvm_1_1TensorType.html',1,'tvm::TensorType']]],
+  ['tensortypenode_4465',['TensorTypeNode',['../namespacetvm_1_1relay.html#acfeff91bc774a278a2e9f6103a04387d',1,'tvm::relay::TensorTypeNode()'],['../classtvm_1_1TensorTypeNode.html',1,'tvm::TensorTypeNode']]],
+  ['terminalrenderer_4466',['TerminalRenderer',['../namespacetvm.html#a69a0e3f559d3a3b98d42701117d93ed0',1,'tvm']]],
+  ['terminatesession_4467',['TerminateSession',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html#a4816ac92f5e3a35e5c9c7bf8a8d8f35a',1,'tvm::runtime::micro_rpc::Session']]],
+  ['terminatetask_4468',['TerminateTask',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#a00bbe38e31855fd4534c08bfb4edadc7',1,'tvm::meta_schedule::TaskSchedulerNode']]],
+  ['test_4469',['test',['../classtvm_1_1script_1_1printer_1_1AssertDocNode.html#ac721eddf39251116e55dae1715f04635',1,'tvm::script::printer::AssertDocNode::test()'],['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#adebc0e955069bdaa543df3a908351532',1,'tvm::runtime::vm::Instruction::test()']]],
+  ['texture2d_5fload_4470',['texture2d_load',['../namespacetvm_1_1tir_1_1builtin.html#ae52feb3e7828262a1b8a31062642ae36',1,'tvm::tir::builtin']]],
+  ['texture2d_5fstore_4471',['texture2d_store',['../namespacetvm_1_1tir_1_1builtin.html#a6ea87b06a1eb1050b7503ef6cc1bab34',1,'tvm::tir::builtin']]],
+  ['textureflatten_4472',['TextureFlatten',['../namespacetvm_1_1tir_1_1transform.html#ab19311e5b5ae82ad85ec3fdd7ea4556e',1,'tvm::tir::transform']]],
+  ['tglobalsymbol_4473',['TGlobalSymbol',['../namespacetvm_1_1tir.html#a0a81e48fe15eb305b96806e55e01a8c1',1,'tvm::tir']]],
+  ['thashcode_4474',['THashCode',['../classtvm_1_1meta__schedule_1_1WorkloadNode.html#aad19a5cb8e2c623e355ca5e2a98c4c18',1,'tvm::meta_schedule::WorkloadNode::THashCode()'],['../classtvm_1_1meta__schedule_1_1Workload.html#a561f6589a2577bd7fa502284d4d22445',1,'tvm::meta_schedule::Workload::THashCode()']]],
+  ['then_4475',['Then',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a289367554cd7d7de1d6c5b3496d1f453',1,'tvm::script::ir_builder::tir']]],
+  ['then_5fbranch_4476',['then_branch',['../classtvm_1_1script_1_1printer_1_1IfDocNode.html#a9b27f10e02e7a3ed7b7e549cd013f01d',1,'tvm::script::printer::IfDocNode']]],
+  ['then_5fcase_4477',['then_case',['../classtvm_1_1tir_1_1IfThenElseNode.html#ae7245cad13c62b608897f8c7ba70b6f6',1,'tvm::tir::IfThenElseNode']]],
+  ['then_5fstmts_4478',['then_stmts',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1IfFrameNode.html#a6e9c33bfd81abcb7e56caa8f30f16a45',1,'tvm::script::ir_builder::tir::IfFrameNode']]],
+  ['thenframe_4479',['ThenFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ThenFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['thenframenode_4480',['ThenFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ThenFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['thread_5faxis_4481',['thread_axis',['../namespacetvm_1_1te.html#aacca360b75a7db1eb79785bff8c6e205',1,'tvm::te']]],
+  ['thread_5fbind_2eh_4482',['thread_bind.h',['../thread__bind_8h.html',1,'']]],
+  ['thread_5fbinding_4483',['thread_binding',['../classtvm_1_1tir_1_1ForNode.html#a0defab036f2eb9d431f549b8522050c8',1,'tvm::tir::ForNode']]],
+  ['thread_5fextent_4484',['thread_extent',['../namespacetvm_1_1tir_1_1attr.html#a399eed804ecc482a607f0f65f07b78dd',1,'tvm::tir::attr']]],
+  ['thread_5ftag_4485',['thread_tag',['../classtvm_1_1tir_1_1IterVarNode.html#a2addeb80da895ace5d42423cc959f862',1,'tvm::tir::IterVarNode']]],
+  ['threadbinding_4486',['ThreadBinding',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a77dbf4a5b04d1c68ef5aae7c546a9a39',1,'tvm::script::ir_builder::tir']]],
+  ['threadgroup_4487',['ThreadGroup',['../classtvm_1_1runtime_1_1threading_1_1ThreadGroup.html#ac5d6f6fc93271e3627201be7cfcde287',1,'tvm::runtime::threading::ThreadGroup::ThreadGroup()'],['../classtvm_1_1runtime_1_1threading_1_1ThreadGroup.html',1,'tvm::runtime::threading::ThreadGroup']]],
+  ['threading_4488',['threading',['../namespacetvm_1_1runtime_1_1threading.html',1,'tvm::runtime']]],
+  ['threading_5fbackend_2eh_4489',['threading_backend.h',['../threading__backend_8h.html',1,'']]],
+  ['threadsync_4490',['ThreadSync',['../namespacetvm_1_1tir_1_1transform.html#a0010cbc20ee308819046d77ad58b62cf',1,'tvm::tir::transform']]],
+  ['threefrygenerateattrs_4491',['ThreefryGenerateAttrs',['../structtvm_1_1relay_1_1ThreefryGenerateAttrs.html',1,'tvm::relay']]],
+  ['threshold_4492',['threshold',['../structtvm_1_1relay_1_1ProposalAttrs.html#ae8473a10238c2e4f21875b7e40cbdc10',1,'tvm::relay::ProposalAttrs::threshold()'],['../structtvm_1_1relay_1_1MultiBoxTransformLocAttrs.html#aad37e7285068b8ea93b27caaad5409d2',1,'tvm::relay::MultiBoxTransformLocAttrs::threshold()']]],
+  ['tile_4493',['tile',['../namespacetvm_1_1topi.html#a46fb3ad8c3324ee094fb356ebc07245f',1,'tvm::topi::tile()'],['../classtvm_1_1te_1_1Stage.html#a7a42ba3166c506fcacf596ac13553b67',1,'tvm::te::Stage::tile()']]],
+  ['tile_5fcols_4494',['tile_cols',['../structtvm_1_1relay_1_1ConvGemmWeightTransformAttrs.html#a0f0514e508ccf44050c577f6b6959c3f',1,'tvm::relay::ConvGemmWeightTransformAttrs']]],
+  ['tile_5frows_4495',['tile_rows',['../structtvm_1_1relay_1_1ConvGemmWeightTransformAttrs.html#ae4937a44ca013ca6a91a86794ef9fb17',1,'tvm::relay::ConvGemmWeightTransformAttrs']]],
+  ['tile_5fsize_4496',['tile_size',['../structtvm_1_1relay_1_1Conv2DWinogradAttrs.html#a3d89aa2ad84ad78f147b5bf068f89f62',1,'tvm::relay::Conv2DWinogradAttrs::tile_size()'],['../structtvm_1_1relay_1_1Conv3DWinogradAttrs.html#a67f940d2505ef19f2d8b4fd4cb1fd6d7',1,'tvm::relay::Conv3DWinogradAttrs::tile_size()'],['../structtvm_1_1relay_1_1ConvWinogradWeightTransformAttrs.html#a53c9fee4031f509f6cd8bf148843690f',1,'tvm::relay::ConvWinogradWeightTransformAttrs::tile_size()']]],
+  ['tileattrs_4497',['TileAttrs',['../structtvm_1_1relay_1_1TileAttrs.html',1,'tvm::relay']]],
+  ['time_5fcost_4498',['time_cost',['../classtvm_1_1auto__scheduler_1_1BuildResultNode.html#a7ed923852fb8afd025326a2579ed8423',1,'tvm::auto_scheduler::BuildResultNode']]],
+  ['timedscope_4499',['TimedScope',['../classtvm_1_1meta__schedule_1_1Profiler.html#a1d1dec276ea195395fc88dc0a140e6e5',1,'tvm::meta_schedule::Profiler']]],
+  ['timeout_4500',['timeout',['../classtvm_1_1auto__scheduler_1_1ProgramBuilderNode.html#a4a4966dcb5eac6a1bce53cfd4dd483e6',1,'tvm::auto_scheduler::ProgramBuilderNode::timeout()'],['../classtvm_1_1auto__scheduler_1_1ProgramRunnerNode.html#af92f4d4bc16b73a6664cdea6e2dfde81',1,'tvm::auto_scheduler::ProgramRunnerNode::timeout()']]],
+  ['timer_4501',['timer',['../structtvm_1_1runtime_1_1profiling_1_1CallFrame.html#a2315e3b8fa996924b42df643d07d86ee',1,'tvm::runtime::profiling::CallFrame']]],
+  ['timer_4502',['Timer',['../classtvm_1_1runtime_1_1Timer.html',1,'tvm::runtime']]],
+  ['timernode_4503',['TimerNode',['../classtvm_1_1runtime_1_1TimerNode.html',1,'tvm::runtime']]],
+  ['timestamp_4504',['timestamp',['../classtvm_1_1auto__scheduler_1_1MeasureResultNode.html#a8b19513d8b082d04eba41a9f353beee0',1,'tvm::auto_scheduler::MeasureResultNode']]],
+  ['tir_4505',['tir',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html',1,'tvm::script::ir_builder::tir'],['../namespacetvm_1_1tir.html',1,'tvm::tir']]],
+  ['tir_5fprefix_4506',['tir_prefix',['../classtvm_1_1PrinterConfigNode.html#a2148a7bfe39c1cc3c645da5a00fc122c',1,'tvm::PrinterConfigNode']]],
+  ['tirframe_4507',['TIRFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrame.html#a70e34dbed98c143d552dff607368d8a6',1,'tvm::script::ir_builder::tir::TIRFrame::TIRFrame()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrame.html',1,'tvm::script::ir_builder::tir::TIRFrame']]],
+  ['tirframenode_4508',['TIRFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['tnoncomputational_4509',['TNonComputational',['../namespacetvm_1_1relay.html#ab4c835287dfc5ec074ea8431bcebbb17',1,'tvm::relay']]],
+  ['toanormalform_4510',['ToANormalForm',['../namespacetvm_1_1relay_1_1transform.html#a8cb03cf00b2ddf25f183ab837cde713c',1,'tvm::relay::transform::ToANormalForm()'],['../namespacetvm_1_1relay_1_1transform.html#a256d361d98e061197dd5d9d1d457fb19',1,'tvm::relay::transform::ToANormalForm(const Expr &amp;expr)']]],
+  ['tobasicblocknormalform_4511',['ToBasicBlockNormalForm',['../namespacetvm_1_1relay_1_1transform.html#a6185cc89297d9216551db7a3816d5180',1,'tvm::relay::transform']]],
+  ['tocps_4512',['ToCPS',['../namespacetvm_1_1relay_1_1transform.html#ad90e4d6ac08b62ef553755e759d398fa',1,'tvm::relay::transform::ToCPS()'],['../namespacetvm_1_1relay.html#ab9548d99857f7698f4fe64d056dcd46a',1,'tvm::relay::ToCPS()']]],
+  ['todebugstring_4513',['ToDebugString',['../classtvm_1_1TargetNode.html#a41181a3757227725abc614e976b264ad',1,'tvm::TargetNode']]],
+  ['todevice_4514',['ToDevice',['../classtvm_1_1VirtualDeviceNode.html#ac3324e6e3ddb8938f7e98c9312b44c64',1,'tvm::VirtualDeviceNode']]],
+  ['todlpack_4515',['ToDLPack',['../classtvm_1_1runtime_1_1NDArray.html#ab7238434803d6a171318495fe46dc977',1,'tvm::runtime::NDArray']]],
+  ['todual_4516',['ToDual',['../classtvm_1_1tir_1_1LayoutAxis.html#a54c175b562828e2ea878aaaa5411930a',1,'tvm::tir::LayoutAxis']]],
+  ['tographnormalform_4517',['ToGraphNormalForm',['../namespacetvm_1_1relay_1_1transform.html#a2cfef0e02cca22f3e0e85e0a10a82f5b',1,'tvm::relay::transform']]],
+  ['top_5fk_4518',['top_k',['../structtvm_1_1relay_1_1NonMaximumSuppressionAttrs.html#ad9e2e281399a290410757e948784b93a',1,'tvm::relay::NonMaximumSuppressionAttrs']]],
+  ['topi_4519',['topi',['../namespacetvm_1_1topi.html',1,'tvm']]],
+  ['topi_5fdeclare_5funary_5fop_4520',['TOPI_DECLARE_UNARY_OP',['../elemwise_8h.html#af185de28568db73253bc391f202b6b02',1,'elemwise.h']]],
+  ['topi_5fdefine_5fbcast_5fop_4521',['TOPI_DEFINE_BCAST_OP',['../broadcast_8h.html#acb867d9c8250a748f59b5a47711b495a',1,'broadcast.h']]],
+  ['topi_5fdefine_5fop_5foverload_4522',['TOPI_DEFINE_OP_OVERLOAD',['../broadcast_8h.html#a7f3943bdaba8836ffa7d14457a7d730c',1,'broadcast.h']]],
+  ['topisstateful_4523',['TOpIsStateful',['../namespacetvm_1_1relay.html#afb8a8d4dd43830d4ce7d566abcd1c450',1,'tvm::relay']]],
+  ['topkattrs_4524',['TopKAttrs',['../structtvm_1_1relay_1_1TopKAttrs.html',1,'tvm::relay']]],
+  ['toppattern_4525',['TOpPattern',['../namespacetvm_1_1relay.html#a5dab2ddae20ac7564a81ab3a0a9aba76',1,'tvm::relay']]],
+  ['toprimal_4526',['ToPrimal',['../classtvm_1_1tir_1_1LayoutAxis.html#a45791b7980bfaf0791bdf8e97f1775b0',1,'tvm::tir::LayoutAxis']]],
+  ['topythonstring_4527',['ToPythonString',['../classtvm_1_1tir_1_1IndexMapNode.html#ac1d6436a2b756319e6005da64d5edebd',1,'tvm::tir::IndexMapNode']]],
+  ['torchruntimecreate_4528',['TorchRuntimeCreate',['../namespacetvm_1_1runtime_1_1contrib.html#a9f22008a54a14d817f75207666ef433d',1,'tvm::runtime::contrib']]],
+  ['tosizevar_4529',['ToSizeVar',['../classtvm_1_1tir_1_1AnyNode.html#a741b57467c114e83182910df14fca3be',1,'tvm::tir::AnyNode']]],
+  ['tostr_4530',['ToStr',['../classtvm_1_1auto__scheduler_1_1State.html#acc2b1cbaece2a0cd0d0d26f808b2331b',1,'tvm::auto_scheduler::State']]],
+  ['tostring_4531',['ToString',['../classtvm_1_1relay_1_1FeatureSet.html#ad496face33ee548cd323d80ff8e098d3',1,'tvm::relay::FeatureSet']]],
+  ['tosubordinate_4532',['ToSubordinate',['../classtvm_1_1tir_1_1LayoutAxis.html#a51c581fb0346938402eef49d12398d79',1,'tvm::tir::LayoutAxis']]],
+  ['total_5ftimer_4533',['total_timer',['../classtvm_1_1meta__schedule_1_1ProfilerNode.html#a97841f5cf4d1cf688fb38715507865ad',1,'tvm::meta_schedule::ProfilerNode']]],
+  ['totupletype_4534',['ToTupleType',['../namespacetvm_1_1relay.html#ae6757a008816e31cce4109e8dfc2bc16',1,'tvm::relay']]],
+  ['touchtask_4535',['TouchTask',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#af2471f9b70cc8bfd39c1e1f26310da85',1,'tvm::meta_schedule::TaskSchedulerNode']]],
+  ['tovar_4536',['ToVar',['../classtvm_1_1tir_1_1AnyNode.html#ae01ebbba2378afb6509a22de97f8fb30',1,'tvm::tir::AnyNode']]],
+  ['tparent_4537',['TParent',['../classtvm_1_1OpAttrMap.html#a316480ca7450209650fc1a62f7ce4a14',1,'tvm::OpAttrMap::TParent()'],['../classtvm_1_1TargetKindAttrMap.html#a37eb6bfb0d881cf897147b17ff7d3265',1,'tvm::TargetKindAttrMap::TParent()']]],
+  ['trace_4538',['Trace',['../classtvm_1_1tir_1_1Trace.html#af79bccf1bde25efea387bb1b82dacaa6',1,'tvm::tir::Trace::Trace(Array&lt; Instruction &gt; insts, Map&lt; Instruction, ObjectRef &gt; decisions)'],['../classtvm_1_1tir_1_1Trace.html#a8e09abffd0b9b1afac7b832cf16c142d',1,'tvm::tir::Trace::Trace()']]],
+  ['trace_4539',['trace',['../classtvm_1_1tir_1_1ScheduleNode.html#a953bca4123b5a758adfdcd65634a5f3b',1,'tvm::tir::ScheduleNode::trace()'],['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html#a8cc2d64f796593a1a774eef259f17b29',1,'tvm::meta_schedule::TuningRecordNode::trace()']]],
+  ['trace_4540',['Trace',['../classtvm_1_1tir_1_1Trace.html',1,'tvm::tir']]],
+  ['trace_2eh_4541',['trace.h',['../trace_8h.html',1,'']]],
+  ['traced_4542',['Traced',['../classtvm_1_1tir_1_1Schedule.html#a15eec0ab3d2caa651f68ad7c88ed31eb',1,'tvm::tir::Schedule']]],
+  ['tracenode_4543',['TraceNode',['../classtvm_1_1tir_1_1TraceNode.html',1,'tvm::tir']]],
+  ['trandstate_4544',['TRandState',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html#aa184b0eb048b357df078c4ed5e0155e6',1,'tvm::meta_schedule::TuneContextNode::TRandState()'],['../classtvm_1_1meta__schedule_1_1TuneContext.html#af7fd90f18bece51fa3c212b73eca2f27',1,'tvm::meta_schedule::TuneContext::TRandState()'],['../classtvm_1_1support_1_1LinearCongruentialEngine.html#a4d3a3a94a3f3d2dfab4b5ccb1a7e97de',1,'tvm::support::LinearCongruentialEngine::TRandState()']]],
+  ['transform_4545',['Transform',['../classtvm_1_1te_1_1Transform.html#a51422cc2290f6b87fe61edb0db691125',1,'tvm::te::Transform']]],
+  ['transform_4546',['transform',['../namespacetvm_1_1relay_1_1qnn_1_1transform.html',1,'tvm::relay::qnn::transform'],['../namespacetvm_1_1relay_1_1transform.html',1,'tvm::relay::transform']]],
+  ['transform_4547',['Transform',['../classtvm_1_1te_1_1Transform.html',1,'tvm::te']]],
+  ['transform_4548',['transform',['../namespacetvm_1_1tir_1_1transform.html',1,'tvm::tir::transform'],['../namespacetvm_1_1tir_1_1usmp_1_1transform.html',1,'tvm::tir::usmp::transform'],['../namespacetvm_1_1transform.html',1,'tvm::transform']]],
+  ['transform_2eh_4549',['transform.h',['../topi_2transform_8h.html',1,'(Global Namespace)'],['../ir_2transform_8h.html',1,'(Global Namespace)'],['../relay_2attrs_2transform_8h.html',1,'(Global Namespace)'],['../relay_2qnn_2transform_8h.html',1,'(Global Namespace)'],['../relay_2transform_8h.html',1,'(Global Namespace)'],['../tir_2transform_8h.html',1,'(Global Namespace)'],['../tir_2usmp_2transform_8h.html',1,'(Global Namespace)']]],
+  ['transform_5flayout_4550',['transform_layout',['../classtvm_1_1te_1_1Stage.html#acec77eca6c9a4f1738a7c119d7ac2c2c',1,'tvm::te::Stage']]],
+  ['transform_5fstep_2eh_4551',['transform_step.h',['../transform__step_8h.html',1,'']]],
+  ['transform_5fsteps_4552',['transform_steps',['../classtvm_1_1auto__scheduler_1_1StateNode.html#a980f03e5744ed104cf231219a4895d5e',1,'tvm::auto_scheduler::StateNode']]],
+  ['transformblocklayout_4553',['TransformBlockLayout',['../classtvm_1_1tir_1_1ScheduleNode.html#a998b22e37ef63a697a984c8ebcc39ca2',1,'tvm::tir::ScheduleNode']]],
+  ['transformed_5fvariables_4554',['transformed_variables',['../classtvm_1_1te_1_1TransformNode.html#a034d22228133e50074502bfe1f495935',1,'tvm::te::TransformNode']]],
+  ['transformlayout_4555',['TransformLayout',['../classtvm_1_1tir_1_1ScheduleNode.html#af4943cc242cec3064a5127515c22219b',1,'tvm::tir::ScheduleNode']]],
+  ['transformmmabufferlayout_4556',['TransformMmaBufferLayout',['../namespacetvm_1_1tir_1_1transform.html#a1956116a1d54ea3f33c68b35792f720e',1,'tvm::tir::transform']]],
+  ['transformnode_4557',['TransformNode',['../classtvm_1_1te_1_1TransformNode.html',1,'tvm::te']]],
+  ['transitive_5fcomparisons_4558',['transitive_comparisons',['../classtvm_1_1arith_1_1Analyzer.html#a23e266bcb0d9b5ca6cc01c691271db72',1,'tvm::arith::Analyzer']]],
+  ['transitivecomparisonanalyzer_4559',['TransitiveComparisonAnalyzer',['../classtvm_1_1arith_1_1TransitiveComparisonAnalyzer.html',1,'tvm::arith']]],
+  ['transpose_4560',['transpose',['../namespacetvm_1_1topi.html#a1488ee98fd053e8b01b481f720df77fa',1,'tvm::topi']]],
+  ['transpose_5fa_4561',['transpose_a',['../structtvm_1_1relay_1_1BatchMatmulAttrs.html#aea3a5e93559981fc31122615d677d831',1,'tvm::relay::BatchMatmulAttrs::transpose_a()'],['../structtvm_1_1relay_1_1MatmulAttrs.html#a397aa1573fc7e0bc13930390298a22fc',1,'tvm::relay::MatmulAttrs::transpose_a()']]],
+  ['transpose_5fb_4562',['transpose_b',['../structtvm_1_1relay_1_1BatchMatmulAttrs.html#a05710acb6565be899d567f642a26639a',1,'tvm::relay::BatchMatmulAttrs::transpose_b()'],['../structtvm_1_1relay_1_1MatmulAttrs.html#afd2c250d27a093cd4afbdb6d6fa7e370',1,'tvm::relay::MatmulAttrs::transpose_b()']]],
+  ['transposeattrs_4563',['TransposeAttrs',['../structtvm_1_1relay_1_1TransposeAttrs.html',1,'tvm::relay']]],
+  ['traverseafterreduce_4564',['TraverseAfterReduce',['../namespacetvm_1_1topi_1_1cuda.html#a9009672dab261008d66d4e59d896935f',1,'tvm::topi::cuda']]],
+  ['traversebeforereduce_4565',['TraverseBeforeReduce',['../namespacetvm_1_1topi_1_1cuda.html#a9d51320c5b9bd9147018689b1b5f1279',1,'tvm::topi::cuda']]],
+  ['treshapeop_4566',['TReshapeOp',['../namespacetvm_1_1relay.html#a7c86efe3d58b34726786cfe79f616191',1,'tvm::relay']]],
+  ['triluattrs_4567',['TriluAttrs',['../structtvm_1_1relay_1_1TriluAttrs.html',1,'tvm::relay']]],
+  ['true_5fbranch_4568',['true_branch',['../classtvm_1_1relay_1_1IfPatternNode.html#ad292c855acc88167ab25acd200c01d48',1,'tvm::relay::IfPatternNode::true_branch()'],['../classtvm_1_1relay_1_1IfNode.html#abbda9637de8fac5d227ef7c305e5f34e',1,'tvm::relay::IfNode::true_branch()']]],
+  ['true_5foffset_4569',['true_offset',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#a58e6e5eba0eba678b94273ef7deb1df2',1,'tvm::runtime::vm::Instruction']]],
+  ['true_5fvalue_4570',['true_value',['../classtvm_1_1tir_1_1SelectNode.html#a35e913f542bd1231b92adfc2d58938ef',1,'tvm::tir::SelectNode']]],
+  ['trunc_4571',['trunc',['../namespacetvm_1_1topi.html#a358fbedbd89b1225958eeff6230cf926',1,'tvm::topi::trunc()'],['../namespacetvm.html#a5472f967969aebee254e8e78f2396436',1,'tvm::trunc()']]],
+  ['trunc_5fdivide_4572',['trunc_divide',['../namespacetvm_1_1topi.html#aa705916cb0961abc998d4a4d9efac74b',1,'tvm::topi::trunc_divide(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;trunc_divide&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#acd9b99bd322a6d7339b6aea2fa7e49ae',1,'tvm::topi::trunc_divide(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B, std::string name=&quot;T_&quot; &quot;trunc_divide&quot;, s [...]
+  ['trunc_5fmod_4573',['trunc_mod',['../namespacetvm_1_1topi.html#a39441ae0b7b4003c05efb1ce8bafdd83',1,'tvm::topi::trunc_mod(const tvm::PrimExpr &amp;A, const tvm::te::Tensor &amp;B, std::string name=&quot;T_&quot; &quot;trunc_mod&quot;, std::string tag=kElementWise)'],['../namespacetvm_1_1topi.html#a69c65a01037ee4f8c785ddaf3f640dbd',1,'tvm::topi::trunc_mod(const tvm::te::Tensor &amp;A, const tvm::PrimExpr &amp;B, std::string name=&quot;T_&quot; &quot;trunc_mod&quot;, std::string tag=kEl [...]
+  ['truncdiv_4574',['truncdiv',['../namespacetvm.html#aa71d2e133a1311571af1b1a61bcf956d',1,'tvm::truncdiv(int a, const PrimExpr &amp;b, Span span=Span())'],['../namespacetvm.html#acb5a87ae3bdd224a421df3f22a64338d',1,'tvm::truncdiv(const PrimExpr &amp;a, int b, Span span=Span())'],['../namespacetvm.html#ac62b239b36ad259a118bb20cb78a01a2',1,'tvm::truncdiv(PrimExpr a, PrimExpr b, Span span=Span())']]],
+  ['truncmod_4575',['truncmod',['../namespacetvm.html#a2428ea0e23bd9f7218aebd066bb2cd88',1,'tvm::truncmod(PrimExpr a, PrimExpr b, Span span=Span())'],['../namespacetvm.html#aa7e06644ed017169c5ce6829445ab754',1,'tvm::truncmod(const PrimExpr &amp;a, int b, Span span=Span())'],['../namespacetvm.html#aa388c5d23548438d00d64d0084e82391',1,'tvm::truncmod(int a, const PrimExpr &amp;b, Span span=Span())']]],
+  ['trycompare_4576',['TryCompare',['../classtvm_1_1arith_1_1TransitiveComparisonAnalyzer.html#ad00ac744402de84c7c3d6f2f46a6f7c7',1,'tvm::arith::TransitiveComparisonAnalyzer']]],
+  ['tscriptdtypeprintlocation_4577',['TScriptDtypePrintLocation',['../namespacetvm_1_1tir.html#ae85a9723d52c53bd767ae70236358289',1,'tvm::tir']]],
+  ['tscriptprintername_4578',['TScriptPrinterName',['../namespacetvm_1_1tir.html#a617163e8161d114528cfc73199d0cc3c',1,'tvm::tir']]],
+  ['tself_4579',['TSelf',['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#afaeae1b79f815895ab9ab09b444e7ba3',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;::TSelf()'],['../classtvm_1_1runtime_1_1PackedFuncSubObj.html#a87d39179dda6dd2c54112b80b9acc89d',1,'tvm::runtime::PackedFuncSubObj::TSelf()'],['../classtvm_1_1TypedEnvFunc_3_01R_07Args_8_8_8_08_4.html#a29adf4db79c99318db779a71f26acc0f',1,'tvm::TypedEnvFunc&lt; R(Args...)&gt;::TSelf()'],['../structtvm_1_1det [...]
+  ['tshapedatadependent_4580',['TShapeDataDependent',['../namespacetvm_1_1relay.html#a75edb2b00671af1cf3274a5975ecba77',1,'tvm::relay']]],
+  ['tune_4581',['Tune',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#aaf52b3eeb6010128d146cee6413ce8d3',1,'tvm::meta_schedule::TaskSchedulerNode::Tune()'],['../classtvm_1_1meta__schedule_1_1PyTaskSchedulerNode.html#a941c230f85e3e60d33b47eaacbfcd160',1,'tvm::meta_schedule::PyTaskSchedulerNode::Tune()']]],
+  ['tune_5fcontext_2eh_4582',['tune_context.h',['../tune__context_8h.html',1,'']]],
+  ['tunecontext_4583',['TuneContext',['../classtvm_1_1meta__schedule_1_1TuneContext.html#a9e88241c8f068410b475d443082fd52d',1,'tvm::meta_schedule::TuneContext::TuneContext()'],['../classtvm_1_1meta__schedule_1_1TuneContext.html',1,'tvm::meta_schedule::TuneContext']]],
+  ['tunecontextnode_4584',['TuneContextNode',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html',1,'tvm::meta_schedule']]],
+  ['tuningoptions_4585',['TuningOptions',['../classtvm_1_1auto__scheduler_1_1TuningOptions.html#ac869d3a3e888c77677b62d064fec0f6e',1,'tvm::auto_scheduler::TuningOptions::TuningOptions()'],['../classtvm_1_1auto__scheduler_1_1TuningOptions.html',1,'tvm::auto_scheduler::TuningOptions']]],
+  ['tuningoptionsnode_4586',['TuningOptionsNode',['../classtvm_1_1auto__scheduler_1_1TuningOptionsNode.html',1,'tvm::auto_scheduler']]],
+  ['tuningrecord_4587',['TuningRecord',['../classtvm_1_1meta__schedule_1_1TuningRecord.html#aa4699af50f91bda306e6c199766c4757',1,'tvm::meta_schedule::TuningRecord::TuningRecord()'],['../classtvm_1_1meta__schedule_1_1TuningRecord.html',1,'tvm::meta_schedule::TuningRecord']]],
+  ['tuningrecordnode_4588',['TuningRecordNode',['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html',1,'tvm::meta_schedule']]],
+  ['tuple_4589',['Tuple',['../classtvm_1_1relay_1_1Tuple.html#a284e236318986fd385a02aa68bd3e938',1,'tvm::relay::Tuple::Tuple()'],['../classtvm_1_1runtime_1_1ADT.html#a871e902541f0a7e550e74ae0c621994c',1,'tvm::runtime::ADT::Tuple()']]],
+  ['tuple_4590',['tuple',['../classtvm_1_1relay_1_1TupleGetItemPatternNode.html#a1fdd79b2fbbf3d7a14cea7e7efc38574',1,'tvm::relay::TupleGetItemPatternNode::tuple()'],['../classtvm_1_1relay_1_1TupleGetItemNode.html#aade4882f84d828975c689b5c6b1b68e6',1,'tvm::relay::TupleGetItemNode::tuple()']]],
+  ['tuple_4591',['Tuple',['../classtvm_1_1relay_1_1Tuple.html',1,'tvm::relay']]],
+  ['tupleaffinetype_4592',['TupleAffineType',['../classtvm_1_1TupleAffineType.html#afced247570984fed7386c147d02efb79',1,'tvm::TupleAffineType::TupleAffineType()'],['../classtvm_1_1TupleAffineType.html',1,'tvm::TupleAffineType']]],
+  ['tupleaffinetypenode_4593',['TupleAffineTypeNode',['../classtvm_1_1TupleAffineTypeNode.html',1,'tvm']]],
+  ['tupledoc_4594',['TupleDoc',['../classtvm_1_1script_1_1printer_1_1TupleDoc.html#ac3ec09b672b619376fa70cead671de78',1,'tvm::script::printer::TupleDoc::TupleDoc()'],['../classtvm_1_1script_1_1printer_1_1TupleDoc.html#a78ef6fe46a358a34df8cf8c797ce3d6e',1,'tvm::script::printer::TupleDoc::TupleDoc(Array&lt; ExprDoc &gt; elements)'],['../classtvm_1_1script_1_1printer_1_1TupleDoc.html',1,'tvm::script::printer::TupleDoc']]],
+  ['tupledocnode_4595',['TupleDocNode',['../classtvm_1_1script_1_1printer_1_1TupleDocNode.html',1,'tvm::script::printer']]],
+  ['tuplegetitem_4596',['TupleGetItem',['../classtvm_1_1relay_1_1TupleGetItem.html#a744f50341d00e504ae4d677723433b7c',1,'tvm::relay::TupleGetItem::TupleGetItem()'],['../classtvm_1_1relay_1_1TupleGetItem.html',1,'tvm::relay::TupleGetItem']]],
+  ['tuplegetitemnode_4597',['TupleGetItemNode',['../classtvm_1_1relay_1_1TupleGetItemNode.html',1,'tvm::relay']]],
+  ['tuplegetitempattern_4598',['TupleGetItemPattern',['../classtvm_1_1relay_1_1TupleGetItemPattern.html#adbf5ac16dedf9bb90603d0379b1cb6c8',1,'tvm::relay::TupleGetItemPattern::TupleGetItemPattern()'],['../classtvm_1_1relay_1_1TupleGetItemPattern.html',1,'tvm::relay::TupleGetItemPattern']]],
+  ['tuplegetitempatternnode_4599',['TupleGetItemPatternNode',['../classtvm_1_1relay_1_1TupleGetItemPatternNode.html',1,'tvm::relay']]],
+  ['tuplenode_4600',['TupleNode',['../classtvm_1_1relay_1_1TupleNode.html',1,'tvm::relay']]],
+  ['tuplepattern_4601',['TuplePattern',['../classtvm_1_1relay_1_1TuplePattern.html#a5556053a3dea336f75706644c463d996',1,'tvm::relay::TuplePattern::TuplePattern()'],['../classtvm_1_1relay_1_1TuplePattern.html',1,'tvm::relay::TuplePattern']]],
+  ['tuplepatternnode_4602',['TuplePatternNode',['../classtvm_1_1relay_1_1TuplePatternNode.html',1,'tvm::relay']]],
+  ['tupletype_4603',['TupleType',['../classtvm_1_1TupleType.html#ad9d079fcb60dcafad7048c77b3758f37',1,'tvm::TupleType::TupleType()'],['../namespacetvm_1_1relay.html#ace9102638dffea6747ae9fa9be9f00f2',1,'tvm::relay::TupleType()'],['../classtvm_1_1TupleType.html',1,'tvm::TupleType']]],
+  ['tupletypenode_4604',['TupleTypeNode',['../classtvm_1_1TupleTypeNode.html#a1e9cbe8e91816366c181fb888ddc18d9',1,'tvm::TupleTypeNode::TupleTypeNode()'],['../namespacetvm_1_1relay.html#a916609c894ac1000b66f9582f338d965',1,'tvm::relay::TupleTypeNode()'],['../classtvm_1_1TupleTypeNode.html',1,'tvm::TupleTypeNode']]],
+  ['tvectorizable_4605',['TVectorizable',['../namespacetvm_1_1tir.html#a0c5d42cf669b8ceeb42aa13d95cb5262',1,'tvm::tir']]],
+  ['tvm_4606',['tvm',['../namespacetvm.html',1,'']]],
+  ['tvm_5faccess_5fptr_4607',['tvm_access_ptr',['../namespacetvm_1_1tir_1_1builtin.html#a3e84c73dbbcf7f97008ac84c169feae9',1,'tvm::tir::builtin']]],
+  ['tvm_5fadd_5ffileline_4608',['TVM_ADD_FILELINE',['../registry_8h.html#a4658d181c38630e35f37c98fd1b0bde9',1,'registry.h']]],
+  ['tvm_5fattr_5ffield_4609',['TVM_ATTR_FIELD',['../ir_2attrs_8h.html#a578da113eb199bad72e26c03ad24832f',1,'attrs.h']]],
+  ['tvm_5fattribute_5funused_4610',['TVM_ATTRIBUTE_UNUSED',['../c__runtime__api_8h.html#a744c2fdb7ff7dc43070c1841a5873c84',1,'TVM_ATTRIBUTE_UNUSED():&#160;c_runtime_api.h'],['../object_8h.html#a744c2fdb7ff7dc43070c1841a5873c84',1,'TVM_ATTRIBUTE_UNUSED():&#160;object.h']]],
+  ['tvm_5faverage_5ffunction_5fname_5fstrlen_5fbytes_4611',['TVM_AVERAGE_FUNCTION_NAME_STRLEN_BYTES',['../func__registry_8h.html#a39dff58b9fce1890c7ffc208e4971ccc',1,'func_registry.h']]],
+  ['tvm_5fbmma_5fsync_4612',['tvm_bmma_sync',['../namespacetvm_1_1tir_1_1builtin.html#a8d5e173f1a16740172a9ad6f2aa85a08',1,'tvm::tir::builtin']]],
+  ['tvm_5fcall_5fcpacked_4613',['tvm_call_cpacked',['../namespacetvm_1_1tir_1_1builtin.html#a1e35988d61914016c49d3cf8a5af3f54',1,'tvm::tir::builtin']]],
+  ['tvm_5fcall_5fcpacked_5flowered_4614',['tvm_call_cpacked_lowered',['../namespacetvm_1_1tir_1_1builtin.html#a3131992ea9acd964fb7d3ca782d74805',1,'tvm::tir::builtin']]],
+  ['tvm_5fcall_5fpacked_4615',['tvm_call_packed',['../namespacetvm_1_1tir_1_1builtin.html#a2c13c6e4b2f92e17f357665f9f11736c',1,'tvm::tir::builtin']]],
+  ['tvm_5fcall_5fpacked_5flowered_4616',['tvm_call_packed_lowered',['../namespacetvm_1_1tir_1_1builtin.html#a0117a4a76af962576a6a3bbf32f97b36',1,'tvm::tir::builtin']]],
+  ['tvm_5fcall_5ftrace_5fpacked_4617',['tvm_call_trace_packed',['../namespacetvm_1_1tir_1_1builtin.html#ac54288cc9f1fee8c26db9bd87ac320ee',1,'tvm::tir::builtin']]],
+  ['tvm_5fcall_5ftrace_5fpacked_5flowered_4618',['tvm_call_trace_packed_lowered',['../namespacetvm_1_1tir_1_1builtin.html#aa6e23eac98abb8378b9837011a5c04b5',1,'tvm::tir::builtin']]],
+  ['tvm_5fcheck_5freturn_4619',['tvm_check_return',['../namespacetvm_1_1tir_1_1builtin.html#a23897d81faa46ac29ab0d6d8fc618707',1,'tvm::tir::builtin']]],
+  ['tvm_5fcheck_5ftype_5fcode_4620',['TVM_CHECK_TYPE_CODE',['../packed__func_8h.html#ad1e150bb815d5cb964e15d4fa7b5fd93',1,'packed_func.h']]],
+  ['tvm_5fcontext_5fid_4621',['tvm_context_id',['../namespacetvm_1_1tir_1_1builtin.html#a21c2ad8b095dcbefa786394981ea0b71',1,'tvm::tir::builtin']]],
+  ['tvm_5fcrt_5ferror_5fcategory_5fmsk_4622',['TVM_CRT_ERROR_CATEGORY_Msk',['../error__codes_8h.html#ae678431f8a855cdb34d534326c555347',1,'error_codes.h']]],
+  ['tvm_5fcrt_5ferror_5fcategory_5fpos_4623',['TVM_CRT_ERROR_CATEGORY_Pos',['../error__codes_8h.html#a30d468bc8b5e5f220808f03d5cd8c449',1,'error_codes.h']]],
+  ['tvm_5fcrt_5ferror_5fcategory_5ft_4624',['tvm_crt_error_category_t',['../error__codes_8h.html#afb9e14425d21e5e28a3223f7697a0808',1,'error_codes.h']]],
+  ['tvm_5fcrt_5ferror_5fcode_5fmsk_4625',['TVM_CRT_ERROR_CODE_Msk',['../error__codes_8h.html#a8fb17aed4ed7d554247beed0a56ac11e',1,'error_codes.h']]],
+  ['tvm_5fcrt_5ferror_5fcode_5fpos_4626',['TVM_CRT_ERROR_CODE_Pos',['../error__codes_8h.html#a58117d4a7d0c4911d8813985dde1d41c',1,'error_codes.h']]],
+  ['tvm_5fcrt_5ferror_5ft_4627',['tvm_crt_error_t',['../error__codes_8h.html#a77b4da0131882f0c9b887a47dd34467a',1,'error_codes.h']]],
+  ['tvm_5fdeclare_5fattrs_4628',['TVM_DECLARE_ATTRS',['../structtvm_1_1relay_1_1TransposeAttrs.html#a99db019c5b5fe6ac6fa59f566a72bad6',1,'tvm::relay::TransposeAttrs::TVM_DECLARE_ATTRS()'],['../structtvm_1_1relay_1_1CastAttrs.html#a460996bb6ac2eb42602b245721a4b2d3',1,'tvm::relay::CastAttrs::TVM_DECLARE_ATTRS()'],['../structtvm_1_1relay_1_1ReshapeAttrs.html#adb72dc00148149948a282e4fdbd1cd28',1,'tvm::relay::ReshapeAttrs::TVM_DECLARE_ATTRS()'],['../structtvm_1_1relay_1_1ReshapeLikeAttrs.html [...]
+  ['tvm_5fdeclare_5fbase_5fobject_5finfo_4629',['TVM_DECLARE_BASE_OBJECT_INFO',['../classtvm_1_1relay_1_1TempExprNode.html#a96299d26d32ecba0f0975ed531d8ee4b',1,'tvm::relay::TempExprNode::TVM_DECLARE_BASE_OBJECT_INFO()'],['../classtvm_1_1relay_1_1DFPatternNode.html#a1da73a611341e9f3fe252d9fc3b8e6e8',1,'tvm::relay::DFPatternNode::TVM_DECLARE_BASE_OBJECT_INFO()'],['../classtvm_1_1relay_1_1DFPatternCallbackNode.html#a0b09756dcd17877284e01d1a90a31d00',1,'tvm::relay::DFPatternCallbackNode::TVM [...]
+  ['tvm_5fdeclare_5ffinal_5fobject_5finfo_4630',['TVM_DECLARE_FINAL_OBJECT_INFO',['../classtvm_1_1script_1_1printer_1_1ListDocNode.html#a57a2c6b08129ee35fc84bd00f0798f9a',1,'tvm::script::printer::ListDocNode::TVM_DECLARE_FINAL_OBJECT_INFO()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1RealizeFrameNode.html#a08a429ac84e25a3399906e3c15fb7831',1,'tvm::script::ir_builder::tir::RealizeFrameNode::TVM_DECLARE_FINAL_OBJECT_INFO()'],['../classtvm_1_1script_1_1printer_1_1TupleDocNode.html#ad [...]
+  ['tvm_5fdeclare_5fintrin_5fbinary_4631',['TVM_DECLARE_INTRIN_BINARY',['../tir_2op_8h.html#abc43baea1e8f1c876bfa743a063a5928',1,'op.h']]],
+  ['tvm_5fdeclare_5fintrin_5funary_4632',['TVM_DECLARE_INTRIN_UNARY',['../tir_2op_8h.html#a032e3ae6824990aad98b8992f90a83c9',1,'op.h']]],
+  ['tvm_5fdefine_5fassign_5fop_5foverload_4633',['TVM_DEFINE_ASSIGN_OP_OVERLOAD',['../tir_2op_8h.html#a34c733b88658efba336e09ccd85e576c',1,'op.h']]],
+  ['tvm_5fdefine_5fbinop_5fconst_5fval_5foverload_4634',['TVM_DEFINE_BINOP_CONST_VAL_OVERLOAD',['../tir_2op_8h.html#aabcf618a12e97c38fccecf7351392154',1,'op.h']]],
+  ['tvm_5fdefine_5fbinop_5fconst_5fval_5foverload_5fspanned_4635',['TVM_DEFINE_BINOP_CONST_VAL_OVERLOAD_SPANNED',['../tir_2op_8h.html#a8fc539385c2bb11740d0a6bef19be7b8',1,'op.h']]],
+  ['tvm_5fdefine_5fdefault_5fcopy_5fmove_5fand_5fassign_4636',['TVM_DEFINE_DEFAULT_COPY_MOVE_AND_ASSIGN',['../object_8h.html#a06b57185e07e0065383528eb655fe4d8',1,'TVM_DEFINE_DEFAULT_COPY_MOVE_AND_ASSIGN():&#160;object.h'],['../classtvm_1_1auto__scheduler_1_1RandomModel.html#a6ac9fdc1f2b660d552e605c658f53351',1,'tvm::auto_scheduler::RandomModel::TVM_DEFINE_DEFAULT_COPY_MOVE_AND_ASSIGN()']]],
+  ['tvm_5fdefine_5fint_5fop_5fconst_5fval_5foverload_4637',['TVM_DEFINE_INT_OP_CONST_VAL_OVERLOAD',['../tir_2op_8h.html#a0ad19625381aae20ca7a930260089c47',1,'op.h']]],
+  ['tvm_5fdefine_5fint_5fop_5fconst_5fval_5foverload_5fspanned_4638',['TVM_DEFINE_INT_OP_CONST_VAL_OVERLOAD_SPANNED',['../tir_2op_8h.html#ac211367ff4e2382caf322a3903f8c629',1,'op.h']]],
+  ['tvm_5fdefine_5flogical_5fop_5fconst_5fval_5foverload_4639',['TVM_DEFINE_LOGICAL_OP_CONST_VAL_OVERLOAD',['../tir_2op_8h.html#ab6a17993efa67183ba992dac29284c80',1,'op.h']]],
+  ['tvm_5fdefine_5flogical_5fop_5fconst_5fval_5foverload_5fspanned_4640',['TVM_DEFINE_LOGICAL_OP_CONST_VAL_OVERLOAD_SPANNED',['../tir_2op_8h.html#a29826503ae15ba83c6bc8e6cbe218a69',1,'op.h']]],
+  ['tvm_5fdefine_5fmutable_5fnotnullable_5fobject_5fref_5fmethods_4641',['TVM_DEFINE_MUTABLE_NOTNULLABLE_OBJECT_REF_METHODS',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1WhileFrame.html#ab4db6cc6a2a1f73abe491e04acab144a',1,'tvm::script::ir_builder::tir::WhileFrame::TVM_DEFINE_MUTABLE_NOTNULLABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AssertFrame.html#a9172bd20b60e2103454328c9724e5329',1,'tvm::script::ir_builder::tir::AssertFrame::TVM_DEFINE_MUTABLE_NO [...]
+  ['tvm_5fdefine_5fmutable_5fobject_5fref_5fmethods_4642',['TVM_DEFINE_MUTABLE_OBJECT_REF_METHODS',['../classtvm_1_1runtime_1_1metadata_1_1MetadataArray.html#afc026f29b2a2fa475690d006e6b9945e',1,'tvm::runtime::metadata::MetadataArray::TVM_DEFINE_MUTABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1runtime_1_1metadata_1_1MetadataBase.html#a98bb2f373db5ffafce12493806cc53a9',1,'tvm::runtime::metadata::MetadataBase::TVM_DEFINE_MUTABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1runtime_1_1metadata_1_ [...]
+  ['tvm_5fdefine_5fnotnullable_5fobject_5fref_5fmethods_4643',['TVM_DEFINE_NOTNULLABLE_OBJECT_REF_METHODS',['../classtvm_1_1script_1_1printer_1_1ExprStmtDoc.html#ab5a0a5ff5c1be64b00ab38ef8d47406f',1,'tvm::script::printer::ExprStmtDoc::TVM_DEFINE_NOTNULLABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1script_1_1printer_1_1ScopeDoc.html#a26980ac9d335ce9b88e4e2c3459fe1b1',1,'tvm::script::printer::ScopeDoc::TVM_DEFINE_NOTNULLABLE_OBJECT_REF_METHODS()'],['../classtvm_1_1script_1_1printer_1_1ForDo [...]
+  ['tvm_5fdefine_5fobject_5fref_5fcow_5fmethod_4644',['TVM_DEFINE_OBJECT_REF_COW_METHOD',['../classtvm_1_1tir_1_1Ramp.html#a483517d064c72e5cdd2691a8f5bffe78',1,'tvm::tir::Ramp::TVM_DEFINE_OBJECT_REF_COW_METHOD()'],['../classtvm_1_1tir_1_1Broadcast.html#ac66be95e763508c9f5ad8e190229282f',1,'tvm::tir::Broadcast::TVM_DEFINE_OBJECT_REF_COW_METHOD()'],['../classtvm_1_1tir_1_1Let.html#a73126fef9985a8378e4870ba7b0f6338',1,'tvm::tir::Let::TVM_DEFINE_OBJECT_REF_COW_METHOD()'],['../classtvm_1_1tir [...]
+  ['tvm_5fdefine_5fobject_5fref_5fmethods_4645',['TVM_DEFINE_OBJECT_REF_METHODS',['../classtvm_1_1te_1_1TensorIntrin.html#a0613d0606d62717cfc90a3db766d0050',1,'tvm::te::TensorIntrin::TVM_DEFINE_OBJECT_REF_METHODS()'],['../classtvm_1_1te_1_1Tensor.html#af7962b812b3df90676471d0b3754908f',1,'tvm::te::Tensor::TVM_DEFINE_OBJECT_REF_METHODS()'],['../classtvm_1_1te_1_1SpecializedCondition.html#ae220d758fa35788a78e1c61089d746c0',1,'tvm::te::SpecializedCondition::TVM_DEFINE_OBJECT_REF_METHODS()'] [...]
+  ['tvm_5fdescribe_4646',['TVM_DESCRIBE',['../registry_8h.html#aa26ce86513967e27c7571bf70cb8a57e',1,'registry.h']]],
+  ['tvm_5fdev_5fmblob_4647',['tvm_dev_mblob',['../namespacetvm_1_1runtime_1_1symbol.html#a316b327224938ffb2a73a9c4432d1da9',1,'tvm::runtime::symbol']]],
+  ['tvm_5fdispatch_5fmap_4648',['TVM_DISPATCH_MAP',['../map_8h.html#a1d45968795b6054f63824cb9c5512d5a',1,'map.h']]],
+  ['tvm_5fdispatch_5fmap_5fconst_4649',['TVM_DISPATCH_MAP_CONST',['../map_8h.html#a04a1af748cfbdfdf0a5707c02c55652e',1,'map.h']]],
+  ['tvm_5fdll_5fexport_5fpacked_5ffunc_4650',['TVM_DLL_EXPORT_PACKED_FUNC',['../packed__func_8h.html#aee75208638f500a4ce5e726c9d87d8ff',1,'packed_func.h']]],
+  ['tvm_5fdll_5fexport_5ftyped_5ffunc_4651',['TVM_DLL_EXPORT_TYPED_FUNC',['../packed__func_8h.html#ae5b445392a64daa55bef53b4cf53025c',1,'packed_func.h']]],
+  ['tvm_5fentrypoint_5fsuffix_4652',['tvm_entrypoint_suffix',['../namespacetvm_1_1runtime_1_1symbol.html#a00aad7edbef6fd3a1e2f6f94582fea8d',1,'tvm::runtime::symbol']]],
+  ['tvm_5fexecutor_5fregister_5fvar_5fdef_4653',['TVM_EXECUTOR_REGISTER_VAR_DEF',['../executor_8h.html#adc8dc40ffafbd72a2217bba13024acb3',1,'executor.h']]],
+  ['tvm_5ffill_5ffragment_4654',['tvm_fill_fragment',['../namespacetvm_1_1tir_1_1builtin.html#a616992eb291d701cac42c670d83c206c',1,'tvm::tir::builtin']]],
+  ['tvm_5ffunc_5freg_5fvar_5fdef_4655',['TVM_FUNC_REG_VAR_DEF',['../registry_8h.html#a11b8ea3ea0ce4b6cd2d7be2d5a5627b8',1,'registry.h']]],
+  ['tvm_5ffunction_5findex_5ft_4656',['tvm_function_index_t',['../func__registry_8h.html#a1d8ab7ca0c7903c699f805e8e9aa395a',1,'func_registry.h']]],
+  ['tvm_5fgeneric_5ffunc_5freg_5fvar_5fdef_4657',['TVM_GENERIC_FUNC_REG_VAR_DEF',['../generic__func_8h.html#a10648c82893ca73b419af99573860159',1,'generic_func.h']]],
+  ['tvm_5fget_5fc_5fmetadata_4658',['tvm_get_c_metadata',['../namespacetvm_1_1runtime_1_1symbol.html#aff7a6c169b32757c856f6fc505316361',1,'tvm::runtime::symbol']]],
+  ['tvm_5fglobal_5fbarrier_5fkinit_4659',['tvm_global_barrier_kinit',['../namespacetvm_1_1tir_1_1builtin.html#ac8e7bc86b8fa81453291ae5299062001',1,'tvm::tir::builtin']]],
+  ['tvm_5fglobal_5fbarrier_5fstate_4660',['tvm_global_barrier_state',['../namespacetvm_1_1runtime_1_1symbol.html#ac21d436bd65464b49858ba4bc55fb3ec',1,'tvm::runtime::symbol']]],
+  ['tvm_5findex_5ft_4661',['tvm_index_t',['../c__runtime__api_8h.html#ace8007daffd9f2c6d954c24d870bfcc4',1,'c_runtime_api.h']]],
+  ['tvm_5finst_5fkind_5fregister_5fvar_5fdef_4662',['TVM_INST_KIND_REGISTER_VAR_DEF',['../instruction_8h.html#a013bf2d8c6153ab1ed207f9639cfffd2',1,'instruction.h']]],
+  ['tvm_5fload_5fmatrix_5fsync_4663',['tvm_load_matrix_sync',['../namespacetvm_1_1tir_1_1builtin.html#a93b4dd000c31a1d35f493786380d108d',1,'tvm::tir::builtin']]],
+  ['tvm_5flookup_5flinked_5fparam_4664',['tvm_lookup_linked_param',['../namespacetvm_1_1runtime_1_1symbol.html#a209395ae8f4fe3b2a46e2b4a205e4772',1,'tvm::runtime::symbol']]],
+  ['tvm_5fmap_5ffail_5fif_5fchanged_4665',['TVM_MAP_FAIL_IF_CHANGED',['../map_8h.html#a06c210bfb319f0bf0e436f4542e40369',1,'map.h']]],
+  ['tvm_5fmetadata_5fversion_4666',['TVM_METADATA_VERSION',['../metadata_8h.html#a700f5dfbc1a51a0186d4c5a298ea3fd6',1,'metadata.h']]],
+  ['tvm_5fmicro_5fruntime_5fapi_5fapi_4667',['TVM_MICRO_RUNTIME_API_API',['../microtvm__runtime_8h.html#a82bcf22ac3cc35341edf8624944d2b6e',1,'microtvm_runtime.h']]],
+  ['tvm_5fmma_5fsync_4668',['tvm_mma_sync',['../namespacetvm_1_1tir_1_1builtin.html#a0cbd267877168afd5bbea35f0e5d70fe',1,'tvm::tir::builtin']]],
+  ['tvm_5fmodule_5fctx_4669',['tvm_module_ctx',['../namespacetvm_1_1runtime_1_1symbol.html#aeebcec34a8e6cc24c495710aedea5de9',1,'tvm::runtime::symbol']]],
+  ['tvm_5fmodule_5findex_5ft_4670',['tvm_module_index_t',['../func__registry_8h.html#a42d7461ea18444226216141298f1c24c',1,'func_registry.h']]],
+  ['tvm_5fmodule_5fmain_4671',['tvm_module_main',['../namespacetvm_1_1runtime_1_1symbol.html#abfc81fd15b5d8087b956a8cef4152bf4',1,'tvm::runtime::symbol']]],
+  ['tvm_5fobject_5fatomic_5fref_5fcounter_4672',['TVM_OBJECT_ATOMIC_REF_COUNTER',['../object_8h.html#a5f80f888f69ef72c51ba04cac65d033c',1,'object.h']]],
+  ['tvm_5fobject_5fenable_5fscript_5fprinter_4673',['TVM_OBJECT_ENABLE_SCRIPT_PRINTER',['../classtvm_1_1PrimExprNode.html#a418d4b34295c08f6d03ac70667e4e4bb',1,'tvm::PrimExprNode::TVM_OBJECT_ENABLE_SCRIPT_PRINTER()'],['../script__printer_8h.html#aeb27774525547e9a0656235a3506bbed',1,'TVM_OBJECT_ENABLE_SCRIPT_PRINTER():&#160;script_printer.h'],['../classtvm_1_1tir_1_1BufferNode.html#ab024a51cd27286a2b1300012736f81d3',1,'tvm::tir::BufferNode::TVM_OBJECT_ENABLE_SCRIPT_PRINTER()'],['../classtv [...]
+  ['tvm_5fobject_5freg_5fvar_5fdef_4674',['TVM_OBJECT_REG_VAR_DEF',['../object_8h.html#ac63db332fce1a32738566c8880f0d425',1,'object.h']]],
+  ['tvm_5fop_5fregister_5fvar_5fdef_4675',['TVM_OP_REGISTER_VAR_DEF',['../ir_2op_8h.html#ab5474fc13be62edade1979771c0c2a49',1,'op.h']]],
+  ['tvm_5fparam_5fprefix_4676',['tvm_param_prefix',['../namespacetvm_1_1runtime_1_1symbol.html#ae37724090c492bb8cdf40f967eef111c',1,'tvm::runtime::symbol']]],
+  ['tvm_5fpass_5fctx_5fconfig_5fvar_5fdef_4677',['TVM_PASS_CTX_CONFIG_VAR_DEF',['../ir_2transform_8h.html#af18caf4263a1f9e5f8f300c4aae5e094',1,'transform.h']]],
+  ['tvm_5fprepare_5fglobal_5fbarrier_4678',['tvm_prepare_global_barrier',['../namespacetvm_1_1runtime_1_1symbol.html#af4a5fe87c6e95d6b082a2be1960efbe5',1,'tvm::runtime::symbol']]],
+  ['tvm_5freflection_5freg_5fvar_5fdef_4679',['TVM_REFLECTION_REG_VAR_DEF',['../reflection_8h.html#a889418628421484559c553a6636f3dcf',1,'reflection.h']]],
+  ['tvm_5freg_5ffunc_5fvar_5fdef_4680',['TVM_REG_FUNC_VAR_DEF',['../functor_8h.html#a0e447ad7c49540ce24c2d6e2d89f4eba',1,'functor.h']]],
+  ['tvm_5fregister_5fexecutor_4681',['TVM_REGISTER_EXECUTOR',['../executor_8h.html#abbb3f564f6e8f6ba09ded4d4bdad4754',1,'executor.h']]],
+  ['tvm_5fregister_5fgeneric_5ffunc_4682',['TVM_REGISTER_GENERIC_FUNC',['../generic__func_8h.html#acab90de01c5afdb5bf990640a676232b',1,'generic_func.h']]],
+  ['tvm_5fregister_5fglobal_4683',['TVM_REGISTER_GLOBAL',['../registry_8h.html#a10f047b126505a3deda0b7f68718fa37',1,'registry.h']]],
+  ['tvm_5fregister_5finst_5fkind_4684',['TVM_REGISTER_INST_KIND',['../instruction_8h.html#a67ee92e4c9644b9ea19b6aaae1a62bbe',1,'instruction.h']]],
+  ['tvm_5fregister_5fnode_5ftype_4685',['TVM_REGISTER_NODE_TYPE',['../reflection_8h.html#a12fd7a4323c2f8ad77d48448009f2129',1,'reflection.h']]],
+  ['tvm_5fregister_5fobject_5ftype_4686',['TVM_REGISTER_OBJECT_TYPE',['../object_8h.html#ae1029068e5e7608770038906ca30db09',1,'object.h']]],
+  ['tvm_5fregister_5fop_4687',['TVM_REGISTER_OP',['../ir_2op_8h.html#ab695572ca7d8604832410ac4e5ea5713',1,'op.h']]],
+  ['tvm_5fregister_5fpass_5fconfig_5foption_4688',['TVM_REGISTER_PASS_CONFIG_OPTION',['../ir_2transform_8h.html#a5576002bc3ea1141558d1afa1aca33b6',1,'transform.h']]],
+  ['tvm_5fregister_5freflection_5fvtable_4689',['TVM_REGISTER_REFLECTION_VTABLE',['../reflection_8h.html#ad7093e57035dde5910eb7cdb2f08c64f',1,'reflection.h']]],
+  ['tvm_5fregister_5fruntime_4690',['TVM_REGISTER_RUNTIME',['../runtime_8h.html#af7309f599aa010b9064119e22ec91f2c',1,'runtime.h']]],
+  ['tvm_5fregister_5ftarget_5fkind_4691',['TVM_REGISTER_TARGET_KIND',['../target__kind_8h.html#a036b7ecec73974b7782644f28671c786',1,'target_kind.h']]],
+  ['tvm_5fregister_5ftarget_5ftag_4692',['TVM_REGISTER_TARGET_TAG',['../tag_8h.html#a7fe29db2695f0c156ce18bb2506290e6',1,'tag.h']]],
+  ['tvm_5fruntime_5falloc_5falignment_5fbytes_4693',['TVM_RUNTIME_ALLOC_ALIGNMENT_BYTES',['../stack__allocator_8h.html#ad59ee29e418fab065b127993d2ebb0e2',1,'stack_allocator.h']]],
+  ['tvm_5fruntime_5fheader_5fonly_4694',['TVM_RUNTIME_HEADER_ONLY',['../packed__func_8h.html#a50e8d21ff57c58c35bc4cc9310e64273',1,'packed_func.h']]],
+  ['tvm_5fruntime_5fregister_5fvar_5fdef_4695',['TVM_RUNTIME_REGISTER_VAR_DEF',['../runtime_8h.html#af8e75590a4116ea35a37322634ef803e',1,'runtime.h']]],
+  ['tvm_5fset_5fdevice_4696',['tvm_set_device',['../namespacetvm_1_1runtime_1_1symbol.html#aaad9cf8f51a55b2ec5b19854d692bd21',1,'tvm::runtime::symbol']]],
+  ['tvm_5fsref_5fas_5for_5ferr_4697',['TVM_SREF_AS_OR_ERR',['../tir_2utils_8h.html#ae3c276f44cdd20825ab5677f3223a235',1,'utils.h']]],
+  ['tvm_5fsref_5fto_5fblock_4698',['TVM_SREF_TO_BLOCK',['../tir_2utils_8h.html#a4ce2bd31269f012cf4a8d59b8c63a75a',1,'utils.h']]],
+  ['tvm_5fsref_5fto_5ffor_4699',['TVM_SREF_TO_FOR',['../tir_2utils_8h.html#a212c570fa89535310f30664deb0d5305',1,'utils.h']]],
+  ['tvm_5fstack_5falloca_4700',['tvm_stack_alloca',['../namespacetvm_1_1tir_1_1builtin.html#a15c5e0e0478e0ebff91690f60992cf3f',1,'tvm::tir::builtin']]],
+  ['tvm_5fstack_5fmake_5farray_4701',['tvm_stack_make_array',['../namespacetvm_1_1tir_1_1builtin.html#a28f99e6dd767482765b854ee9fc71f2c',1,'tvm::tir::builtin']]],
+  ['tvm_5fstack_5fmake_5fshape_4702',['tvm_stack_make_shape',['../namespacetvm_1_1tir_1_1builtin.html#abd540cb73407771ecfb4f78722ce5a1b',1,'tvm::tir::builtin']]],
+  ['tvm_5fstatic_5fhandle_4703',['tvm_static_handle',['../namespacetvm_1_1tir_1_1builtin.html#a6aeb24a28d19cdc60e4e1fa7b420d7fd',1,'tvm::tir::builtin']]],
+  ['tvm_5fstatic_5fir_5ffunctor_4704',['TVM_STATIC_IR_FUNCTOR',['../functor_8h.html#a2a8f992f14c3ef28a35830bb8499d538',1,'functor.h']]],
+  ['tvm_5fstorage_5fsync_4705',['tvm_storage_sync',['../namespacetvm_1_1tir_1_1builtin.html#a925a45e5bb05e0cbf2daf2ffdbdcf53a',1,'tvm::tir::builtin']]],
+  ['tvm_5fstore_5fmatrix_5fsync_4706',['tvm_store_matrix_sync',['../namespacetvm_1_1tir_1_1builtin.html#afc81da8cbcd7f34ec5e1e80d837ca265',1,'tvm::tir::builtin']]],
+  ['tvm_5fstr_5fconcat_4707',['TVM_STR_CONCAT',['../object_8h.html#a73bf3e57b9d7a6e0dd55d901321d01ed',1,'object.h']]],
+  ['tvm_5fstr_5fconcat_5f_4708',['TVM_STR_CONCAT_',['../object_8h.html#a7b72d9155e59e0d4dcdf674c90e64c7e',1,'object.h']]],
+  ['tvm_5fstringize_4709',['TVM_STRINGIZE',['../registry_8h.html#a9695ac0f55d84dc1188cdf29c3c58454',1,'registry.h']]],
+  ['tvm_5fstringize_5fdetail_4710',['TVM_STRINGIZE_DETAIL',['../registry_8h.html#a013dedecd9c6f457ed4ecc475fbdbd50',1,'registry.h']]],
+  ['tvm_5fstruct_5fget_4711',['tvm_struct_get',['../namespacetvm_1_1tir_1_1builtin.html#a23003bd9331efaa58d8420529ea96c0b',1,'tvm::tir::builtin']]],
+  ['tvm_5fstruct_5fset_4712',['tvm_struct_set',['../namespacetvm_1_1tir_1_1builtin.html#a6df03eca1d9cc14d0db6cdd39120a867',1,'tvm::tir::builtin']]],
+  ['tvm_5ftarget_5fkind_5fregister_5fvar_5fdef_4713',['TVM_TARGET_KIND_REGISTER_VAR_DEF',['../target__kind_8h.html#a2341708a81fcee611c3c5a156596522c',1,'target_kind.h']]],
+  ['tvm_5ftarget_5ftag_5fregister_5fvar_5fdef_4714',['TVM_TARGET_TAG_REGISTER_VAR_DEF',['../tag_8h.html#a58fe38cda73eab8c7c4938b464782dcf',1,'tag.h']]],
+  ['tvm_5fthread_5fallreduce_4715',['tvm_thread_allreduce',['../namespacetvm_1_1tir_1_1builtin.html#aa1d19e758595200998a4e1ea39767b6b',1,'tvm::tir::builtin']]],
+  ['tvm_5fthread_5fcontext_4716',['tvm_thread_context',['../namespacetvm_1_1tir_1_1builtin.html#a6be181be34fba13d129aadc6c9a23f73',1,'tvm::tir::builtin']]],
+  ['tvm_5fthrow_5flast_5ferror_4717',['tvm_throw_last_error',['../namespacetvm_1_1tir_1_1builtin.html#a92624d2aa5c435cd7a0ea8efb698a115',1,'tvm::tir::builtin']]],
+  ['tvm_5ftir_5fir_5fbuilder_5fdef_5fdtype_5fcast_4718',['TVM_TIR_IR_BUILDER_DEF_DTYPE_CAST',['../tir_2ir_8h.html#a411d701e2f5c55c20f1471c339b2866d',1,'ir.h']]],
+  ['tvm_5ftir_5fir_5fbuilder_5fdef_5fdtype_5fcast_5flanes_4719',['TVM_TIR_IR_BUILDER_DEF_DTYPE_CAST_LANES',['../tir_2ir_8h.html#af4333f8d928fe3c9e799afd516d0f04c',1,'ir.h']]],
+  ['tvm_5ftir_5fir_5fbuilder_5fdef_5fdtype_5fcast_5fsizes_4720',['TVM_TIR_IR_BUILDER_DEF_DTYPE_CAST_SIZES',['../tir_2ir_8h.html#a492f0c9cc3b778f85c7827e7e719e9c9',1,'ir.h']]],
+  ['tvm_5ftir_5fir_5fbuilder_5fdef_5fdtype_5fcast_5fsizes_5flanes_4721',['TVM_TIR_IR_BUILDER_DEF_DTYPE_CAST_SIZES_LANES',['../tir_2ir_8h.html#a9410989688d1a84ad2d088103f988b26',1,'ir.h']]],
+  ['tvm_5ftir_5fregister_5fop_4722',['TVM_TIR_REGISTER_OP',['../tir_2op_8h.html#a27947eef4b3043099d3c5e5f5bfe13f4',1,'op.h']]],
+  ['tvm_5ftuple_4723',['tvm_tuple',['../namespacetvm_1_1tir_1_1builtin.html#ab424ca353ceedd88a95fc37eeb9628a9',1,'tvm::tir::builtin']]],
+  ['tvm_5ftype_5fas_4724',['TVM_TYPE_AS',['../tir_2utils_8h.html#ab60c1e6848b181005be55ce1c10cf920',1,'utils.h']]],
+  ['tvm_5ftype_5fas_5for_5ferr_4725',['TVM_TYPE_AS_OR_ERR',['../tir_2utils_8h.html#a616e279b3f53b9afdd51b7f8c8aa670a',1,'utils.h']]],
+  ['tvm_5ftype_5ffunctor_5fdispatch_4726',['TVM_TYPE_FUNCTOR_DISPATCH',['../type__functor_8h.html#afaa114a04d18cd3f8f11995628692d74',1,'type_functor.h']]],
+  ['tvm_5fversion_4727',['TVM_VERSION',['../c__runtime__api_8h.html#a429515e9851601ec44c8ed421521ab6d',1,'c_runtime_api.h']]],
+  ['tvm_5fwarp_5factivemask_4728',['tvm_warp_activemask',['../namespacetvm_1_1tir_1_1builtin.html#afc4086a245ded9076de226ae802ced32',1,'tvm::tir::builtin']]],
+  ['tvm_5fwarp_5fshuffle_4729',['tvm_warp_shuffle',['../namespacetvm_1_1tir_1_1builtin.html#aca44a85c87273dfab1731421f4edd2bf',1,'tvm::tir::builtin']]],
+  ['tvm_5fwarp_5fshuffle_5fdown_4730',['tvm_warp_shuffle_down',['../namespacetvm_1_1tir_1_1builtin.html#af6d1c48570e10287683d58f22e4de98f',1,'tvm::tir::builtin']]],
+  ['tvm_5fwarp_5fshuffle_5fup_4731',['tvm_warp_shuffle_up',['../namespacetvm_1_1tir_1_1builtin.html#ae0470bd69bb03047aae4cb52e1e6e337',1,'tvm::tir::builtin']]],
+  ['tvm_5fweak_4732',['TVM_WEAK',['../c__runtime__api_8h.html#a4d6bcd569c115f7ae4ddc455b14ca395',1,'c_runtime_api.h']]],
+  ['tvm_5fworkspace_5ft_4733',['tvm_workspace_t',['../structtvm__workspace__t.html',1,'']]],
+  ['tvmaotexecutor_4734',['TVMAotExecutor',['../aot__executor_8h.html#a52f4678d3b012d3c1022b40ac4c0f7f5',1,'TVMAotExecutor():&#160;aot_executor.h'],['../structTVMAotExecutor.html',1,'TVMAotExecutor']]],
+  ['tvmaotexecutor_5fcreate_4735',['TVMAotExecutor_Create',['../aot__executor_8h.html#ab663a086fc2c4f6df166625a9ac83ee1',1,'aot_executor.h']]],
+  ['tvmaotexecutor_5fgetinputindex_4736',['TVMAotExecutor_GetInputIndex',['../aot__executor_8h.html#ad3b4f9b4d0b73d9c1b7e42d77076ffdb',1,'aot_executor.h']]],
+  ['tvmaotexecutor_5fgetinputname_4737',['TVMAotExecutor_GetInputName',['../aot__executor_8h.html#a92c15e55b7c94225d8849b2669a639fc',1,'aot_executor.h']]],
+  ['tvmaotexecutor_5fgetnuminputs_4738',['TVMAotExecutor_GetNumInputs',['../aot__executor_8h.html#a84924c70598933ebb941961f77cdd977',1,'aot_executor.h']]],
+  ['tvmaotexecutor_5fgetnumoutputs_4739',['TVMAotExecutor_GetNumOutputs',['../aot__executor_8h.html#a7f42e0f9479896def33b3427f9a97518',1,'aot_executor.h']]],
+  ['tvmaotexecutor_5frelease_4740',['TVMAotExecutor_Release',['../aot__executor_8h.html#a15512a380d3f9cbd9c24ad9289f1dfd6',1,'aot_executor.h']]],
+  ['tvmaotexecutor_5frun_4741',['TVMAotExecutor_Run',['../aot__executor_8h.html#aa576382ee317ceef3e1858d0a953df95',1,'aot_executor.h']]],
+  ['tvmaotexecutormodule_5fregister_4742',['TVMAotExecutorModule_Register',['../aot__executor__module_8h.html#a7367944cb3bd7078b1e8a1630d0343d3',1,'aot_executor_module.h']]],
+  ['tvmapisetlasterror_4743',['TVMAPISetLastError',['../c__runtime__api_8h.html#a477111f9accd70633dc5f2e7139b6cf4',1,'c_runtime_api.h']]],
+  ['tvmargs_4744',['TVMArgs',['../classtvm_1_1runtime_1_1TVMArgs.html',1,'tvm::runtime::TVMArgs'],['../crt_2packed__func_8h.html#a7e6f4ecedf23b1d2bf1aaf360ae1a2e7',1,'TVMArgs():&#160;packed_func.h'],['../classtvm_1_1runtime_1_1TVMArgs.html#a5d2aeac7387e436e6e19d7a6dfe91307',1,'tvm::runtime::TVMArgs::TVMArgs()'],['../classtvm_1_1BaseAttrsNode.html#a257561dad74174cbdc08f6725a45d8ac',1,'tvm::BaseAttrsNode::TVMArgs()'],['../structTVMArgs.html',1,'TVMArgs']]],
+  ['tvmargs_5fasmodulehandle_4745',['TVMArgs_AsModuleHandle',['../crt_2packed__func_8h.html#ac9ff726610266833998a62d68488fc68',1,'packed_func.h']]],
+  ['tvmargs_5fcreate_4746',['TVMArgs_Create',['../crt_2packed__func_8h.html#af6ecccc431f7a06935e8dd1c57502b07',1,'packed_func.h']]],
+  ['tvmargssetter_4747',['TVMArgsSetter',['../classtvm_1_1runtime_1_1TVMArgsSetter.html',1,'tvm::runtime::TVMArgsSetter'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a35ae555d4becf356b16aeacd353d41ee',1,'tvm::runtime::ObjectPtr::TVMArgsSetter()'],['../classtvm_1_1runtime_1_1ObjectRef.html#a35ae555d4becf356b16aeacd353d41ee',1,'tvm::runtime::ObjectRef::TVMArgsSetter()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#a35ae555d4becf356b16aeacd353d41ee',1,'tvm::runtime::TVMPODValue_::TVMArgsSe [...]
+  ['tvmargssetterapply_4748',['TVMArgsSetterApply',['../structtvm_1_1runtime_1_1TVMArgsSetterApply.html',1,'tvm::runtime']]],
+  ['tvmargtypecode_4749',['TVMArgTypeCode',['../c__runtime__api_8h.html#a190e81769e805cca153514137a66e793',1,'c_runtime_api.h']]],
+  ['tvmargvalue_4750',['TVMArgValue',['../classtvm_1_1runtime_1_1TVMArgValue.html',1,'tvm::runtime::TVMArgValue'],['../classtvm_1_1runtime_1_1TVMArgValue.html#a987b2fb283cea5484d4655e3f711c046',1,'tvm::runtime::TVMArgValue::TVMArgValue()'],['../classtvm_1_1runtime_1_1TVMArgValue.html#a5fbd71750e5bbba6edc9094178af9276',1,'tvm::runtime::TVMArgValue::TVMArgValue(TVMValue value, int type_code)'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a7e8b2c6a4fde079ee813c425d2eb6b24',1,'tvm::runtime::Ob [...]
+  ['tvmarrayalloc_4751',['TVMArrayAlloc',['../c__runtime__api_8h.html#a014b89c1a3b17473d82cb3973eeedba7',1,'c_runtime_api.h']]],
+  ['tvmarraycopyfrombytes_4752',['TVMArrayCopyFromBytes',['../c__runtime__api_8h.html#a50e9b3dfdc1f4228bf52a26da14456a1',1,'c_runtime_api.h']]],
+  ['tvmarraycopyfromto_4753',['TVMArrayCopyFromTo',['../c__runtime__api_8h.html#a120628b5226af6deee4010c5a512f618',1,'c_runtime_api.h']]],
+  ['tvmarraycopytobytes_4754',['TVMArrayCopyToBytes',['../c__runtime__api_8h.html#ae246eaa00342c042f3f194605ad9bc7a',1,'c_runtime_api.h']]],
+  ['tvmarrayfree_4755',['TVMArrayFree',['../c__runtime__api_8h.html#a591e48e52098965e235657dab56bc096',1,'c_runtime_api.h']]],
+  ['tvmarrayfromdlpack_4756',['TVMArrayFromDLPack',['../c__runtime__api_8h.html#ad67b8f8766fce6b62c82a458e3c9b053',1,'c_runtime_api.h']]],
+  ['tvmarrayhandle_4757',['TVMArrayHandle',['../c__runtime__api_8h.html#a73ca58cb32f4a4adf71d274dc1e27be4',1,'c_runtime_api.h']]],
+  ['tvmarrayhandletoobjecthandle_4758',['TVMArrayHandleToObjectHandle',['../namespacetvm_1_1runtime.html#afdd7050eda88b079f0a962bd413a34ea',1,'tvm::runtime']]],
+  ['tvmarraytodlpack_4759',['TVMArrayToDLPack',['../c__runtime__api_8h.html#ae690840d1af9c7b0fe5b9b457456f60d',1,'c_runtime_api.h']]],
+  ['tvmbackendallocworkspace_4760',['TVMBackendAllocWorkspace',['../c__backend__api_8h.html#a07eaf7d1b748d99aa7715c7adbdea231',1,'c_backend_api.h']]],
+  ['tvmbackendfreeworkspace_4761',['TVMBackendFreeWorkspace',['../c__backend__api_8h.html#a4560d2cbefdae811371596672e455dfd',1,'c_backend_api.h']]],
+  ['tvmbackendgetfuncfromenv_4762',['TVMBackendGetFuncFromEnv',['../c__backend__api_8h.html#a56a654a9aeba2f1ccf3e10918dd88ec5',1,'c_backend_api.h']]],
+  ['tvmbackendpackedcfunc_4763',['TVMBackendPackedCFunc',['../c__backend__api_8h.html#a58f5af221f14f8e68bd57f83643b3cac',1,'c_backend_api.h']]],
+  ['tvmbackendparallelbarrier_4764',['TVMBackendParallelBarrier',['../c__backend__api_8h.html#a2d54b04a58a58eb9ff43e33c320e60a7',1,'c_backend_api.h']]],
+  ['tvmbackendparallellaunch_4765',['TVMBackendParallelLaunch',['../c__backend__api_8h.html#a6ff8662943d0f003d55d9046cd24daf8',1,'c_backend_api.h']]],
+  ['tvmbackendregisterenvcapi_4766',['TVMBackendRegisterEnvCAPI',['../c__backend__api_8h.html#a1a73615a9c1d736554b6b29912299922',1,'c_backend_api.h']]],
+  ['tvmbackendregistersystemlibsymbol_4767',['TVMBackendRegisterSystemLibSymbol',['../c__backend__api_8h.html#a0c57deb5acb9338ec778d91bd6e42191',1,'c_backend_api.h']]],
+  ['tvmbackendrunonce_4768',['TVMBackendRunOnce',['../c__backend__api_8h.html#ae70bd3ee026eb55b438ada05b08f0ce8',1,'c_backend_api.h']]],
+  ['tvmbytearray_4769',['TVMByteArray',['../structTVMByteArray.html',1,'']]],
+  ['tvmbytearrayfree_4770',['TVMByteArrayFree',['../c__runtime__api_8h.html#aa9f2237f2fdcb96a75e7f3c6fa4209aa',1,'c_runtime_api.h']]],
+  ['tvmcbargtoreturn_4771',['TVMCbArgToReturn',['../c__runtime__api_8h.html#a6fee438ab81fbf4235375d9f17f36d6f',1,'c_runtime_api.h']]],
+  ['tvmcfuncsetreturn_4772',['TVMCFuncSetReturn',['../c__runtime__api_8h.html#ad0c98ff469bd844546a71f61d2e164f3',1,'c_runtime_api.h']]],
+  ['tvmconstantinfo_4773',['TVMConstantInfo',['../structTVMConstantInfo.html',1,'']]],
+  ['tvmdeviceallocdataspace_4774',['TVMDeviceAllocDataSpace',['../c__runtime__api_8h.html#a06455d20ce57f3d3d13776ff6bdf0b61',1,'c_runtime_api.h']]],
+  ['tvmdeviceallocdataspacewithscope_4775',['TVMDeviceAllocDataSpaceWithScope',['../c__runtime__api_8h.html#a54212f093a2fc24a5fd7c3fecf29813a',1,'c_runtime_api.h']]],
+  ['tvmdevicecopydatafromto_4776',['TVMDeviceCopyDataFromTo',['../c__runtime__api_8h.html#aa588ee4bed71a4e7cb1f08ae8c46568f',1,'c_runtime_api.h']]],
+  ['tvmdeviceexttype_4777',['TVMDeviceExtType',['../c__runtime__api_8h.html#a57cbccb14c35a0e62dbc1b911188fcef',1,'c_runtime_api.h']]],
+  ['tvmdeviceexttype_5fend_4778',['TVMDeviceExtType_End',['../c__runtime__api_8h.html#a57cbccb14c35a0e62dbc1b911188fcefa474961a2b52fee7b33e529015162e884',1,'c_runtime_api.h']]],
+  ['tvmdevicefreedataspace_4779',['TVMDeviceFreeDataSpace',['../c__runtime__api_8h.html#a05c7535a79c99bddaf9e3aebde6013e6',1,'c_runtime_api.h']]],
+  ['tvmdlmanagedtensorcalldeleter_4780',['TVMDLManagedTensorCallDeleter',['../c__runtime__api_8h.html#a6483791fc218022e690b6e0d81a095b0',1,'c_runtime_api.h']]],
+  ['tvmextensionfuncdeclarer_4781',['TVMExtensionFuncDeclarer',['../c__runtime__api_8h.html#a3980ab80477e57fc6045c12ea8b9e48d',1,'c_runtime_api.h']]],
+  ['tvmfunccall_4782',['TVMFuncCall',['../c__runtime__api_8h.html#a8a01e20ffd5784465df0759c950154b5',1,'c_runtime_api.h']]],
+  ['tvmfunccreatefromcfunc_4783',['TVMFuncCreateFromCFunc',['../c__runtime__api_8h.html#adfd8d1f9e7229625d4acd7da3b10287c',1,'c_runtime_api.h']]],
+  ['tvmfuncfree_4784',['TVMFuncFree',['../c__runtime__api_8h.html#af420f0d74004615c03bb40270ad5d489',1,'c_runtime_api.h']]],
+  ['tvmfuncgetglobal_4785',['TVMFuncGetGlobal',['../c__runtime__api_8h.html#ad3bd42da244a0e32ac82d7428e01a010',1,'c_runtime_api.h']]],
+  ['tvmfunclistglobalnames_4786',['TVMFuncListGlobalNames',['../c__runtime__api_8h.html#a392740d028710fcd356b2b63ab90fd01',1,'c_runtime_api.h']]],
+  ['tvmfuncregisterglobal_4787',['TVMFuncRegisterGlobal',['../c__runtime__api_8h.html#a9642167d9a76bfe7e81174e8776d504b',1,'c_runtime_api.h']]],
+  ['tvmfuncregistry_4788',['TVMFuncRegistry',['../structTVMFuncRegistry.html',1,'TVMFuncRegistry'],['../func__registry_8h.html#a38e83c5fe99e0dfd2c131cc44cd74c18',1,'TVMFuncRegistry():&#160;func_registry.h']]],
+  ['tvmfuncregistry_5fget0thfunctionname_4789',['TVMFuncRegistry_Get0thFunctionName',['../func__registry_8h.html#ae7b93356e31f8ce196c3dc02e1e7aa4a',1,'func_registry.h']]],
+  ['tvmfuncregistry_5fgetbyindex_4790',['TVMFuncRegistry_GetByIndex',['../func__registry_8h.html#a7181f6969391c489ac4c76d4e5daa809',1,'func_registry.h']]],
+  ['tvmfuncregistry_5fgetnumfuncs_4791',['TVMFuncRegistry_GetNumFuncs',['../func__registry_8h.html#a5e18e8e0656f55f7c2677332856c9de3',1,'func_registry.h']]],
+  ['tvmfuncregistry_5flookup_4792',['TVMFuncRegistry_Lookup',['../func__registry_8h.html#a2533d67f0ce5fbcf4cd1ba3fba721e2b',1,'func_registry.h']]],
+  ['tvmfuncregistry_5fsetnumfuncs_4793',['TVMFuncRegistry_SetNumFuncs',['../func__registry_8h.html#a33ba627f9b0011b3a3e00ac5b253c0fd',1,'func_registry.h']]],
+  ['tvmfuncremoveglobal_4794',['TVMFuncRemoveGlobal',['../c__runtime__api_8h.html#ab98d6b66089da8b33b662ccdb243b26b',1,'c_runtime_api.h']]],
+  ['tvmfunctionhandle_4795',['TVMFunctionHandle',['../c__runtime__api_8h.html#acf57d257a6e0841d84ebbd2a339d183e',1,'c_runtime_api.h']]],
+  ['tvmgetlasterror_4796',['TVMGetLastError',['../c__runtime__api_8h.html#a775383bcd8c0237e36bdf0c9654d62c3',1,'c_runtime_api.h']]],
+  ['tvmgraphexecutor_4797',['TVMGraphExecutor',['../graph__executor_8h.html#aa07e21a523d91145595f95e9aadf5e61',1,'graph_executor.h']]],
+  ['tvmgraphexecutor_5fcreate_4798',['TVMGraphExecutor_Create',['../graph__executor_8h.html#ada04cf7114e79511f2575453d244ea48',1,'graph_executor.h']]],
+  ['tvmgraphexecutor_5fgetinputindex_4799',['TVMGraphExecutor_GetInputIndex',['../graph__executor_8h.html#ace4739ee4e9e6b20605e9c565065de1b',1,'graph_executor.h']]],
+  ['tvmgraphexecutor_5fgetnuminputs_4800',['TVMGraphExecutor_GetNumInputs',['../graph__executor_8h.html#a52f5b9fc4c62f7f239e76534ec20369e',1,'graph_executor.h']]],
+  ['tvmgraphexecutor_5fgetnumoutputs_4801',['TVMGraphExecutor_GetNumOutputs',['../graph__executor_8h.html#adae931df07cb504e7951d82722b3706d',1,'graph_executor.h']]],
+  ['tvmgraphexecutor_5fgetoutput_4802',['TVMGraphExecutor_GetOutput',['../graph__executor_8h.html#a81fb1497f82a1a942fcbfa8be29c1646',1,'graph_executor.h']]],
+  ['tvmgraphexecutor_5floadparams_4803',['TVMGraphExecutor_LoadParams',['../graph__executor_8h.html#a4ffb31d1bae06cc87a488955bc27f56d',1,'graph_executor.h']]],
+  ['tvmgraphexecutor_5frelease_4804',['TVMGraphExecutor_Release',['../graph__executor_8h.html#afc898800b81cecb2b6fd5f57a6ba153d',1,'graph_executor.h']]],
+  ['tvmgraphexecutor_5frun_4805',['TVMGraphExecutor_Run',['../graph__executor_8h.html#aef2d3b3f4c26ed751da9a60ca1ac082b',1,'graph_executor.h']]],
+  ['tvmgraphexecutor_5fsetinput_4806',['TVMGraphExecutor_SetInput',['../graph__executor_8h.html#a54b808f6646bc01a94e97bec002ffd0c',1,'graph_executor.h']]],
+  ['tvmgraphexecutorgraphattr_4807',['TVMGraphExecutorGraphAttr',['../graph__executor_8h.html#a0017621bbbb01622a3544117e8437395',1,'TVMGraphExecutorGraphAttr():&#160;graph_executor.h'],['../structTVMGraphExecutorGraphAttr.html',1,'TVMGraphExecutorGraphAttr']]],
+  ['tvmgraphexecutormodule_5fregister_4808',['TVMGraphExecutorModule_Register',['../graph__executor__module_8h.html#a174fff47eabdfcc1ecad5e7616020a35',1,'graph_executor_module.h']]],
+  ['tvminitializeruntime_4809',['TVMInitializeRuntime',['../crt_8h.html#aa5daf120863bdc94e142ebb127b65f38',1,'crt.h']]],
+  ['tvmmetadata_4810',['TVMMetadata',['../aot__executor_8h.html#a4599d4a62bfcf460b9682d8a715360c7',1,'TVMMetadata():&#160;aot_executor.h'],['../structTVMMetadata.html',1,'TVMMetadata']]],
+  ['tvmmodcreatefromcmodule_4811',['TVMModCreateFromCModule',['../runtime_2crt_2module_8h.html#a4c11ce8105182e14068bb9a427605a81',1,'module.h']]],
+  ['tvmmodfree_4812',['TVMModFree',['../c__runtime__api_8h.html#ac16764609b45ac911a5c9971e195e377',1,'c_runtime_api.h']]],
+  ['tvmmodgetfunction_4813',['TVMModGetFunction',['../c__runtime__api_8h.html#a5a19a18084c94396a673efa309405a6c',1,'c_runtime_api.h']]],
+  ['tvmmodimport_4814',['TVMModImport',['../c__runtime__api_8h.html#aaeb0d67f6d7e90e671dd5a53b1d6b81a',1,'c_runtime_api.h']]],
+  ['tvmmodloadfromfile_4815',['TVMModLoadFromFile',['../c__runtime__api_8h.html#a47f79bb2004573a8e36a175d1b73fc64',1,'c_runtime_api.h']]],
+  ['tvmmodule_4816',['TVMModule',['../runtime_2crt_2module_8h.html#abd47b4fef13cf3b4dd7f7b7ad45357cf',1,'TVMModule():&#160;module.h'],['../structTVMModule.html',1,'TVMModule']]],
+  ['tvmmodulehandle_4817',['TVMModuleHandle',['../c__runtime__api_8h.html#ac4b0321c489287dcf4637e15328298ce',1,'c_runtime_api.h']]],
+  ['tvmmovableargvalue_5f_4818',['TVMMovableArgValue_',['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html',1,'tvm::runtime::TVMMovableArgValue_'],['../classtvm_1_1runtime_1_1ObjectPtr.html#acd985550cba6cf8509122cbd996c1557',1,'tvm::runtime::ObjectPtr::TVMMovableArgValue_()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#acd985550cba6cf8509122cbd996c1557',1,'tvm::runtime::TVMPODValue_::TVMMovableArgValue_()'],['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html#a8eca9048535541f374a58 [...]
+  ['tvmmovableargvaluewithcontext_5f_4819',['TVMMovableArgValueWithContext_',['../classtvm_1_1runtime_1_1TVMMovableArgValueWithContext__.html',1,'tvm::runtime::TVMMovableArgValueWithContext_'],['../classtvm_1_1runtime_1_1TVMMovableArgValueWithContext__.html#adf0eff794c9dd05da7e61c03b03f6bc7',1,'tvm::runtime::TVMMovableArgValueWithContext_::TVMMovableArgValueWithContext_()']]],
+  ['tvmmutablefuncregistry_4820',['TVMMutableFuncRegistry',['../func__registry_8h.html#ad3e4a30d33cbb84e33d3665373f82df1',1,'TVMMutableFuncRegistry():&#160;func_registry.h'],['../structTVMMutableFuncRegistry.html',1,'TVMMutableFuncRegistry']]],
+  ['tvmmutablefuncregistry_5fcreate_4821',['TVMMutableFuncRegistry_Create',['../func__registry_8h.html#a305cf0e49c5aac2d4cc744bf6417adca',1,'func_registry.h']]],
+  ['tvmmutablefuncregistry_5fset_4822',['TVMMutableFuncRegistry_Set',['../func__registry_8h.html#a6a0639e41bbb0efbf4d5b0f8c6fd206d',1,'func_registry.h']]],
+  ['tvmobjectderivedfrom_4823',['TVMObjectDerivedFrom',['../c__runtime__api_8h.html#a1d193b0c247af874dad4b31afc8ee7d8',1,'c_runtime_api.h']]],
+  ['tvmobjectfree_4824',['TVMObjectFree',['../c__runtime__api_8h.html#a660b709e0d509be7909fc31e3690d3f9',1,'c_runtime_api.h']]],
+  ['tvmobjectgettypeindex_4825',['TVMObjectGetTypeIndex',['../c__runtime__api_8h.html#ab9ddee6cf53918337cd4ca68d8bfc8b1',1,'c_runtime_api.h']]],
+  ['tvmobjecthandle_4826',['TVMObjectHandle',['../c__runtime__api_8h.html#aff795d001e3761c75b2b8f422465d5a0',1,'c_runtime_api.h']]],
+  ['tvmobjectretain_4827',['TVMObjectRetain',['../c__runtime__api_8h.html#ae899a6a211b7913e92420a01b804db64',1,'c_runtime_api.h']]],
+  ['tvmobjecttypeindex2key_4828',['TVMObjectTypeIndex2Key',['../c__runtime__api_8h.html#ae867a91b746fbe91e289b0d2ab0446aa',1,'c_runtime_api.h']]],
+  ['tvmobjecttypekey2index_4829',['TVMObjectTypeKey2Index',['../c__runtime__api_8h.html#a77d84581b6d0d78c77d88139c6adbf81',1,'c_runtime_api.h']]],
+  ['tvmopparam_4830',['TVMOpParam',['../structTVMOpParam.html',1,'TVMOpParam'],['../graph__executor_8h.html#a79b221d404ca5d2989ec971e6385c8a7',1,'TVMOpParam():&#160;graph_executor.h']]],
+  ['tvmpackedcfunc_4831',['TVMPackedCFunc',['../c__runtime__api_8h.html#a07954203342f2787acf988c4c351d9c3',1,'c_runtime_api.h']]],
+  ['tvmpackedcfuncfinalizer_4832',['TVMPackedCFuncFinalizer',['../c__runtime__api_8h.html#ac035a1354f2e5d7f8613af73cc735742',1,'c_runtime_api.h']]],
+  ['tvmpackedfunc_4833',['TVMPackedFunc',['../structTVMPackedFunc.html',1,'TVMPackedFunc'],['../crt_2packed__func_8h.html#a4c9d8154e6e2fda7435a53626ae96923',1,'TVMPackedFunc():&#160;packed_func.h']]],
+  ['tvmpackedfunc_5fcall_4834',['TVMPackedFunc_Call',['../crt_2packed__func_8h.html#acb0ddf3dca19377b657d6829b34dd57b',1,'packed_func.h']]],
+  ['tvmpackedfunc_5finitglobalfunc_4835',['TVMPackedFunc_InitGlobalFunc',['../crt_2packed__func_8h.html#ad19fd169419265a9122c4f257b4093d8',1,'packed_func.h']]],
+  ['tvmpackedfunc_5finitmodulefunc_4836',['TVMPackedFunc_InitModuleFunc',['../crt_2packed__func_8h.html#a65f35e3b3f521d105d7aa71347135efd',1,'packed_func.h']]],
+  ['tvmpackedfunc_5fsetargs_4837',['TVMPackedFunc_SetArgs',['../crt_2packed__func_8h.html#af145c1c723cc05360ab7b66bcf6f435e',1,'packed_func.h']]],
+  ['tvmparallelgroupenv_4838',['TVMParallelGroupEnv',['../structTVMParallelGroupEnv.html',1,'']]],
+  ['tvmplatformabort_4839',['TVMPlatformAbort',['../platform_8h.html#a47980e4ea2182978f94ca87cc15ca0c8',1,'platform.h']]],
+  ['tvmplatformaftermeasurement_4840',['TVMPlatformAfterMeasurement',['../platform_8h.html#a20e1528da0256cd8be25c91ea76a5f7b',1,'platform.h']]],
+  ['tvmplatformbeforemeasurement_4841',['TVMPlatformBeforeMeasurement',['../platform_8h.html#afd94474b764a8526d53fd6230ce4b475',1,'platform.h']]],
+  ['tvmplatformformatmessage_4842',['TVMPlatformFormatMessage',['../platform_8h.html#a6dfecb024ace62e724817f90b6407285',1,'platform.h']]],
+  ['tvmplatformgeneraterandom_4843',['TVMPlatformGenerateRandom',['../platform_8h.html#a92f8606f31e9b8ccaf02024bd4dbeb0e',1,'platform.h']]],
+  ['tvmplatforminitialize_4844',['TVMPlatformInitialize',['../platform_8h.html#a77204d888fc5e68c74b8bdf1e91bada2',1,'platform.h']]],
+  ['tvmplatformmemoryallocate_4845',['TVMPlatformMemoryAllocate',['../platform_8h.html#a133959eaf3ec68c568bdb71fcb94ddcb',1,'platform.h']]],
+  ['tvmplatformmemoryfree_4846',['TVMPlatformMemoryFree',['../platform_8h.html#a890631daad5b14e3c9fd34b94e41eaed',1,'platform.h']]],
+  ['tvmplatformtimerstart_4847',['TVMPlatformTimerStart',['../platform_8h.html#a1accf5aa6941b2f22e69b2f1870a1be6',1,'platform.h']]],
+  ['tvmplatformtimerstop_4848',['TVMPlatformTimerStop',['../platform_8h.html#a02a9ab0c374c97f35295008eff51d034',1,'platform.h']]],
+  ['tvmpodvalue_5f_4849',['TVMPODValue_',['../classtvm_1_1runtime_1_1TVMPODValue__.html',1,'tvm::runtime::TVMPODValue_'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a9a9fd94393cfd7d4b6e6029348e3e19a',1,'tvm::runtime::ObjectPtr::TVMPODValue_()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#a2f46b59a6c1d5eb4575d7f583b5f1a0c',1,'tvm::runtime::TVMPODValue_::TVMPODValue_()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#afe1837bdbafe8341c2031c5cebcf6e74',1,'tvm::runtime::TVMPODValue_::TVMPO [...]
+  ['tvmretvalue_4850',['TVMRetValue',['../classtvm_1_1runtime_1_1TVMRetValue.html',1,'tvm::runtime::TVMRetValue'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#ae0ea8b4adc6dab8c74086bceaef6b3e1',1,'tvm::runtime::TVMPODValue_::TVMRetValue()'],['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#ae0ea8b4adc6dab8c74086bceaef6b3e1',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;::TVMRetValue()'],['../classtvm_1_1runtime_1_1ObjectRef.html#ae0ea8b4adc6dab8c74086bceaef6 [...]
+  ['tvmretvaluehandle_4851',['TVMRetValueHandle',['../c__runtime__api_8h.html#a6cd1076476117e74454f67931c2da1d4',1,'c_runtime_api.h']]],
+  ['tvmscriptprinter_4852',['TVMScriptPrinter',['../classtvm_1_1TVMScriptPrinter.html',1,'tvm']]],
+  ['tvmsetstream_4853',['TVMSetStream',['../c__runtime__api_8h.html#ac414ed248ddb1bfb561685bba3de5e89',1,'c_runtime_api.h']]],
+  ['tvmstreamcreate_4854',['TVMStreamCreate',['../c__runtime__api_8h.html#a71d0c47cca9068b17cf0a1f4c58d8ca9',1,'c_runtime_api.h']]],
+  ['tvmstreamfree_4855',['TVMStreamFree',['../c__runtime__api_8h.html#a9dd0545318bfee4e2f4ccce7d7008e01',1,'c_runtime_api.h']]],
+  ['tvmstreamhandle_4856',['TVMStreamHandle',['../c__runtime__api_8h.html#ab1d5f6b7945e1410602a8a057fda5757',1,'c_runtime_api.h']]],
+  ['tvmstreamstreamsynchronize_4857',['TVMStreamStreamSynchronize',['../c__runtime__api_8h.html#aa91f776ed41a36790409f78725f81419',1,'c_runtime_api.h']]],
+  ['tvmstructfieldkind_4858',['TVMStructFieldKind',['../namespacetvm_1_1tir_1_1builtin.html#ad3b90c881b67ebe8e8fe68f14143bb1c',1,'tvm::tir::builtin']]],
+  ['tvmsynchronize_4859',['TVMSynchronize',['../c__runtime__api_8h.html#a386d7efd946bc750af8bf109f93f6ce2',1,'c_runtime_api.h']]],
+  ['tvmsystemlibentrypoint_4860',['TVMSystemLibEntryPoint',['../runtime_2crt_2module_8h.html#a32fdb5a1df93075a184a36d2549833fa',1,'module.h']]],
+  ['tvmtensorinfo_4861',['TVMTensorInfo',['../structTVMTensorInfo.html',1,'']]],
+  ['tvmvalue_4862',['TVMValue',['../unionTVMValue.html',1,'']]],
+  ['type_4863',['Type',['../classtvm_1_1Type.html',1,'tvm']]],
+  ['type_4864',['type',['../classtvm_1_1runtime_1_1vm_1_1Allocator.html#a7cfb6d4ea480436801276fe2e7660eb2',1,'tvm::runtime::vm::Allocator::type()'],['../classtvm_1_1relay_1_1TypePatternNode.html#aab5faa2a58862707b8dc18b59cccac19',1,'tvm::relay::TypePatternNode::type()'],['../structtvm_1_1detail_1_1is__specialized_3_01Container_3_01Args_8_8_8_01_4_00_01Container_01_4.html#a8dee3a1604498d6bc64948f1c0d19dc2',1,'tvm::detail::is_specialized&lt; Container&lt; Args... &gt;, Container &gt;::type [...]
+  ['type_4865',['Type',['../namespacetvm_1_1relay.html#a661d95f170bca230773914caeef3fe52',1,'tvm::relay']]],
+  ['type_2eh_4866',['type.h',['../ir_2type_8h.html',1,'(Global Namespace)'],['../relay_2type_8h.html',1,'(Global Namespace)']]],
+  ['type_5fannotation_4867',['type_annotation',['../classtvm_1_1relay_1_1VarNode.html#a79a56885eaf2a9326ff490164a5c1f0e',1,'tvm::relay::VarNode::type_annotation()'],['../classtvm_1_1tir_1_1VarNode.html#a7a84c6d137a79e9a5b9c4b6183f18353',1,'tvm::tir::VarNode::type_annotation()']]],
+  ['type_5fargs_4868',['type_args',['../classtvm_1_1relay_1_1CallNode.html#ad23d97a6ae1cc1bea903d4c714f811d6',1,'tvm::relay::CallNode']]],
+  ['type_5fas_4869',['type_as',['../classtvm_1_1RelayExprNode.html#aa6a49e1f6c40d4e24452114232bd1152',1,'tvm::RelayExprNode']]],
+  ['type_5fcode_4870',['type_code',['../classtvm_1_1runtime_1_1TVMPODValue__.html#aefca71073146f4be36d6a4a0de33d6e0',1,'tvm::runtime::TVMPODValue_']]],
+  ['type_5fcode_5f_4871',['type_code_',['../classtvm_1_1runtime_1_1TVMPODValue__.html#aed3e983e990c5c4ed3ac09b95055297e',1,'tvm::runtime::TVMPODValue_']]],
+  ['type_5fcodes_4872',['type_codes',['../classtvm_1_1runtime_1_1TVMArgs.html#abdb0f929087b14eb28d75c7a047cef9e',1,'tvm::runtime::TVMArgs']]],
+  ['type_5fconstraints_4873',['type_constraints',['../classtvm_1_1FuncTypeNode.html#a6dd6e8ed07019caa87943ec47d065499',1,'tvm::FuncTypeNode']]],
+  ['type_5fdefinitions_4874',['type_definitions',['../classtvm_1_1IRModuleNode.html#a547dbf39f1ecf5324153e10f7ca724c3',1,'tvm::IRModuleNode']]],
+  ['type_5ffunctor_2eh_4875',['type_functor.h',['../type__functor_8h.html',1,'']]],
+  ['type_5ffunctor_5fdefault_4876',['TYPE_FUNCTOR_DEFAULT',['../type__functor_8h.html#ad222ca7b5f1a4a8c626d1f1e4b53cdb0',1,'type_functor.h']]],
+  ['type_5findex_4877',['type_index',['../classtvm_1_1runtime_1_1Object.html#a481f01923b14e1851ebd38506e9c66ea',1,'tvm::runtime::Object']]],
+  ['type_5findex_5f_4878',['type_index_',['../classtvm_1_1runtime_1_1Object.html#a4bfc2586cb55f2af47728187b3256255',1,'tvm::runtime::Object']]],
+  ['type_5finfo_4879',['type_info',['../classtvm_1_1AttrFieldInfoNode.html#aa0e740cddb317c3a74a12302ab531b9b',1,'tvm::AttrFieldInfoNode']]],
+  ['type_5fkey_4880',['type_key',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a00f035566a720dbac56f5dea042fb0ec',1,'tvm::runtime::vm::VirtualMachine::type_key()'],['../classtvm_1_1runtime_1_1vm_1_1Executable.html#aef4f3663ee57fcbdf2d775f4dbbe79dc',1,'tvm::runtime::vm::Executable::type_key()'],['../classtvm_1_1runtime_1_1ModuleNode.html#a5834549180e1c668e7126562cea9a557',1,'tvm::runtime::ModuleNode::type_key()'],['../classtvm_1_1runtime_1_1metadata_1_1MetadataArrayNode.html#a452a [...]
+  ['type_5fkey_5f_4881',['type_key_',['../structtvm_1_1detail_1_1AttrInitEntry.html#a573a25648ca0d3d8fe28f6c7614248bf',1,'tvm::detail::AttrInitEntry']]],
+  ['type_5fparams_4882',['type_params',['../classtvm_1_1FuncTypeNode.html#acc1bb373c5851f6cec016a874f304d05',1,'tvm::FuncTypeNode::type_params()'],['../classtvm_1_1relay_1_1FunctionNode.html#a558ecb3ab57111b1a0f347764054d5e1',1,'tvm::relay::FunctionNode::type_params()']]],
+  ['type_5frelation_2eh_4883',['type_relation.h',['../type__relation_8h.html',1,'']]],
+  ['type_5fvars_4884',['type_vars',['../classtvm_1_1TypeDataNode.html#a350a23efc88be1def5b93d27ac6fa88b',1,'tvm::TypeDataNode']]],
+  ['typeannotation_4885',['TypeAnnotation',['../namespacetvm_1_1tir.html#abf355a4fdeb063b1adb4946cad5fca68',1,'tvm::tir']]],
+  ['typecall_4886',['TypeCall',['../classtvm_1_1TypeCall.html',1,'tvm::TypeCall'],['../namespacetvm_1_1relay.html#ab406a37acee11226e3e2e119beee439e',1,'tvm::relay::TypeCall()'],['../classtvm_1_1TypeCall.html#a54ca5beebff2a428241cf7564b496e02',1,'tvm::TypeCall::TypeCall()']]],
+  ['typecallnode_4887',['TypeCallNode',['../classtvm_1_1TypeCallNode.html',1,'tvm::TypeCallNode'],['../namespacetvm_1_1relay.html#af4dccabc877b8fd7db47cb73fb93883e',1,'tvm::relay::TypeCallNode()']]],
+  ['typecode_4888',['TypeCode',['../classtvm_1_1runtime_1_1DataType.html#a3c9ce1627be2550f656cd37b6c698c7d',1,'tvm::runtime::DataType']]],
+  ['typeconstraint_4889',['TypeConstraint',['../classtvm_1_1TypeConstraint.html',1,'tvm::TypeConstraint'],['../namespacetvm_1_1relay.html#a64e2e93fe04716efd8334ab4e39c92ce',1,'tvm::relay::TypeConstraint()']]],
+  ['typeconstraintnode_4890',['TypeConstraintNode',['../classtvm_1_1TypeConstraintNode.html',1,'tvm::TypeConstraintNode'],['../namespacetvm_1_1relay.html#a565e027589acded20ca38df22be098dc',1,'tvm::relay::TypeConstraintNode()']]],
+  ['typedata_4891',['TypeData',['../classtvm_1_1TypeData.html',1,'tvm::TypeData'],['../classtvm_1_1TypeData.html#a0a98fd1095812379d2bd1337db1511c1',1,'tvm::TypeData::TypeData()'],['../namespacetvm_1_1relay.html#a6e725a1cb4c83346e261eac7dc7292a8',1,'tvm::relay::TypeData()']]],
+  ['typedatanode_4892',['TypeDataNode',['../classtvm_1_1TypeDataNode.html',1,'tvm::TypeDataNode'],['../namespacetvm_1_1relay.html#a2b8c0d5920eaca88569907e92df6066f',1,'tvm::relay::TypeDataNode()']]],
+  ['typedenvfunc_4893',['TypedEnvFunc',['../classtvm_1_1TypedEnvFunc.html',1,'tvm::TypedEnvFunc&lt; FType &gt;'],['../classtvm_1_1TypedEnvFunc_3_01R_07Args_8_8_8_08_4.html#a0d72a6fa7263821c14bcd37837998ed9',1,'tvm::TypedEnvFunc&lt; R(Args...)&gt;::TypedEnvFunc(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1TypedEnvFunc_3_01R_07Args_8_8_8_08_4.html#a41a6b9014d0feeb628ca7edfd0d26f0b',1,'tvm::TypedEnvFunc&lt; R(Args...)&gt;::TypedEnvFunc()']]],
+  ['typedenvfunc_3c_20bool_28const_20array_3c_20type_20_3e_20_26args_2c_20int_20num_5finputs_2c_20const_20attrs_20_26attrs_2c_20const_20typereporter_20_26reporter_29_3e_4894',['TypedEnvFunc&lt; bool(const Array&lt; Type &gt; &amp;args, int num_inputs, const Attrs &amp;attrs, const TypeReporter &amp;reporter)&gt;',['../classtvm_1_1TypedEnvFunc.html',1,'tvm']]],
+  ['typedenvfunc_3c_20r_28args_2e_2e_2e_29_3e_4895',['TypedEnvFunc&lt; R(Args...)&gt;',['../classtvm_1_1TypedEnvFunc_3_01R_07Args_8_8_8_08_4.html',1,'tvm']]],
+  ['typedpackedfunc_4896',['TypedPackedFunc',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime::TypedPackedFunc&lt; FType &gt;'],['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#a4abadc6786dd14a3aed6e2b5b342d1d6',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;::TypedPackedFunc()'],['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html#a36ca0d1876544463ee848766e70e5e96',1,'tvm::runtime::TypedPackedFunc&lt; R(Args...)&gt;::Typed [...]
+  ['typedpackedfunc_3c_20array_3c_20objectref_20_3e_28objectref_20json_5fattrs_29_3e_4897',['TypedPackedFunc&lt; Array&lt; ObjectRef &gt;(ObjectRef json_attrs)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20array_3c_20objectref_20_3e_28schedule_20sch_2c_20const_20array_3c_20objectref_20_3e_20_26inputs_2c_20const_20array_3c_20objectref_20_3e_20_26attrs_2c_20const_20optional_3c_20objectref_20_3e_20_26decision_29_3e_4898',['TypedPackedFunc&lt; Array&lt; ObjectRef &gt;(Schedule sch, const Array&lt; ObjectRef &gt; &amp;inputs, const Array&lt; ObjectRef &gt; &amp;attrs, const Optional&lt; ObjectRef &gt; &amp;decision)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html' [...]
+  ['typedpackedfunc_3c_20array_3c_20runnerresult_20_3e_28int_29_3e_4899',['TypedPackedFunc&lt; Array&lt; RunnerResult &gt;(int)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20array_3c_20te_3a_3atensor_20_3e_28const_20attrs_20_26attrs_2c_20const_20array_3c_20te_3a_3atensor_20_3e_20_26inputs_2c_20const_20type_20_26out_5ftype_29_3e_4900',['TypedPackedFunc&lt; Array&lt; te::Tensor &gt;(const Attrs &amp;attrs, const Array&lt; te::Tensor &gt; &amp;inputs, const Type &amp;out_type)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20array_3c_20tuningrecord_20_3e_28_29_3e_4901',['TypedPackedFunc&lt; Array&lt; TuningRecord &gt;()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20array_3c_20tuningrecord_20_3e_28const_20workload_20_26_2c_20int_29_3e_4902',['TypedPackedFunc&lt; Array&lt; TuningRecord &gt;(const Workload &amp;, int)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20array_3c_20tvm_3a_3aruntime_3a_3andarray_20_3e_28const_20tunecontext_20_26context_2c_20const_20array_3c_20measurecandidate_20_3e_20_26candidates_29_3e_4903',['TypedPackedFunc&lt; Array&lt; tvm::runtime::NDArray &gt;(const TuneContext &amp;context, const Array&lt; MeasureCandidate &gt; &amp;candidates)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20bool_28_29_3e_4904',['TypedPackedFunc&lt; bool()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20bool_28const_20irmodule_20_26_29_3e_4905',['TypedPackedFunc&lt; bool(const IRModule &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20int_28_29_3e_4906',['TypedPackedFunc&lt; int()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20int64_5ft_28_29_3e_4907',['TypedPackedFunc&lt; int64_t()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20objectref_28array_3c_20objectref_20_3e_20attrs_29_3e_4908',['TypedPackedFunc&lt; ObjectRef(Array&lt; ObjectRef &gt; attrs)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20optional_3c_20irmodule_20_3e_28const_20irmodule_20_26_2c_20const_20target_20_26_2c_20const_20string_20_26_29_3e_4909',['TypedPackedFunc&lt; Optional&lt; IRModule &gt;(const IRModule &amp;, const Target &amp;, const String &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20optional_3c_20tir_3a_3aschedule_20_3e_28const_20irmodule_20_26_2c_20const_20target_20_26_2c_20const_20string_20_26_29_3e_4910',['TypedPackedFunc&lt; Optional&lt; tir::Schedule &gt;(const IRModule &amp;, const Target &amp;, const String &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20optional_3c_20tuningrecord_20_3e_28const_20irmodule_20_26_2c_20const_20target_20_26_2c_20const_20string_20_26_29_3e_4911',['TypedPackedFunc&lt; Optional&lt; TuningRecord &gt;(const IRModule &amp;, const Target &amp;, const String &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20r_28args_2e_2e_2e_29_3e_4912',['TypedPackedFunc&lt; R(Args...)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20runnerresult_28_29_3e_4913',['TypedPackedFunc&lt; RunnerResult()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20string_28_29_3e_4914',['TypedPackedFunc&lt; String()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20string_28const_20array_3c_20objectref_20_3e_20_26inputs_2c_20const_20array_3c_20objectref_20_3e_20_26attrs_2c_20const_20optional_3c_20objectref_20_3e_20_26decision_2c_20const_20array_3c_20string_20_3e_20_26outputs_29_3e_4915',['TypedPackedFunc&lt; String(const Array&lt; ObjectRef &gt; &amp;inputs, const Array&lt; ObjectRef &gt; &amp;attrs, const Optional&lt; ObjectRef &gt; &amp;decision, const Array&lt; String &gt; &amp;outputs)&gt;',['../classtvm_1_1runtime_1_1T [...]
+  ['typedpackedfunc_3c_20targetjson_28targetjson_29_3e_4916',['TypedPackedFunc&lt; TargetJSON(TargetJSON)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20te_3a_3aschedule_28const_20attrs_20_26attrs_2c_20const_20array_3c_20te_3a_3atensor_20_3e_20_26outs_2c_20const_20target_20_26target_29_3e_4917',['TypedPackedFunc&lt; te::Schedule(const Attrs &amp;attrs, const Array&lt; te::Tensor &gt; &amp;outs, const Target &amp;target)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20tvm_3a_3atir_3a_3astmt_28array_3c_20tvm_3a_3atir_3a_3avar_20_3e_20loop_5fvars_2c_20array_3c_20range_20_3e_20loop_5fextents_2c_20tvm_3a_3atir_3a_3astmt_20loop_5fbody_29_3e_4918',['TypedPackedFunc&lt; tvm::tir::Stmt(Array&lt; tvm::tir::Var &gt; loop_vars, Array&lt; Range &gt; loop_extents, tvm::tir::Stmt loop_body)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28_29_3e_4919',['TypedPackedFunc&lt; void()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28array_3c_20tunecontext_20_3e_20tasks_2c_20array_3c_20floatimm_20_3e_20task_5fweights_2c_20int_20max_5ftrials_5fglobal_2c_20int_20max_5ftrials_5fper_5ftask_2c_20int_20num_5ftrials_5fper_5fiter_2c_20builder_20builder_2c_20runner_20runner_2c_20array_3c_20measurecallback_20_3e_20measure_5fcallbacks_2c_20optional_3c_20database_20_3e_20database_2c_20optional_3c_20costmodel_20_3e_20cost_5fmodel_29_3e_4920',['TypedPackedFunc&lt; void(Array&lt; TuneContext &gt; tas [...]
+  ['typedpackedfunc_3c_20void_28const_20taskscheduler_20_26task_5fscheduler_2c_20int_20task_5fid_2c_20const_20array_3c_20measurecandidate_20_3e_20_26measure_5fcandidates_2c_20const_20array_3c_20builderresult_20_3e_20_26builds_2c_20const_20array_3c_20runnerresult_20_3e_20_26results_29_3e_4921',['TypedPackedFunc&lt; void(const TaskScheduler &amp;task_scheduler, int task_id, const Array&lt; MeasureCandidate &gt; &amp;measure_candidates, const Array&lt; BuilderResult &gt; &amp;builds, const  [...]
+  ['typedpackedfunc_3c_20void_28const_20tunecontext_20_26_2c_20const_20array_3c_20measurecandidate_20_3e_20_26_2c_20const_20array_3c_20runnerresult_20_3e_20_26_29_3e_4922',['TypedPackedFunc&lt; void(const TuneContext &amp;, const Array&lt; MeasureCandidate &gt; &amp;, const Array&lt; RunnerResult &gt; &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28const_20tunecontext_20_26_2c_20const_20array_3c_20measurecandidate_20_3e_20_26_2c_20void_20_2ap_5faddr_29_3e_4923',['TypedPackedFunc&lt; void(const TuneContext &amp;, const Array&lt; MeasureCandidate &gt; &amp;, void *p_addr)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28const_20tuningrecord_20_26_29_3e_4924',['TypedPackedFunc&lt; void(const TuningRecord &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28size_5ft_2c_20void_20_2a_29_3e_4925',['TypedPackedFunc&lt; void(size_t, void *)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28string_29_3e_4926',['TypedPackedFunc&lt; void(String)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28tvm_3a_3adiagnosticcontext_20ctx_29_3e_4927',['TypedPackedFunc&lt; void(tvm::DiagnosticContext ctx)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20workload_28const_20irmodule_20_26_29_3e_4928',['TypedPackedFunc&lt; Workload(const IRModule &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typeequal_4929',['TypeEqual',['../namespacetvm_1_1runtime.html#aee574cee6755b0c2f1aa664c6967686f',1,'tvm::runtime']]],
+  ['typefunctor_4930',['TypeFunctor',['../classtvm_1_1TypeFunctor.html',1,'tvm']]],
+  ['typefunctor_3c_20r_28const_20type_20_26n_2c_20args_2e_2e_2e_29_3e_4931',['TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm']]],
+  ['typefunctor_3c_20type_28const_20type_20_26n_29_3e_4932',['TypeFunctor&lt; Type(const Type &amp;n)&gt;',['../classtvm_1_1TypeFunctor.html',1,'tvm']]],
+  ['typefunctor_3c_20void_28const_20type_20_26n_29_3e_4933',['TypeFunctor&lt; void(const Type &amp;n)&gt;',['../classtvm_1_1TypeFunctor.html',1,'tvm']]],
+  ['typeindex_4934',['TypeIndex',['../structtvm_1_1runtime_1_1TypeIndex.html',1,'tvm::runtime']]],
+  ['typeindex2key_4935',['TypeIndex2Key',['../classtvm_1_1runtime_1_1Object.html#a817ba6c23b7ee1821c48a75edf255a30',1,'tvm::runtime::Object']]],
+  ['typeindex2keyhash_4936',['TypeIndex2KeyHash',['../classtvm_1_1runtime_1_1Object.html#a6ee32a02dd44257da105fbbe5d9c8622',1,'tvm::runtime::Object']]],
+  ['typekey2index_4937',['TypeKey2Index',['../classtvm_1_1runtime_1_1Object.html#a6841f97e06e6614dd7e82c6dd41b818a',1,'tvm::runtime::Object']]],
+  ['typekind_4938',['TypeKind',['../namespacetvm.html#acd267f8d7f55da6ac681239831963279',1,'tvm']]],
+  ['typekind2string_4939',['TypeKind2String',['../namespacetvm.html#ab839d9f872e97b31e30c0059a3eb9a92',1,'tvm']]],
+  ['typematch_4940',['TypeMatch',['../namespacetvm_1_1runtime.html#adbabb7cfb79bfb6d802f65a9803e4eb6',1,'tvm::runtime']]],
+  ['typemutator_4941',['TypeMutator',['../classtvm_1_1TypeMutator.html',1,'tvm']]],
+  ['typename_4942',['TypeName',['../structtvm_1_1detail_1_1TypeName.html',1,'tvm::detail::TypeName&lt; T &gt;'],['../structtvm_1_1runtime_1_1ObjectTypeChecker.html#a3498eb545b33e1c23a417fa58ec51dd6',1,'tvm::runtime::ObjectTypeChecker::TypeName()'],['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Array_3_01T_01_4_01_4.html#aab22b555cfe16d040c204527c73a3287',1,'tvm::runtime::ObjectTypeChecker&lt; Array&lt; T &gt; &gt;::TypeName()'],['../structtvm_1_1runtime_1_1ObjectTypeChecker_3_01Map_3 [...]
+  ['typename_3c_20bool_20_3e_4943',['TypeName&lt; bool &gt;',['../structtvm_1_1detail_1_1TypeName_3_01bool_01_4.html',1,'tvm::detail']]],
+  ['typename_3c_20datatype_20_3e_4944',['TypeName&lt; DataType &gt;',['../structtvm_1_1detail_1_1TypeName_3_01DataType_01_4.html',1,'tvm::detail']]],
+  ['typename_3c_20double_20_3e_4945',['TypeName&lt; double &gt;',['../structtvm_1_1detail_1_1TypeName_3_01double_01_4.html',1,'tvm::detail']]],
+  ['typename_3c_20int_20_3e_4946',['TypeName&lt; int &gt;',['../structtvm_1_1detail_1_1TypeName_3_01int_01_4.html',1,'tvm::detail']]],
+  ['typename_3c_20int64_5ft_20_3e_4947',['TypeName&lt; int64_t &gt;',['../structtvm_1_1detail_1_1TypeName_3_01int64__t_01_4.html',1,'tvm::detail']]],
+  ['typename_3c_20uint64_5ft_20_3e_4948',['TypeName&lt; uint64_t &gt;',['../structtvm_1_1detail_1_1TypeName_3_01uint64__t_01_4.html',1,'tvm::detail']]],
+  ['typename_3c_20void_20_2a_20_3e_4949',['TypeName&lt; void * &gt;',['../structtvm_1_1detail_1_1TypeName_3_01void_01_5_01_4.html',1,'tvm::detail']]],
+  ['typenode_4950',['TypeNode',['../classtvm_1_1TypeNode.html',1,'tvm::TypeNode'],['../namespacetvm_1_1relay.html#af6995f0c848d0d5cc4124a38f43aaf12',1,'tvm::relay::TypeNode()']]],
+  ['typepattern_4951',['TypePattern',['../classtvm_1_1relay_1_1TypePattern.html',1,'tvm::relay::TypePattern'],['../classtvm_1_1relay_1_1TypePattern.html#a3364c4747a676e0e33e8127fe17632ea',1,'tvm::relay::TypePattern::TypePattern()']]],
+  ['typepatternnode_4952',['TypePatternNode',['../classtvm_1_1relay_1_1TypePatternNode.html',1,'tvm::relay']]],
+  ['typerelation_4953',['TypeRelation',['../classtvm_1_1TypeRelation.html',1,'tvm::TypeRelation'],['../namespacetvm_1_1relay.html#adab0d56fd993df71df3068dea0cd5456',1,'tvm::relay::TypeRelation()'],['../classtvm_1_1TypeRelation.html#ac26b1897eab8197ed26606ab81b7403b',1,'tvm::TypeRelation::TypeRelation()']]],
+  ['typerelationfn_4954',['TypeRelationFn',['../namespacetvm_1_1relay.html#af253112249297a6cfb2a9b94cde0f235',1,'tvm::relay::TypeRelationFn()'],['../namespacetvm.html#a72dcba4493adfcd8908663898ece3514',1,'tvm::TypeRelationFn()']]],
+  ['typerelationnode_4955',['TypeRelationNode',['../classtvm_1_1TypeRelationNode.html',1,'tvm::TypeRelationNode'],['../namespacetvm_1_1relay.html#a89d812eaf13520b04e89a9414c51748c',1,'tvm::relay::TypeRelationNode()']]],
+  ['typereporter_4956',['TypeReporter',['../classtvm_1_1TypeReporter.html',1,'tvm::TypeReporter'],['../classtvm_1_1TypeReporter.html#a8e7e05a07f9f7ad9bea91f27afac9051',1,'tvm::TypeReporter::TypeReporter()'],['../classtvm_1_1TypeReporter.html#aa3dc38a3c84d324d0b3a9f358460a091',1,'tvm::TypeReporter::TypeReporter(ObjectPtr&lt; Object &gt; n)'],['../namespacetvm_1_1relay.html#afa9be9990c2006832cbfc02ebb35e527',1,'tvm::relay::TypeReporter()']]],
+  ['typereporternode_4957',['TypeReporterNode',['../classtvm_1_1TypeReporterNode.html',1,'tvm::TypeReporterNode'],['../namespacetvm_1_1relay.html#aaa3b5700ea20db399f539cec1abcb12b',1,'tvm::relay::TypeReporterNode()']]],
+  ['types_4958',['types',['../classtvm_1_1TupleAffineTypeNode.html#a30c834b7e1cb64467e6587ac16ebb187',1,'tvm::TupleAffineTypeNode']]],
+  ['typevar_4959',['TypeVar',['../classtvm_1_1TypeVar.html',1,'tvm::TypeVar'],['../classtvm_1_1TypeVar.html#adf5ef8e89d162735519b5d125c89e3e3',1,'tvm::TypeVar::TypeVar()'],['../namespacetvm_1_1relay.html#a63321eb51080f3f57dd7563a3ca0bfa6',1,'tvm::relay::TypeVar()']]],
+  ['typevarnode_4960',['TypeVarNode',['../classtvm_1_1TypeVarNode.html',1,'tvm::TypeVarNode'],['../namespacetvm_1_1relay.html#ab2cbe31b81ebd71ea8028a8404a7c9f3',1,'tvm::relay::TypeVarNode()']]],
+  ['typevisitor_4961',['TypeVisitor',['../classtvm_1_1TypeVisitor.html',1,'tvm']]],
+  ['usmp_4962',['usmp',['../namespacetvm_1_1tir_1_1usmp.html',1,'tvm::tir']]],
+  ['vision_4963',['vision',['../namespacetvm_1_1topi_1_1vision.html',1,'tvm::topi']]],
+  ['vm_4964',['vm',['../namespacetvm_1_1runtime_1_1vm.html',1,'tvm::runtime']]],
+  ['x86_4965',['x86',['../namespacetvm_1_1topi_1_1x86.html',1,'tvm::topi']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_16.js b/docs/reference/api/doxygen/search/all_16.js
index 2d52d89173..bd2998791a 100644
--- a/docs/reference/api/doxygen/search/all_16.js
+++ b/docs/reference/api/doxygen/search/all_16.js
@@ -1,77 +1,77 @@
 var searchData=
 [
-  ['uint_4964',['UInt',['../classtvm_1_1runtime_1_1DataType.html#ad1cf4571ee1a22c188c66ee2e6e6c042',1,'tvm::runtime::DataType']]],
-  ['uint16_4965',['UInt16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a7a3cd3dbea53feb2e7043e6452260d8a',1,'tvm::script::ir_builder::tir']]],
-  ['uint16x16_4966',['UInt16x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a4e2e296491ba53b33d0555f9edd7b9d2',1,'tvm::script::ir_builder::tir']]],
-  ['uint16x32_4967',['UInt16x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a0dbc9e2fb673e412abfb1c77d49a053d',1,'tvm::script::ir_builder::tir']]],
-  ['uint16x4_4968',['UInt16x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ae3b27f43f0de67f3e5ba799888bbefa7',1,'tvm::script::ir_builder::tir']]],
-  ['uint16x64_4969',['UInt16x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a2a0336d85cc5c8061fe29886dc871b2b',1,'tvm::script::ir_builder::tir']]],
-  ['uint16x8_4970',['UInt16x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#acb9032673c555649c524dcb423bd3ec9',1,'tvm::script::ir_builder::tir']]],
-  ['uint32_4971',['UInt32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ad46043363fa7a3d8cc4b53bd69c5c57a',1,'tvm::script::ir_builder::tir']]],
-  ['uint32x16_4972',['UInt32x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ada1efb68ea879efc0ecc27196a3068a4',1,'tvm::script::ir_builder::tir']]],
-  ['uint32x32_4973',['UInt32x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a17c245f82bc5002bbe5eb9c225325a85',1,'tvm::script::ir_builder::tir']]],
-  ['uint32x4_4974',['UInt32x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a9492cfc58991919e32a9a9653a0544a6',1,'tvm::script::ir_builder::tir']]],
-  ['uint32x64_4975',['UInt32x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a096f4ffaf512c1fefa0ceaefad55e593',1,'tvm::script::ir_builder::tir']]],
-  ['uint32x8_4976',['UInt32x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ac81a6cbb9e308a006df32b073e05098f',1,'tvm::script::ir_builder::tir']]],
-  ['uint64_4977',['UInt64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a11d2e4a9eba311bd85a70cc8793aaf75',1,'tvm::script::ir_builder::tir']]],
-  ['uint64x16_4978',['UInt64x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a33feb22ecf4d6708312e93a950e43637',1,'tvm::script::ir_builder::tir']]],
-  ['uint64x32_4979',['UInt64x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a625cdc68ea89d04b9bf314c28f397234',1,'tvm::script::ir_builder::tir']]],
-  ['uint64x4_4980',['UInt64x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#afa4e70a7e0ad235f3d2a1160c0093625',1,'tvm::script::ir_builder::tir']]],
-  ['uint64x64_4981',['UInt64x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#abdc950ea7ac026f00b8858e86b0fd81b',1,'tvm::script::ir_builder::tir']]],
-  ['uint64x8_4982',['UInt64x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a920b270cb2b11f7ce4fee459f7f1a619',1,'tvm::script::ir_builder::tir']]],
-  ['uint8_4983',['UInt8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ae492cc7293526e8e42ad4bf2b4a64cc0',1,'tvm::script::ir_builder::tir']]],
-  ['uint8x16_4984',['UInt8x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#affd5053dacbb25688ef6f067bbb78761',1,'tvm::script::ir_builder::tir']]],
-  ['uint8x32_4985',['UInt8x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#abbe8c3cb652b5fe7948080f8caf1570c',1,'tvm::script::ir_builder::tir']]],
-  ['uint8x4_4986',['UInt8x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ab84a0811f857d82faecf6c9cbbad5780',1,'tvm::script::ir_builder::tir']]],
-  ['uint8x64_4987',['UInt8x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a97cd0230ef0458bc166a387d49fa0250',1,'tvm::script::ir_builder::tir']]],
-  ['uint8x8_4988',['UInt8x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a227658e263028f9233da9f55c248a43f',1,'tvm::script::ir_builder::tir']]],
-  ['unannotate_4989',['Unannotate',['../classtvm_1_1tir_1_1ScheduleNode.html#a7c310bca5d1583e61a3f27052a1dd5d0',1,'tvm::tir::ScheduleNode::Unannotate(const BlockRV &amp;block_rv, const String &amp;ann_key)=0'],['../classtvm_1_1tir_1_1ScheduleNode.html#a45cd553c09ec836dfcbff81379647f07',1,'tvm::tir::ScheduleNode::Unannotate(const LoopRV &amp;loop_rv, const String &amp;ann_key)=0']]],
-  ['unbiased_4990',['unbiased',['../structtvm_1_1relay_1_1VarianceAttrs.html#a7de5da9ca0327074013e5c1ed7e9a5ed',1,'tvm::relay::VarianceAttrs']]],
-  ['uncps_4991',['UnCPS',['../namespacetvm_1_1relay.html#a1677d450d58078811f52fd70d67db515',1,'tvm::relay']]],
-  ['undef_4992',['Undef',['../classtvm_1_1tir_1_1Layout.html#a1c7bdf466d341ad61aba104db318ee0e',1,'tvm::tir::Layout']]],
-  ['undef_4993',['undef',['../namespacetvm_1_1tir_1_1builtin.html#a77a72a2e21fe0ea2118479924b4fb877',1,'tvm::tir::builtin']]],
-  ['undefinedvars_4994',['UndefinedVars',['../namespacetvm_1_1tir.html#ae110e02855d8bc12eb8b6f92ed391844',1,'tvm::tir::UndefinedVars(const Stmt &amp;stmt, const Array&lt; Var &gt; &amp;defs)'],['../namespacetvm_1_1tir.html#a99de316b20c7af2e8b4f06773ee69a5a',1,'tvm::tir::UndefinedVars(const PrimExpr &amp;expr)'],['../namespacetvm_1_1tir.html#a2c96d742d4feef9425424ecf1423b2f7',1,'tvm::tir::UndefinedVars(const PrimExpr &amp;expr, const Array&lt; Var &gt; &amp;defs)']]],
-  ['unframer_4995',['Unframer',['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html',1,'tvm::runtime::micro_rpc::Unframer'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html#a58d68e70d1819a706669c9934dd07639',1,'tvm::runtime::micro_rpc::Unframer::Unframer()']]],
-  ['unifiedstaticmemoryplanner_4996',['UnifiedStaticMemoryPlanner',['../namespacetvm_1_1tir_1_1transform.html#aca44076eb1085d664877596a8b8587d4',1,'tvm::tir::transform']]],
-  ['uniformattrs_4997',['UniformAttrs',['../structtvm_1_1relay_1_1UniformAttrs.html',1,'tvm::relay']]],
-  ['unifythreadbinding_4998',['UnifyThreadBinding',['../namespacetvm_1_1tir_1_1transform.html#a8b45a049536f7786b7821a5c1c32f0b3',1,'tvm::tir::transform']]],
-  ['union_4999',['Union',['../namespacetvm_1_1arith.html#a68a0523bf0384e492ab222d30be9160e',1,'tvm::arith']]],
-  ['uniondatabase_5000',['UnionDatabase',['../classtvm_1_1meta__schedule_1_1Database.html#affcd4ddc4911a83f281de558366a6d63',1,'tvm::meta_schedule::Database']]],
-  ['unionlowerbound_5001',['UnionLowerBound',['../namespacetvm_1_1arith.html#ab22d7fd95abb5fa372843a40e19d80c5',1,'tvm::arith']]],
-  ['unionregion_5002',['UnionRegion',['../namespacetvm_1_1arith.html#ad27c4f216e41eb8e81296fb7ec4b9453',1,'tvm::arith']]],
-  ['unionregionlowerbound_5003',['UnionRegionLowerBound',['../namespacetvm_1_1arith.html#a4c3dedfa4cba4ad39c953eb51eb83e4d',1,'tvm::arith']]],
-  ['unipolar_5004',['unipolar',['../structtvm_1_1relay_1_1BinaryConv2DAttrs.html#a7e0ad68dce226079b769a678aa01dc49',1,'tvm::relay::BinaryConv2DAttrs::unipolar()'],['../structtvm_1_1relay_1_1BinaryDenseAttrs.html#af21cdb9dac67ab9ecea5a19642658d8a',1,'tvm::relay::BinaryDenseAttrs::unipolar()']]],
-  ['unique_5005',['Unique',['../classtvm_1_1VirtualDeviceCache.html#a25ba1351484aa58a2cc7cef8f8e4423c',1,'tvm::VirtualDeviceCache']]],
-  ['unique_5006',['unique',['../classtvm_1_1runtime_1_1ObjectRef.html#a4e7cdb1574b93a59e784d70aa47b8da7',1,'tvm::runtime::ObjectRef::unique()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#af95c6c6fcd89da0f62b93f1167b72314',1,'tvm::runtime::ObjectPtr::unique()'],['../classtvm_1_1runtime_1_1Object.html#afd548730a6139d19fe24473ad66026d7',1,'tvm::runtime::Object::unique()']]],
-  ['uniqueattrs_5007',['UniqueAttrs',['../structtvm_1_1relay_1_1UniqueAttrs.html',1,'tvm::relay']]],
-  ['uniqueglobalfor_5008',['UniqueGlobalFor',['../classtvm_1_1GlobalVarSupplyNode.html#af67bad5d9d93381c440a7886cbef430a',1,'tvm::GlobalVarSupplyNode']]],
-  ['unit_5fbits_5009',['unit_bits',['../classtvm_1_1MemoryInfoNode.html#a505c2f2dd0dd0c28a12b9113e2176a8d',1,'tvm::MemoryInfoNode']]],
-  ['units_5010',['units',['../structtvm_1_1relay_1_1BinaryDenseAttrs.html#a5373b2f2aac19653ae21aec74c69cdb0',1,'tvm::relay::BinaryDenseAttrs::units()'],['../structtvm_1_1relay_1_1MatmulAttrs.html#a5893df9ad99c6717c4e6cb440d60c6a1',1,'tvm::relay::MatmulAttrs::units()'],['../structtvm_1_1relay_1_1DenseAttrs.html#a497487f7ccced8c7492a5ed03f78fa8f',1,'tvm::relay::DenseAttrs::units()'],['../structtvm_1_1relay_1_1DensePackAttrs.html#aa0096c26c832166de13881a032ba3fbf',1,'tvm::relay::DensePackAt [...]
-  ['unknownattributeaccesspath_5011',['UnknownAttributeAccessPath',['../classtvm_1_1UnknownAttributeAccessPath.html',1,'tvm']]],
-  ['unknownattributeaccesspathnode_5012',['UnknownAttributeAccessPathNode',['../classtvm_1_1UnknownAttributeAccessPathNode.html',1,'tvm::UnknownAttributeAccessPathNode'],['../classtvm_1_1UnknownAttributeAccessPathNode.html#a1882e9e591466a2785acc761dc63d56e',1,'tvm::UnknownAttributeAccessPathNode::UnknownAttributeAccessPathNode()']]],
-  ['unmatchedcases_5013',['UnmatchedCases',['../namespacetvm_1_1relay.html#aa3a8cace40f8056fd6412f39c3eaa605',1,'tvm::relay']]],
-  ['unravel_5findex_5014',['unravel_index',['../namespacetvm_1_1topi.html#a8811a02532bbe3047986bf1a8449ac0e',1,'tvm::topi']]],
-  ['unroll_5015',['Unroll',['../classtvm_1_1tir_1_1ScheduleNode.html#a84ec742f6295f59390592a6d0d90a552',1,'tvm::tir::ScheduleNode']]],
-  ['unroll_5016',['unroll',['../classtvm_1_1auto__scheduler_1_1State.html#aa68a9d2e226bae38a36e4be4af1d1ae4',1,'tvm::auto_scheduler::State::unroll()'],['../classtvm_1_1te_1_1Stage.html#af83ad8672660403504f472228b044b33',1,'tvm::te::Stage::unroll()']]],
-  ['unroll_5017',['Unroll',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#acd41556b0c4088d0f309ef5495aaebe3',1,'tvm::script::ir_builder::tir']]],
-  ['unrollloop_5018',['UnrollLoop',['../namespacetvm_1_1tir_1_1transform.html#ab2f279e91071fa96a1edb24fa004ea6a',1,'tvm::tir::transform']]],
-  ['unsafehidebufferaccess_5019',['UnsafeHideBufferAccess',['../classtvm_1_1tir_1_1ScheduleNode.html#aeb480358a51c505991e1636b94eae5ac',1,'tvm::tir::ScheduleNode']]],
-  ['unsafesetdtype_5020',['UnsafeSetDType',['../classtvm_1_1tir_1_1ScheduleNode.html#ac797a00135c910d65da297038b930ed6',1,'tvm::tir::ScheduleNode']]],
-  ['update_5021',['Update',['../classtvm_1_1arith_1_1IntSetAnalyzer.html#a9a201c941931807b4b88eedc4f8c03f4',1,'tvm::arith::IntSetAnalyzer::Update()'],['../classtvm_1_1arith_1_1ConstIntBoundAnalyzer.html#a5ae0699196c4bbc754bbdd4c3a6c7ca7',1,'tvm::arith::ConstIntBoundAnalyzer::Update()'],['../classtvm_1_1arith_1_1ModularSetAnalyzer.html#a04156fac580981f3005af3b8e676720d',1,'tvm::arith::ModularSetAnalyzer::Update()'],['../classtvm_1_1arith_1_1RewriteSimplifier.html#a5e6752c0702dc2d3e4235797 [...]
-  ['update_5022',['update',['../classtvm_1_1te_1_1ScanOpNode.html#ace2bf7e43cd4197324ec6363626fc60a',1,'tvm::te::ScanOpNode']]],
-  ['update_5023',['Update',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#a970b00b0eb1bf6b88eea2711b58c4d1d',1,'tvm::meta_schedule::PyCostModelNode::Update()'],['../classtvm_1_1meta__schedule_1_1CostModelNode.html#a1bba32eba84db583fe90d1a5bce085f1',1,'tvm::meta_schedule::CostModelNode::Update()'],['../classtvm_1_1IRModuleNode.html#a94a93385e64ce844299729af6a573015',1,'tvm::IRModuleNode::Update(const IRModule &amp;other)'],['../classtvm_1_1IRModuleNode.html#abdd8936c6fca33ef9b7c0 [...]
-  ['update_5ffunc_5024',['update_func',['../classtvm_1_1auto__scheduler_1_1PythonBasedModelNode.html#ade9364c152a36501d4f24fa4f0111519',1,'tvm::auto_scheduler::PythonBasedModelNode']]],
-  ['updatecostmodel_5025',['UpdateCostModel',['../classtvm_1_1meta__schedule_1_1MeasureCallback.html#afdf5503c6e6f53767de132d91a7b53f9',1,'tvm::meta_schedule::MeasureCallback']]],
-  ['updateiters_5026',['UpdateIters',['../classtvm_1_1auto__scheduler_1_1AttachMap.html#ab45b991ef2bcfb1bc191601aac42e778',1,'tvm::auto_scheduler::AttachMap']]],
-  ['updatescopeblockinfo_5027',['UpdateScopeBlockInfo',['../classtvm_1_1tir_1_1ScheduleStateNode.html#abac7984938cf7013b6cedf3e018972f3',1,'tvm::tir::ScheduleStateNode']]],
-  ['updatestagetoaxesmap_5028',['UpdateStageToAxesMap',['../namespacetvm_1_1auto__scheduler.html#af721042ba0b561f387dbcc5d2fe3b5b0',1,'tvm::auto_scheduler']]],
-  ['updatetypedef_5029',['UpdateTypeDef',['../classtvm_1_1IRModuleNode.html#a63b73181faaa31a95e8d71e1cf5b80b2',1,'tvm::IRModuleNode']]],
-  ['upper_5030',['upper',['../structtvm_1_1relay_1_1TriluAttrs.html#a756c8f48263f01a7aae18948d3db5211',1,'tvm::relay::TriluAttrs::upper()'],['../classtvm_1_1arith_1_1IntGroupBoundsNode.html#a0473d6f99a005affcbb47c63d6094b9b',1,'tvm::arith::IntGroupBoundsNode::upper()']]],
-  ['upsampling3dattrs_5031',['UpSampling3DAttrs',['../structtvm_1_1relay_1_1UpSampling3DAttrs.html',1,'tvm::relay']]],
-  ['upsamplingattrs_5032',['UpSamplingAttrs',['../structtvm_1_1relay_1_1UpSamplingAttrs.html',1,'tvm::relay']]],
-  ['use_5fcount_5033',['use_count',['../classtvm_1_1runtime_1_1ObjectRef.html#a0ae0da21d247cd87ea94fe3777c4405e',1,'tvm::runtime::ObjectRef::use_count()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a7e9be91964e9a50d32d85280eabff23a',1,'tvm::runtime::ObjectPtr::use_count()'],['../classtvm_1_1runtime_1_1NDArray.html#aa1e7d2346052e198b409966eb67be92b',1,'tvm::runtime::NDArray::use_count()']]],
-  ['use_5ffallback_5fstl_5fmap_5034',['USE_FALLBACK_STL_MAP',['../map_8h.html#ab1d917df41ea16c0eb385b7a4661765e',1,'map.h']]],
-  ['usedmemory_5035',['UsedMemory',['../classtvm_1_1runtime_1_1vm_1_1Allocator.html#a66e334404d87a2716422a21e3813109e',1,'tvm::runtime::vm::Allocator']]],
-  ['usesvar_5036',['UsesVar',['../namespacetvm_1_1tir.html#ad41992c8a069ebdfde7ff87d67dd66bd',1,'tvm::tir::UsesVar(const Stmt &amp;stmt, std::function&lt; bool(const VarNode *)&gt; vset_contains)'],['../namespacetvm_1_1tir.html#a60b80ecfe05e8cbc1ae824c169c4dc5c',1,'tvm::tir::UsesVar(const PrimExpr &amp;expr, std::function&lt; bool(const VarNode *)&gt; vset_contains)']]],
-  ['utils_2eh_5037',['utils.h',['../topi_2utils_8h.html',1,'(Global Namespace)'],['../tir_2utils_8h.html',1,'(Global Namespace)'],['../tir_2usmp_2utils_8h.html',1,'(Global Namespace)']]]
+  ['uint_4966',['UInt',['../classtvm_1_1runtime_1_1DataType.html#ad1cf4571ee1a22c188c66ee2e6e6c042',1,'tvm::runtime::DataType']]],
+  ['uint16_4967',['UInt16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a7a3cd3dbea53feb2e7043e6452260d8a',1,'tvm::script::ir_builder::tir']]],
+  ['uint16x16_4968',['UInt16x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a4e2e296491ba53b33d0555f9edd7b9d2',1,'tvm::script::ir_builder::tir']]],
+  ['uint16x32_4969',['UInt16x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a0dbc9e2fb673e412abfb1c77d49a053d',1,'tvm::script::ir_builder::tir']]],
+  ['uint16x4_4970',['UInt16x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ae3b27f43f0de67f3e5ba799888bbefa7',1,'tvm::script::ir_builder::tir']]],
+  ['uint16x64_4971',['UInt16x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a2a0336d85cc5c8061fe29886dc871b2b',1,'tvm::script::ir_builder::tir']]],
+  ['uint16x8_4972',['UInt16x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#acb9032673c555649c524dcb423bd3ec9',1,'tvm::script::ir_builder::tir']]],
+  ['uint32_4973',['UInt32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ad46043363fa7a3d8cc4b53bd69c5c57a',1,'tvm::script::ir_builder::tir']]],
+  ['uint32x16_4974',['UInt32x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ada1efb68ea879efc0ecc27196a3068a4',1,'tvm::script::ir_builder::tir']]],
+  ['uint32x32_4975',['UInt32x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a17c245f82bc5002bbe5eb9c225325a85',1,'tvm::script::ir_builder::tir']]],
+  ['uint32x4_4976',['UInt32x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a9492cfc58991919e32a9a9653a0544a6',1,'tvm::script::ir_builder::tir']]],
+  ['uint32x64_4977',['UInt32x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a096f4ffaf512c1fefa0ceaefad55e593',1,'tvm::script::ir_builder::tir']]],
+  ['uint32x8_4978',['UInt32x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ac81a6cbb9e308a006df32b073e05098f',1,'tvm::script::ir_builder::tir']]],
+  ['uint64_4979',['UInt64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a11d2e4a9eba311bd85a70cc8793aaf75',1,'tvm::script::ir_builder::tir']]],
+  ['uint64x16_4980',['UInt64x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a33feb22ecf4d6708312e93a950e43637',1,'tvm::script::ir_builder::tir']]],
+  ['uint64x32_4981',['UInt64x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a625cdc68ea89d04b9bf314c28f397234',1,'tvm::script::ir_builder::tir']]],
+  ['uint64x4_4982',['UInt64x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#afa4e70a7e0ad235f3d2a1160c0093625',1,'tvm::script::ir_builder::tir']]],
+  ['uint64x64_4983',['UInt64x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#abdc950ea7ac026f00b8858e86b0fd81b',1,'tvm::script::ir_builder::tir']]],
+  ['uint64x8_4984',['UInt64x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a920b270cb2b11f7ce4fee459f7f1a619',1,'tvm::script::ir_builder::tir']]],
+  ['uint8_4985',['UInt8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ae492cc7293526e8e42ad4bf2b4a64cc0',1,'tvm::script::ir_builder::tir']]],
+  ['uint8x16_4986',['UInt8x16',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#affd5053dacbb25688ef6f067bbb78761',1,'tvm::script::ir_builder::tir']]],
+  ['uint8x32_4987',['UInt8x32',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#abbe8c3cb652b5fe7948080f8caf1570c',1,'tvm::script::ir_builder::tir']]],
+  ['uint8x4_4988',['UInt8x4',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ab84a0811f857d82faecf6c9cbbad5780',1,'tvm::script::ir_builder::tir']]],
+  ['uint8x64_4989',['UInt8x64',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a97cd0230ef0458bc166a387d49fa0250',1,'tvm::script::ir_builder::tir']]],
+  ['uint8x8_4990',['UInt8x8',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a227658e263028f9233da9f55c248a43f',1,'tvm::script::ir_builder::tir']]],
+  ['unannotate_4991',['Unannotate',['../classtvm_1_1tir_1_1ScheduleNode.html#a7c310bca5d1583e61a3f27052a1dd5d0',1,'tvm::tir::ScheduleNode::Unannotate(const BlockRV &amp;block_rv, const String &amp;ann_key)=0'],['../classtvm_1_1tir_1_1ScheduleNode.html#a45cd553c09ec836dfcbff81379647f07',1,'tvm::tir::ScheduleNode::Unannotate(const LoopRV &amp;loop_rv, const String &amp;ann_key)=0']]],
+  ['unbiased_4992',['unbiased',['../structtvm_1_1relay_1_1VarianceAttrs.html#a7de5da9ca0327074013e5c1ed7e9a5ed',1,'tvm::relay::VarianceAttrs']]],
+  ['uncps_4993',['UnCPS',['../namespacetvm_1_1relay.html#a1677d450d58078811f52fd70d67db515',1,'tvm::relay']]],
+  ['undef_4994',['Undef',['../classtvm_1_1tir_1_1Layout.html#a1c7bdf466d341ad61aba104db318ee0e',1,'tvm::tir::Layout']]],
+  ['undef_4995',['undef',['../namespacetvm_1_1tir_1_1builtin.html#a77a72a2e21fe0ea2118479924b4fb877',1,'tvm::tir::builtin']]],
+  ['undefinedvars_4996',['UndefinedVars',['../namespacetvm_1_1tir.html#ae110e02855d8bc12eb8b6f92ed391844',1,'tvm::tir::UndefinedVars(const Stmt &amp;stmt, const Array&lt; Var &gt; &amp;defs)'],['../namespacetvm_1_1tir.html#a99de316b20c7af2e8b4f06773ee69a5a',1,'tvm::tir::UndefinedVars(const PrimExpr &amp;expr)'],['../namespacetvm_1_1tir.html#a2c96d742d4feef9425424ecf1423b2f7',1,'tvm::tir::UndefinedVars(const PrimExpr &amp;expr, const Array&lt; Var &gt; &amp;defs)']]],
+  ['unframer_4997',['Unframer',['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html',1,'tvm::runtime::micro_rpc::Unframer'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html#a58d68e70d1819a706669c9934dd07639',1,'tvm::runtime::micro_rpc::Unframer::Unframer()']]],
+  ['unifiedstaticmemoryplanner_4998',['UnifiedStaticMemoryPlanner',['../namespacetvm_1_1tir_1_1transform.html#aca44076eb1085d664877596a8b8587d4',1,'tvm::tir::transform']]],
+  ['uniformattrs_4999',['UniformAttrs',['../structtvm_1_1relay_1_1UniformAttrs.html',1,'tvm::relay']]],
+  ['unifythreadbinding_5000',['UnifyThreadBinding',['../namespacetvm_1_1tir_1_1transform.html#a8b45a049536f7786b7821a5c1c32f0b3',1,'tvm::tir::transform']]],
+  ['union_5001',['Union',['../namespacetvm_1_1arith.html#a68a0523bf0384e492ab222d30be9160e',1,'tvm::arith']]],
+  ['uniondatabase_5002',['UnionDatabase',['../classtvm_1_1meta__schedule_1_1Database.html#affcd4ddc4911a83f281de558366a6d63',1,'tvm::meta_schedule::Database']]],
+  ['unionlowerbound_5003',['UnionLowerBound',['../namespacetvm_1_1arith.html#ab22d7fd95abb5fa372843a40e19d80c5',1,'tvm::arith']]],
+  ['unionregion_5004',['UnionRegion',['../namespacetvm_1_1arith.html#ad27c4f216e41eb8e81296fb7ec4b9453',1,'tvm::arith']]],
+  ['unionregionlowerbound_5005',['UnionRegionLowerBound',['../namespacetvm_1_1arith.html#a4c3dedfa4cba4ad39c953eb51eb83e4d',1,'tvm::arith']]],
+  ['unipolar_5006',['unipolar',['../structtvm_1_1relay_1_1BinaryConv2DAttrs.html#a7e0ad68dce226079b769a678aa01dc49',1,'tvm::relay::BinaryConv2DAttrs::unipolar()'],['../structtvm_1_1relay_1_1BinaryDenseAttrs.html#af21cdb9dac67ab9ecea5a19642658d8a',1,'tvm::relay::BinaryDenseAttrs::unipolar()']]],
+  ['unique_5007',['Unique',['../classtvm_1_1VirtualDeviceCache.html#a25ba1351484aa58a2cc7cef8f8e4423c',1,'tvm::VirtualDeviceCache']]],
+  ['unique_5008',['unique',['../classtvm_1_1runtime_1_1ObjectRef.html#a4e7cdb1574b93a59e784d70aa47b8da7',1,'tvm::runtime::ObjectRef::unique()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#af95c6c6fcd89da0f62b93f1167b72314',1,'tvm::runtime::ObjectPtr::unique()'],['../classtvm_1_1runtime_1_1Object.html#afd548730a6139d19fe24473ad66026d7',1,'tvm::runtime::Object::unique()']]],
+  ['uniqueattrs_5009',['UniqueAttrs',['../structtvm_1_1relay_1_1UniqueAttrs.html',1,'tvm::relay']]],
+  ['uniqueglobalfor_5010',['UniqueGlobalFor',['../classtvm_1_1GlobalVarSupplyNode.html#af67bad5d9d93381c440a7886cbef430a',1,'tvm::GlobalVarSupplyNode']]],
+  ['unit_5fbits_5011',['unit_bits',['../classtvm_1_1MemoryInfoNode.html#a505c2f2dd0dd0c28a12b9113e2176a8d',1,'tvm::MemoryInfoNode']]],
+  ['units_5012',['units',['../structtvm_1_1relay_1_1BinaryDenseAttrs.html#a5373b2f2aac19653ae21aec74c69cdb0',1,'tvm::relay::BinaryDenseAttrs::units()'],['../structtvm_1_1relay_1_1MatmulAttrs.html#a5893df9ad99c6717c4e6cb440d60c6a1',1,'tvm::relay::MatmulAttrs::units()'],['../structtvm_1_1relay_1_1DenseAttrs.html#a497487f7ccced8c7492a5ed03f78fa8f',1,'tvm::relay::DenseAttrs::units()'],['../structtvm_1_1relay_1_1DensePackAttrs.html#aa0096c26c832166de13881a032ba3fbf',1,'tvm::relay::DensePackAt [...]
+  ['unknownattributeaccesspath_5013',['UnknownAttributeAccessPath',['../classtvm_1_1UnknownAttributeAccessPath.html',1,'tvm']]],
+  ['unknownattributeaccesspathnode_5014',['UnknownAttributeAccessPathNode',['../classtvm_1_1UnknownAttributeAccessPathNode.html',1,'tvm::UnknownAttributeAccessPathNode'],['../classtvm_1_1UnknownAttributeAccessPathNode.html#a1882e9e591466a2785acc761dc63d56e',1,'tvm::UnknownAttributeAccessPathNode::UnknownAttributeAccessPathNode()']]],
+  ['unmatchedcases_5015',['UnmatchedCases',['../namespacetvm_1_1relay.html#aa3a8cace40f8056fd6412f39c3eaa605',1,'tvm::relay']]],
+  ['unravel_5findex_5016',['unravel_index',['../namespacetvm_1_1topi.html#a8811a02532bbe3047986bf1a8449ac0e',1,'tvm::topi']]],
+  ['unroll_5017',['Unroll',['../classtvm_1_1tir_1_1ScheduleNode.html#a84ec742f6295f59390592a6d0d90a552',1,'tvm::tir::ScheduleNode']]],
+  ['unroll_5018',['unroll',['../classtvm_1_1auto__scheduler_1_1State.html#aa68a9d2e226bae38a36e4be4af1d1ae4',1,'tvm::auto_scheduler::State::unroll()'],['../classtvm_1_1te_1_1Stage.html#af83ad8672660403504f472228b044b33',1,'tvm::te::Stage::unroll()']]],
+  ['unroll_5019',['Unroll',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#acd41556b0c4088d0f309ef5495aaebe3',1,'tvm::script::ir_builder::tir']]],
+  ['unrollloop_5020',['UnrollLoop',['../namespacetvm_1_1tir_1_1transform.html#ab2f279e91071fa96a1edb24fa004ea6a',1,'tvm::tir::transform']]],
+  ['unsafehidebufferaccess_5021',['UnsafeHideBufferAccess',['../classtvm_1_1tir_1_1ScheduleNode.html#aeb480358a51c505991e1636b94eae5ac',1,'tvm::tir::ScheduleNode']]],
+  ['unsafesetdtype_5022',['UnsafeSetDType',['../classtvm_1_1tir_1_1ScheduleNode.html#ac797a00135c910d65da297038b930ed6',1,'tvm::tir::ScheduleNode']]],
+  ['update_5023',['Update',['../classtvm_1_1arith_1_1IntSetAnalyzer.html#a9a201c941931807b4b88eedc4f8c03f4',1,'tvm::arith::IntSetAnalyzer::Update()'],['../classtvm_1_1arith_1_1ConstIntBoundAnalyzer.html#a5ae0699196c4bbc754bbdd4c3a6c7ca7',1,'tvm::arith::ConstIntBoundAnalyzer::Update()'],['../classtvm_1_1arith_1_1ModularSetAnalyzer.html#a04156fac580981f3005af3b8e676720d',1,'tvm::arith::ModularSetAnalyzer::Update()'],['../classtvm_1_1arith_1_1RewriteSimplifier.html#a5e6752c0702dc2d3e4235797 [...]
+  ['update_5024',['update',['../classtvm_1_1te_1_1ScanOpNode.html#ace2bf7e43cd4197324ec6363626fc60a',1,'tvm::te::ScanOpNode']]],
+  ['update_5025',['Update',['../classtvm_1_1meta__schedule_1_1PyCostModelNode.html#a970b00b0eb1bf6b88eea2711b58c4d1d',1,'tvm::meta_schedule::PyCostModelNode::Update()'],['../classtvm_1_1meta__schedule_1_1CostModelNode.html#a1bba32eba84db583fe90d1a5bce085f1',1,'tvm::meta_schedule::CostModelNode::Update()'],['../classtvm_1_1IRModuleNode.html#a94a93385e64ce844299729af6a573015',1,'tvm::IRModuleNode::Update(const IRModule &amp;other)'],['../classtvm_1_1IRModuleNode.html#abdd8936c6fca33ef9b7c0 [...]
+  ['update_5ffunc_5026',['update_func',['../classtvm_1_1auto__scheduler_1_1PythonBasedModelNode.html#ade9364c152a36501d4f24fa4f0111519',1,'tvm::auto_scheduler::PythonBasedModelNode']]],
+  ['updatecostmodel_5027',['UpdateCostModel',['../classtvm_1_1meta__schedule_1_1MeasureCallback.html#afdf5503c6e6f53767de132d91a7b53f9',1,'tvm::meta_schedule::MeasureCallback']]],
+  ['updateiters_5028',['UpdateIters',['../classtvm_1_1auto__scheduler_1_1AttachMap.html#ab45b991ef2bcfb1bc191601aac42e778',1,'tvm::auto_scheduler::AttachMap']]],
+  ['updatescopeblockinfo_5029',['UpdateScopeBlockInfo',['../classtvm_1_1tir_1_1ScheduleStateNode.html#abac7984938cf7013b6cedf3e018972f3',1,'tvm::tir::ScheduleStateNode']]],
+  ['updatestagetoaxesmap_5030',['UpdateStageToAxesMap',['../namespacetvm_1_1auto__scheduler.html#af721042ba0b561f387dbcc5d2fe3b5b0',1,'tvm::auto_scheduler']]],
+  ['updatetypedef_5031',['UpdateTypeDef',['../classtvm_1_1IRModuleNode.html#a63b73181faaa31a95e8d71e1cf5b80b2',1,'tvm::IRModuleNode']]],
+  ['upper_5032',['upper',['../structtvm_1_1relay_1_1TriluAttrs.html#a756c8f48263f01a7aae18948d3db5211',1,'tvm::relay::TriluAttrs::upper()'],['../classtvm_1_1arith_1_1IntGroupBoundsNode.html#a0473d6f99a005affcbb47c63d6094b9b',1,'tvm::arith::IntGroupBoundsNode::upper()']]],
+  ['upsampling3dattrs_5033',['UpSampling3DAttrs',['../structtvm_1_1relay_1_1UpSampling3DAttrs.html',1,'tvm::relay']]],
+  ['upsamplingattrs_5034',['UpSamplingAttrs',['../structtvm_1_1relay_1_1UpSamplingAttrs.html',1,'tvm::relay']]],
+  ['use_5fcount_5035',['use_count',['../classtvm_1_1runtime_1_1ObjectRef.html#a0ae0da21d247cd87ea94fe3777c4405e',1,'tvm::runtime::ObjectRef::use_count()'],['../classtvm_1_1runtime_1_1ObjectPtr.html#a7e9be91964e9a50d32d85280eabff23a',1,'tvm::runtime::ObjectPtr::use_count()'],['../classtvm_1_1runtime_1_1NDArray.html#aa1e7d2346052e198b409966eb67be92b',1,'tvm::runtime::NDArray::use_count()']]],
+  ['use_5ffallback_5fstl_5fmap_5036',['USE_FALLBACK_STL_MAP',['../map_8h.html#ab1d917df41ea16c0eb385b7a4661765e',1,'map.h']]],
+  ['usedmemory_5037',['UsedMemory',['../classtvm_1_1runtime_1_1vm_1_1Allocator.html#a66e334404d87a2716422a21e3813109e',1,'tvm::runtime::vm::Allocator']]],
+  ['usesvar_5038',['UsesVar',['../namespacetvm_1_1tir.html#ad41992c8a069ebdfde7ff87d67dd66bd',1,'tvm::tir::UsesVar(const Stmt &amp;stmt, std::function&lt; bool(const VarNode *)&gt; vset_contains)'],['../namespacetvm_1_1tir.html#a60b80ecfe05e8cbc1ae824c169c4dc5c',1,'tvm::tir::UsesVar(const PrimExpr &amp;expr, std::function&lt; bool(const VarNode *)&gt; vset_contains)']]],
+  ['utils_2eh_5039',['utils.h',['../topi_2utils_8h.html',1,'(Global Namespace)'],['../tir_2utils_8h.html',1,'(Global Namespace)'],['../tir_2usmp_2utils_8h.html',1,'(Global Namespace)']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_17.js b/docs/reference/api/doxygen/search/all_17.js
index f6e45761d5..c3b9232e1e 100644
--- a/docs/reference/api/doxygen/search/all_17.js
+++ b/docs/reference/api/doxygen/search/all_17.js
@@ -1,116 +1,116 @@
 var searchData=
 [
-  ['v_5fdevice_5038',['v_device',['../unionTVMValue.html#a2296018ee2f5953b9cfb1a36475c303f',1,'TVMValue']]],
-  ['v_5ffloat64_5039',['v_float64',['../unionTVMValue.html#abefae93ebafe8818c0060df2bf31e6e5',1,'TVMValue']]],
-  ['v_5fhandle_5040',['v_handle',['../unionTVMValue.html#a3b172297f9f7bf2f5391f7d87309cd44',1,'TVMValue']]],
-  ['v_5finfo_5041',['v_info',['../structtvm_1_1relay_1_1v__info.html',1,'tvm::relay::v_info'],['../structtvm_1_1relay_1_1v__info.html#aaf1979af7915eb9f241285a92fc06173',1,'tvm::relay::v_info::v_info(Expr node_, bool children_expanded_)'],['../structtvm_1_1relay_1_1v__info.html#a00cc81b690617d77cbc6cfb500fde1ee',1,'tvm::relay::v_info::v_info(Expr node_)']]],
-  ['v_5fint64_5042',['v_int64',['../unionTVMValue.html#aa1c40fa9e74fbf97541fd9735062c4cc',1,'TVMValue']]],
-  ['v_5fstr_5043',['v_str',['../unionTVMValue.html#ab0e4dea6ca370fe7a7d22f4fc23a4f47',1,'TVMValue']]],
-  ['v_5ftype_5044',['v_type',['../unionTVMValue.html#a75af73d1e39bc5de7073a008d44b0d16',1,'TVMValue']]],
-  ['val_5045',['val',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#ac1e5be6bd195486a884bd8a26b5d12f9',1,'tvm::runtime::vm::Instruction']]],
-  ['value_5046',['value',['../structtvm_1_1detail_1_1TypeName_3_01DataType_01_4.html#a53fef3c8f6efd6960f326efdc7247191',1,'tvm::detail::TypeName&lt; DataType &gt;::value()'],['../structtvm_1_1detail_1_1TypeName_3_01uint64__t_01_4.html#a49e7cec3abbfc4db8144c7a3741b19e6',1,'tvm::detail::TypeName&lt; uint64_t &gt;::value()'],['../structtvm_1_1detail_1_1TypeName_3_01bool_01_4.html#a4ec09fca4aca8d1750d479c360f69c58',1,'tvm::detail::TypeName&lt; bool &gt;::value()'],['../structtvm_1_1detail_1_ [...]
-  ['value_5f_5047',['value_',['../structtvm_1_1detail_1_1AttrInitEntry.html#aea83f0af9e7ea95e5f7d614a717b7760',1,'tvm::detail::AttrInitEntry::value_()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#a8ffdfcc7099faf19ee07a5c03ce06af8',1,'tvm::runtime::TVMPODValue_::value_()']]],
-  ['value_5findex_5048',['value_index',['../classtvm_1_1tir_1_1ReduceNode.html#a3e27c310483199b33784b1b98e3787af',1,'tvm::tir::ReduceNode::value_index()'],['../classtvm_1_1te_1_1TensorNode.html#aaf908a208cea7f27477c1c58ba605aa8',1,'tvm::te::TensorNode::value_index()']]],
-  ['value_5fmissing_5f_5049',['value_missing_',['../structtvm_1_1detail_1_1AttrInitEntry.html#aaba94dddd1e9c367023dbe03e76634bf',1,'tvm::detail::AttrInitEntry']]],
-  ['value_5for_5050',['value_or',['../classtvm_1_1runtime_1_1Optional.html#a15be72990266fc89e03fdf08bae0f331',1,'tvm::runtime::Optional']]],
-  ['value_5ftype_5051',['value_type',['../classtvm_1_1runtime_1_1Array.html#a203f4dc8f7a37ac325f6d1e192d2f8c1',1,'tvm::runtime::Array::value_type()'],['../classtvm_1_1runtime_1_1IterAdapter.html#a1a2d3f6fa9436d7b5b125124c5c20faf',1,'tvm::runtime::IterAdapter::value_type()'],['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#adbf346bfbc9caff697aa754216d14a7c',1,'tvm::runtime::ReverseIterAdapter::value_type()'],['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#af27376d48f56d42f28440536 [...]
-  ['valueconverter_5052',['ValueConverter',['../structtvm_1_1runtime_1_1Array_1_1ValueConverter.html',1,'tvm::runtime::Array']]],
-  ['values_5053',['values',['../structTVMArgs.html#ab2d2a8c794bc11fdb56b294e711ff63c',1,'TVMArgs::values()'],['../classtvm_1_1runtime_1_1TVMArgs.html#a3b99059e2f1ad08c99b42b5bee82752f',1,'tvm::runtime::TVMArgs::values()'],['../classtvm_1_1script_1_1printer_1_1DictDocNode.html#a08d71431d889cd4588d57c06c12140c4',1,'tvm::script::printer::DictDocNode::values()']]],
-  ['values_5fcount_5054',['values_count',['../structTVMArgs.html#afa042427dc87d770d0def130f497f3f5',1,'TVMArgs']]],
-  ['valuetypeinfomaker_5055',['ValueTypeInfoMaker',['../structtvm_1_1detail_1_1ValueTypeInfoMaker.html',1,'tvm::detail']]],
-  ['var_5056',['Var',['../classtvm_1_1relay_1_1Var.html',1,'tvm::relay::Var'],['../classtvm_1_1tir_1_1Var.html',1,'tvm::tir::Var']]],
-  ['var_5057',['var',['../classtvm_1_1tir_1_1IterVarNode.html#a09036ef2df09e7caf21e66dcb62675a6',1,'tvm::tir::IterVarNode::var()'],['../classtvm_1_1tir_1_1LetNode.html#a21fdb9beb794933ee1094774ddfd2ee2',1,'tvm::tir::LetNode::var()']]],
-  ['var_5058',['Var',['../classtvm_1_1relay_1_1Var.html#a06ef8ae1d07a5b8a3c25ca7775d17762',1,'tvm::relay::Var']]],
-  ['var_5059',['var',['../classtvm_1_1tir_1_1LetStmtNode.html#ae701bd89e0cafb77ae5f9eec127d1fe8',1,'tvm::tir::LetStmtNode']]],
-  ['var_5060',['Var',['../classtvm_1_1relay_1_1Var.html#a45372a62057ee9332a391e29845505ff',1,'tvm::relay::Var::Var()'],['../classtvm_1_1tir_1_1Var.html#a21ba7568a83bfc2a5896f9e0ff181129',1,'tvm::tir::Var::Var(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1tir_1_1Var.html#a8d4803f34057c3a0460cf2147239e17a',1,'tvm::tir::Var::Var(String name_hint=&quot;v&quot;, DataType dtype=DataType::Int(32), Span span=Span())'],['../classtvm_1_1tir_1_1Var.html#a241222d3b660a733dd45e871fe8bf2e5',1,'tvm:: [...]
-  ['var_5061',['var',['../namespacetvm_1_1te.html#ae0c71f84710b436cbe0b32289d0838f4',1,'tvm::te::var()'],['../classtvm_1_1relay_1_1PatternVarNode.html#acfa1269806fbf19e7badd424c19c64bf',1,'tvm::relay::PatternVarNode::var()'],['../classtvm_1_1relay_1_1LetPatternNode.html#aff0c6dec182a3173fe0cb601a5b74ed1',1,'tvm::relay::LetPatternNode::var()'],['../classtvm_1_1relay_1_1LetNode.html#a3a841faeeb71a7e59f99feffb182a7c1',1,'tvm::relay::LetNode::var()'],['../classtvm_1_1script_1_1ir__builder_1_ [...]
-  ['var_2eh_5062',['var.h',['../var_8h.html',1,'']]],
-  ['var_5fremap_5f_5063',['var_remap_',['../classtvm_1_1tir_1_1DataTypeLegalizer.html#a1de0d50699d7d15618b19d2cb7fadb35',1,'tvm::tir::DataTypeLegalizer']]],
-  ['variableinfo_5064',['VariableInfo',['../structtvm_1_1script_1_1printer_1_1IRDocsifierNode_1_1VariableInfo.html',1,'tvm::script::printer::IRDocsifierNode']]],
-  ['variables_5065',['variables',['../classtvm_1_1arith_1_1IntConstraintsNode.html#adecd62b78ba2a3fc57778088ff641cf6',1,'tvm::arith::IntConstraintsNode']]],
-  ['varianceattrs_5066',['VarianceAttrs',['../structtvm_1_1relay_1_1VarianceAttrs.html',1,'tvm::relay']]],
-  ['variances_5067',['variances',['../structtvm_1_1relay_1_1MultiBoxTransformLocAttrs.html#a77bebc24e0c21245de2620593c2c2272',1,'tvm::relay::MultiBoxTransformLocAttrs']]],
-  ['varnode_5068',['VarNode',['../classtvm_1_1relay_1_1VarNode.html',1,'tvm::relay::VarNode'],['../classtvm_1_1tir_1_1VarNode.html',1,'tvm::tir::VarNode']]],
-  ['varpattern_5069',['VarPattern',['../classtvm_1_1relay_1_1VarPattern.html',1,'tvm::relay::VarPattern'],['../classtvm_1_1relay_1_1VarPattern.html#a2319d6842d099992581ce8f867c9bc29',1,'tvm::relay::VarPattern::VarPattern()']]],
-  ['varpatternnode_5070',['VarPatternNode',['../classtvm_1_1relay_1_1VarPatternNode.html',1,'tvm::relay']]],
-  ['vars_5071',['vars',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ForFrameNode.html#aae5623ede55058002bf9ac8257c2b7fd',1,'tvm::script::ir_builder::tir::ForFrameNode']]],
-  ['vector_5072',['Vector',['../classtvm_1_1arith_1_1IntSet.html#a29b6f1e60f4b328fcfabc514e0c10f17',1,'tvm::arith::IntSet']]],
-  ['vector_5fbytes_5073',['vector_bytes',['../namespacetvm_1_1tir_1_1attr.html#a7a5ec1a12abbc199795176f1da4f9fb9',1,'tvm::tir::attr']]],
-  ['vector_5funit_5fbytes_5074',['vector_unit_bytes',['../classtvm_1_1auto__scheduler_1_1HardwareParamsNode.html#a6f2dd9161fdb3233417a9912c8854434',1,'tvm::auto_scheduler::HardwareParamsNode']]],
-  ['vectorcombine_5075',['vectorcombine',['../namespacetvm_1_1tir_1_1builtin.html#a30dff65bc2c142b57fae7f60e378ff43',1,'tvm::tir::builtin']]],
-  ['vectorhigh_5076',['vectorhigh',['../namespacetvm_1_1tir_1_1builtin.html#a45bf65ca7ca01d2016e0b609117d7e25',1,'tvm::tir::builtin']]],
-  ['vectorize_5077',['vectorize',['../classtvm_1_1te_1_1Stage.html#a44d33e3920106e75dc7c68272f880812',1,'tvm::te::Stage::vectorize()'],['../classtvm_1_1auto__scheduler_1_1State.html#a97b8a21210d63bea241dbab085d89b53',1,'tvm::auto_scheduler::State::vectorize()']]],
-  ['vectorize_5078',['Vectorize',['../classtvm_1_1tir_1_1ScheduleNode.html#ab4a8cd91959ceab22855ec338978bcee',1,'tvm::tir::ScheduleNode']]],
-  ['vectorized_5079',['Vectorized',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a2b3df6013772bdd23dfa872b81555272',1,'tvm::script::ir_builder::tir']]],
-  ['vectorizeloop_5080',['VectorizeLoop',['../namespacetvm_1_1tir_1_1transform.html#af3cecb50a8b8fc8021f6a87bc27587da',1,'tvm::tir::transform']]],
-  ['vectorizer_5081',['Vectorizer',['../classtvm_1_1tir_1_1BufferLoadNode.html#a842a72b9d02a9f8541b512478932fece',1,'tvm::tir::BufferLoadNode']]],
-  ['vectorjacobianproduct_5082',['VectorJacobianProduct',['../namespacetvm_1_1te.html#a547183f5a311af53ab598faba423fd64',1,'tvm::te']]],
-  ['vectorlow_5083',['vectorlow',['../namespacetvm_1_1tir_1_1builtin.html#a7ed64a9fb0a7f575fc63e1e0395e96a6',1,'tvm::tir::builtin']]],
-  ['vectors_5084',['vectors',['../classtvm_1_1tir_1_1ShuffleNode.html#aa444b61cf671ef31060535c61746ab6c',1,'tvm::tir::ShuffleNode']]],
-  ['vectortyperewriter_5085',['VectorTypeRewriter',['../classtvm_1_1tir_1_1BufferLoadNode.html#a285a3b1d722a2877ffd9926cb93b7e7a',1,'tvm::tir::BufferLoadNode']]],
-  ['verbose_5086',['verbose',['../classtvm_1_1auto__scheduler_1_1TuningOptionsNode.html#ab5804969081fc4f1e1330b3e55da692f',1,'tvm::auto_scheduler::TuningOptionsNode::verbose()'],['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a59dc00655b6e7fb37d49249555369d6f',1,'tvm::auto_scheduler::ProgramMeasurerNode::verbose()'],['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#a626eea16092d3c1a7d86497ee712f064',1,'tvm::auto_scheduler::SearchPolicyNode::verbose()']]],
-  ['verbose_5fexpr_5087',['verbose_expr',['../classtvm_1_1PrinterConfigNode.html#a434403f4e4cb71c75a7be8cba4845901',1,'tvm::PrinterConfigNode']]],
-  ['verifycompactbuffer_5088',['VerifyCompactBuffer',['../namespacetvm_1_1te.html#a576f41e1cec5d799b31bf67328d130f8',1,'tvm::te']]],
-  ['verifygpucode_5089',['VerifyGPUCode',['../classtvm_1_1meta__schedule_1_1Postproc.html#a7106b1742068c45966d6be5f4b8394aa',1,'tvm::meta_schedule::Postproc::VerifyGPUCode()'],['../namespacetvm_1_1tir_1_1transform.html#a70a059926c2ea81dcf437eff35f05e3e',1,'tvm::tir::transform::VerifyGPUCode()'],['../namespacetvm_1_1tir.html#a53dfcb6ef7e178a83fda0bbb5dddcb39',1,'tvm::tir::VerifyGPUCode()']]],
-  ['verifymemory_5090',['VerifyMemory',['../namespacetvm_1_1tir_1_1transform.html#a32d0b0ed966cf019d5e607bc90f284af',1,'tvm::tir::transform::VerifyMemory()'],['../namespacetvm_1_1tir.html#ac69bcf127843e5e671379e44ab47ca27',1,'tvm::tir::VerifyMemory()']]],
-  ['verifyssa_5091',['VerifySSA',['../namespacetvm_1_1tir_1_1transform.html#ac51a104ab4d2c60a4f6ed0e827efab18',1,'tvm::tir::transform::VerifySSA()'],['../namespacetvm_1_1tir.html#a3b38edd60b6ff952cefb74842a8ae826',1,'tvm::tir::VerifySSA(const PrimFunc &amp;func)']]],
-  ['verifyvtcmlimit_5092',['VerifyVTCMLimit',['../namespacetvm_1_1tir.html#a3f28c0a8f23539af6d1789c3b5c1c2db',1,'tvm::tir::VerifyVTCMLimit()'],['../classtvm_1_1meta__schedule_1_1Postproc.html#ad4412f0dd6a58a6c608562077f7fc39f',1,'tvm::meta_schedule::Postproc::VerifyVTCMLimit()'],['../namespacetvm_1_1tir_1_1transform.html#a4a35e1cf06986d28080c3fd6a1bf8998',1,'tvm::tir::transform::VerifyVTCMLimit()'],['../namespacetvm_1_1tir.html#a550f52e05d70ada107d01416944199ff',1,'tvm::tir::VerifyVTCMLi [...]
-  ['verifywellformed_5093',['VerifyWellFormed',['../namespacetvm_1_1tir.html#aee3d251f82ef3a0f446ea23f8980d84e',1,'tvm::tir']]],
-  ['version_5094',['version',['../structTVMMetadata.html#ade3312efd4c0e5beaf390959621b2f52',1,'TVMMetadata::version()'],['../classtvm_1_1runtime_1_1metadata_1_1MetadataNode.html#a894ca3986db9874b364ea83982e54f21',1,'tvm::runtime::metadata::MetadataNode::version()']]],
-  ['vid_5095',['vid',['../classtvm_1_1relay_1_1VarNode.html#af191a8eedf3575d626771c9c820ddb63',1,'tvm::relay::VarNode']]],
-  ['virtual_5fdevice_5096',['virtual_device',['../structtvm_1_1relay_1_1AllocStorageAttrs.html#afdf3a337a2f431b6243a646a3d6ef158',1,'tvm::relay::AllocStorageAttrs::virtual_device()'],['../structtvm_1_1relay_1_1OnDeviceAttrs.html#ad65024d20dad91bc92ce3c6c5ed507d2',1,'tvm::relay::OnDeviceAttrs::virtual_device()'],['../classtvm_1_1RelayExprNode.html#ac4e639dd9f33f304800851364f471eb1',1,'tvm::RelayExprNode::virtual_device()']]],
-  ['virtual_5fdevice_2eh_5097',['virtual_device.h',['../virtual__device_8h.html',1,'']]],
-  ['virtual_5fdevice_5f_5098',['virtual_device_',['../classtvm_1_1RelayExprNode.html#ad6ea21e1a03d972ac5cf81b80b88b2c4',1,'tvm::RelayExprNode']]],
-  ['virtual_5fdevice_5fid_5099',['virtual_device_id',['../classtvm_1_1VirtualDeviceNode.html#a8c2753fddb9ab42794a9419785e9cffd',1,'tvm::VirtualDeviceNode']]],
-  ['virtual_5fdevices_5100',['virtual_devices',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#ac1c8344a5f1a7e57238ba35f39deaf1c',1,'tvm::runtime::vm::Executable']]],
-  ['virtual_5fthread_5101',['virtual_thread',['../namespacetvm_1_1tir_1_1attr.html#a464533c1560ace5e0b5071ba6902482e',1,'tvm::tir::attr']]],
-  ['virtualdevice_5102',['VirtualDevice',['../classtvm_1_1VirtualDevice.html',1,'tvm::VirtualDevice'],['../classtvm_1_1VirtualDevice.html#a03e58702dfa668daec15ffd172385571',1,'tvm::VirtualDevice::VirtualDevice()'],['../classtvm_1_1VirtualDeviceNode.html#ae4d7f111e3a45058026a3ffb156a4790',1,'tvm::VirtualDeviceNode::VirtualDevice()']]],
-  ['virtualdevicecache_5103',['VirtualDeviceCache',['../classtvm_1_1VirtualDeviceCache.html',1,'tvm::VirtualDeviceCache'],['../classtvm_1_1VirtualDevice.html#a4e08dee8d23f7eb0224a08e4e85e835e',1,'tvm::VirtualDevice::VirtualDeviceCache()']]],
-  ['virtualdevicenode_5104',['VirtualDeviceNode',['../classtvm_1_1VirtualDeviceNode.html',1,'tvm']]],
-  ['virtualmachine_5105',['VirtualMachine',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html',1,'tvm::runtime::vm::VirtualMachine'],['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a6edbbbb71000617f644232de37d53338',1,'tvm::runtime::vm::VirtualMachine::VirtualMachine()']]],
-  ['vision_2eh_5106',['vision.h',['../vision_8h.html',1,'']]],
-  ['visit_5fcounter_5f_5107',['visit_counter_',['../classtvm_1_1relay_1_1ExprVisitor.html#a27e6d787cccbf7ae04fe53b7b8a62d60',1,'tvm::relay::ExprVisitor']]],
-  ['visit_5flimit_5f_5108',['visit_limit_',['../classtvm_1_1relay_1_1MixedModeVisitor.html#aa6ea62e128a480d6321abd882b2e3bdb',1,'tvm::relay::MixedModeVisitor']]],
-  ['visitattrs_5109',['VisitAttrs',['../classtvm_1_1CompilationConfigNode.html#a013ec90098f6cc435024dc75dd4e76ea',1,'tvm::CompilationConfigNode::VisitAttrs()'],['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#ae651362767ff31ca0ccc8f37b0fe6dc0',1,'tvm::script::printer::IRDocsifierNode::VisitAttrs()'],['../classtvm_1_1script_1_1printer_1_1FrameNode.html#a353697131f308279d6fcf0e08ad8e2bc',1,'tvm::script::printer::FrameNode::VisitAttrs()'],['../classtvm_1_1script_1_1printer_1_1Clas [...]
-  ['visitblockannotations_5110',['VisitBlockAnnotations',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#ac3cbdd10c2660208ba65dab805968c79',1,'tvm::tir::IndexDataTypeRewriter']]],
-  ['visitbuffer_5111',['VisitBuffer',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#a020018fd34983116e16548986da455f9',1,'tvm::tir::IndexDataTypeRewriter']]],
-  ['visitbufferregion_5112',['VisitBufferRegion',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#aa44e8eb51377a5329c30cd13410fb4df',1,'tvm::tir::IndexDataTypeRewriter']]],
-  ['visitclause_5113',['VisitClause',['../classtvm_1_1relay_1_1ExprMutator.html#ae24134355b0e8c77aaab58c28a94202a',1,'tvm::relay::ExprMutator::VisitClause()'],['../classtvm_1_1relay_1_1ExprVisitor.html#ab109b327586181cecf76f7b5c562e91b',1,'tvm::relay::ExprVisitor::VisitClause()']]],
-  ['visitconstructor_5114',['VisitConstructor',['../classtvm_1_1relay_1_1PatternVisitor.html#ace76ea7238295c0be6924c1f0fd85826',1,'tvm::relay::PatternVisitor::VisitConstructor()'],['../classtvm_1_1relay_1_1PatternMutator.html#a03cf1d4afb8443e2f1decb4512d7c4ad',1,'tvm::relay::PatternMutator::VisitConstructor()']]],
-  ['visitdfpattern_5115',['VisitDFPattern',['../classtvm_1_1relay_1_1DFPatternVisitor.html#ae7e67d3a1709b0a180572417698ffaa8',1,'tvm::relay::DFPatternVisitor::VisitDFPattern()'],['../classtvm_1_1relay_1_1DFPatternFunctor_3_01R_07const_01DFPattern_01_6n_00_01Args_8_8_8_08_4.html#aa9069b73ba737cc6b53340fcda154da9',1,'tvm::relay::DFPatternFunctor&lt; R(const DFPattern &amp;n, Args...)&gt;::VisitDFPattern()']]],
-  ['visitdfpattern_5f_5116',['VisitDFPattern_',['../classtvm_1_1relay_1_1DFPatternVisitor.html#ab7a48081487e70bacf19d31f1cd4e10a',1,'tvm::relay::DFPatternVisitor::VisitDFPattern_(const WildcardPatternNode *op) override'],['../classtvm_1_1relay_1_1DFPatternVisitor.html#a0854aed09ea8ac1563a21811366416ca',1,'tvm::relay::DFPatternVisitor::VisitDFPattern_(const VarPatternNode *op) override'],['../classtvm_1_1relay_1_1DFPatternVisitor.html#a33f44420e80125c70437b49c71fba8ca',1,'tvm::relay::DFPa [...]
-  ['visitdfpatterndefault_5f_5117',['VisitDFPatternDefault_',['../classtvm_1_1relay_1_1DFPatternFunctor_3_01R_07const_01DFPattern_01_6n_00_01Args_8_8_8_08_4.html#a5b505cf396e6efcd18aeacb0177eeb2a',1,'tvm::relay::DFPatternFunctor&lt; R(const DFPattern &amp;n, Args...)&gt;']]],
-  ['visited_5f_5118',['visited_',['../classtvm_1_1relay_1_1DFPatternVisitor.html#af90576ccca72b94c42cd79c6ba63f242',1,'tvm::relay::DFPatternVisitor']]],
-  ['visitexpr_5119',['VisitExpr',['../classtvm_1_1tir_1_1StmtVisitor.html#a6d35a6081ee7dbc440e5a980f70795c6',1,'tvm::tir::StmtVisitor::VisitExpr()'],['../classtvm_1_1tir_1_1ExprFunctor_3_01R_07const_01PrimExpr_01_6n_00_01Args_8_8_8_08_4.html#af8e4aa48561e6341da70787357241bad',1,'tvm::tir::ExprFunctor&lt; R(const PrimExpr &amp;n, Args...)&gt;::VisitExpr()'],['../classtvm_1_1relay_1_1ExprVisitor.html#a4f3e1694dd33f3cbe1ae8d933de6f181',1,'tvm::relay::ExprVisitor::VisitExpr()'],['../classtvm [...]
-  ['visitexpr_5f_5120',['VisitExpr_',['../classtvm_1_1tir_1_1ExprMutator.html#abba3fcf085a7be3337ef56765c7ed935',1,'tvm::tir::ExprMutator::VisitExpr_(const LTNode *op) override'],['../classtvm_1_1tir_1_1ExprMutator.html#aa587c243decbe1667b93050e7e6128ff',1,'tvm::tir::ExprMutator::VisitExpr_(const NENode *op) override'],['../classtvm_1_1tir_1_1ExprMutator.html#aa03b672865f654dd5f1c78fb012f1061',1,'tvm::tir::ExprMutator::VisitExpr_(const EQNode *op) override'],['../classtvm_1_1tir_1_1ExprM [...]
-  ['visitexprdefault_5f_5121',['VisitExprDefault_',['../classtvm_1_1tir_1_1ExprFunctor_3_01R_07const_01PrimExpr_01_6n_00_01Args_8_8_8_08_4.html#abd446c1ab885af47986b4b8c73a1d881',1,'tvm::tir::ExprFunctor&lt; R(const PrimExpr &amp;n, Args...)&gt;::VisitExprDefault_()'],['../classtvm_1_1relay_1_1ExprFunctor_3_01R_07const_01Expr_01_6n_00_01Args_8_8_8_08_4.html#ab35a37c57578e32a8c873cdfe9e31a0f',1,'tvm::relay::ExprFunctor&lt; R(const Expr &amp;n, Args...)&gt;::VisitExprDefault_()']]],
-  ['visitindices_5122',['VisitIndices',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#ac8e945d93a018a2a3c5409df9dfcca1a',1,'tvm::tir::IndexDataTypeRewriter']]],
-  ['visititervar_5123',['VisitIterVar',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#a3a1623362bcc494a4e640709ce959efe',1,'tvm::tir::IndexDataTypeRewriter']]],
-  ['visitleaf_5124',['VisitLeaf',['../classtvm_1_1relay_1_1MixedModeMutator.html#ae12ee4816bd7543d92a417f33acdc452',1,'tvm::relay::MixedModeMutator::VisitLeaf()'],['../classtvm_1_1relay_1_1MixedModeVisitor.html#ac79a0ae0efd81dd5ace7e65a4d47b374',1,'tvm::relay::MixedModeVisitor::VisitLeaf()']]],
-  ['visitnondefaultattrs_5125',['VisitNonDefaultAttrs',['../classtvm_1_1AttrsNode.html#acd05137ba529ac7cd07053e3da885205',1,'tvm::AttrsNode::VisitNonDefaultAttrs()'],['../classtvm_1_1DictAttrsNode.html#ac096af14759a1d48ecf78fd6545776e0',1,'tvm::DictAttrsNode::VisitNonDefaultAttrs()'],['../classtvm_1_1BaseAttrsNode.html#acc8ff84447e3830050d96e2da4188195',1,'tvm::BaseAttrsNode::VisitNonDefaultAttrs()']]],
-  ['visitpattern_5126',['VisitPattern',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#ad6692c86b749bb0d93042aa2a0425a74',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;::VisitPattern()'],['../classtvm_1_1relay_1_1ExprMutator.html#a18cefc9bf699954cba7546f914779415',1,'tvm::relay::ExprMutator::VisitPattern()'],['../classtvm_1_1relay_1_1ExprVisitor.html#a8fda55f01b88a56b25770a66ea988152',1,'tvm::relay::ExprVisitor::Vis [...]
-  ['visitpattern_5f_5127',['VisitPattern_',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#a11370205d1de851e817d40f031ad4811',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;::VisitPattern_()'],['../classtvm_1_1relay_1_1PatternMutator.html#a45f7cdfa9d72a3ab0ce2cb4ea04fec5b',1,'tvm::relay::PatternMutator::VisitPattern_()'],['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html# [...]
-  ['visitpatterndefault_5f_5128',['VisitPatternDefault_',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#ad71efcd0b9a937b35f7fd4e2b6131773',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;']]],
-  ['visitprimfuncs_5129',['VisitPrimFuncs',['../namespacetvm_1_1tir.html#a1f69889b13455cd290e6b1a6453e3c1d',1,'tvm::tir']]],
-  ['visitseqstmt_5f_5130',['VisitSeqStmt_',['../classtvm_1_1tir_1_1StmtMutator.html#a1e24adea3e49d9381246b376349db51f',1,'tvm::tir::StmtMutator']]],
-  ['visitspan_5131',['VisitSpan',['../classtvm_1_1relay_1_1ExprVisitor.html#a2df6c6bab0e99d6e43dc54a22b5f6a11',1,'tvm::relay::ExprVisitor']]],
-  ['visitstmt_5132',['VisitStmt',['../classtvm_1_1tir_1_1StmtMutator.html#a4306d1beba05fa1ac582503498a6d7ce',1,'tvm::tir::StmtMutator::VisitStmt()'],['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html#afb8d8cd85b95414ced0f27cd1c7a44d4',1,'tvm::tir::StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;::VisitStmt()']]],
-  ['visitstmt_5f_5133',['VisitStmt_',['../classtvm_1_1tir_1_1StmtVisitor.html#ad23d44523d92ddba73a106b236cebf1f',1,'tvm::tir::StmtVisitor::VisitStmt_(const BlockNode *op) override'],['../classtvm_1_1tir_1_1StmtVisitor.html#aff2335e1aea1de67bdfb92271c8c0e10',1,'tvm::tir::StmtVisitor::VisitStmt_(const BlockRealizeNode *op) override'],['../classtvm_1_1tir_1_1StmtMutator.html#ac22f8d85fc78d756b8e11e53eb1bf2df',1,'tvm::tir::StmtMutator::VisitStmt_(const AttrStmtNode *op) override'],['../class [...]
-  ['visitstmtdefault_5f_5134',['VisitStmtDefault_',['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html#ae51b328e2b59a50bed7112a93dba1aae',1,'tvm::tir::StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;']]],
-  ['visittype_5135',['VisitType',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html#ad61608b0a6bf1259b9bdf6ea1f8495df',1,'tvm::TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;::VisitType()'],['../classtvm_1_1relay_1_1PatternMutator.html#a2ec9a680c63665b3c6087b67c638dce7',1,'tvm::relay::PatternMutator::VisitType()'],['../classtvm_1_1relay_1_1PatternVisitor.html#ac65b220fb52088e5fd6b07f9e355d0b5',1,'tvm::relay::PatternVisitor::VisitType()'],['../classtvm_1_1 [...]
-  ['visittype_5f_5136',['VisitType_',['../classtvm_1_1TypeMutator.html#a0d7ff530827c63fb3eb18cb720305dca',1,'tvm::TypeMutator::VisitType_(const PointerTypeNode *op) override'],['../classtvm_1_1TypeMutator.html#a8171dc89a947d6224e83e86ce5d06d11',1,'tvm::TypeMutator::VisitType_(const PrimTypeNode *op) override'],['../classtvm_1_1TypeMutator.html#a9c2d7e1a52faac66f55896ecde3f2211',1,'tvm::TypeMutator::VisitType_(const TypeDataNode *op) override'],['../classtvm_1_1TypeMutator.html#ab270cb7a0 [...]
-  ['visittypedefault_5f_5137',['VisitTypeDefault_',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html#a91553f9e04c39b3821a70ae4f7b0c597',1,'tvm::TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;']]],
-  ['visitvar_5138',['VisitVar',['../classtvm_1_1relay_1_1PatternVisitor.html#aa1ae59cf643dacfef3071ea551e965a5',1,'tvm::relay::PatternVisitor::VisitVar()'],['../classtvm_1_1relay_1_1PatternMutator.html#a8e3887d5d34d9c2df16743492d3c3e7d',1,'tvm::relay::PatternMutator::VisitVar()']]],
-  ['vleak_5fsize_5139',['vleak_size',['../page__allocator_8h.html#a165c599c1f2bce12e7069568f9f00952',1,'vleak_size():&#160;page_allocator.h'],['../structMemoryManagerInterface.html#a7ebe94f3ad1fb2877bc6cb9035808080',1,'MemoryManagerInterface::vleak_size()']]],
-  ['vload_5140',['vload',['../classtvm_1_1tir_1_1Buffer.html#a59e5d01af08b06df85eb9ff9bc3c6207',1,'tvm::tir::Buffer']]],
-  ['vm_2eh_5141',['vm.h',['../relay_2attrs_2vm_8h.html',1,'(Global Namespace)'],['../runtime_2vm_2vm_8h.html',1,'(Global Namespace)']]],
-  ['vmclosure_5142',['VMClosure',['../classtvm_1_1runtime_1_1vm_1_1VMClosure.html',1,'tvm::runtime::vm::VMClosure'],['../classtvm_1_1runtime_1_1vm_1_1VMClosure.html#a8278c34633af65da6ecb7543fc429ce5',1,'tvm::runtime::vm::VMClosure::VMClosure()']]],
-  ['vmclosureobj_5143',['VMClosureObj',['../classtvm_1_1runtime_1_1vm_1_1VMClosureObj.html',1,'tvm::runtime::vm']]],
-  ['vmframe_5144',['VMFrame',['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html',1,'tvm::runtime::vm::VMFrame'],['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html#a8f8c990ee4fa7cb7472f5440f2ca3bde',1,'tvm::runtime::vm::VMFrame::VMFrame()']]],
-  ['vmfunction_5145',['VMFunction',['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html',1,'tvm::runtime::vm::VMFunction'],['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html#af9d2bdcf19642c21bc4909b9e9b6196d',1,'tvm::runtime::vm::VMFunction::VMFunction()=default'],['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html#aea763069fe1dd6849ce0d1ec336931e0',1,'tvm::runtime::vm::VMFunction::VMFunction(std::string name, std::vector&lt; std::string &gt; params, std::vector&lt; Instruction &gt; instruc [...]
-  ['void_5146',['Void',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a2b6c9317de9b507692d67a8e77dafc94',1,'tvm::script::ir_builder::tir::Void()'],['../classtvm_1_1runtime_1_1DataType.html#ab8dc0832aff8fd7421884c0fe20a3bfd',1,'tvm::runtime::DataType::Void()']]],
-  ['voidtype_5147',['VoidType',['../namespacetvm.html#a27df956aaa2e5e25005e0f9dc1638a08',1,'tvm']]],
-  ['volatile_5fscope_5148',['volatile_scope',['../namespacetvm_1_1tir_1_1attr.html#a14c2370f3a08792a6aaf70d7a3c773e4',1,'tvm::tir::attr']]],
-  ['vstore_5149',['vstore',['../classtvm_1_1tir_1_1Buffer.html#a1b79026ddcc4d828f0ef4356064d394c',1,'tvm::tir::Buffer']]],
-  ['vtable_5150',['vtable',['../classtvm_1_1script_1_1printer_1_1IRDocsifier.html#a408230cfec2cccb843ba4b6fb3b75093',1,'tvm::script::printer::IRDocsifier::vtable()'],['../classtvm_1_1script_1_1ir__builder_1_1details_1_1Namer.html#a8227ff24f3e5dc2c5014110f8bc4c679',1,'tvm::script::ir_builder::details::Namer::vtable()'],['../classtvm_1_1relay_1_1DFPatternPrinter.html#aa0c2cc56333b9b65ed4a5832ba34c0a7',1,'tvm::relay::DFPatternPrinter::vtable()'],['../classtvm_1_1TVMScriptPrinter.html#a7fa01 [...]
+  ['v_5fdevice_5040',['v_device',['../unionTVMValue.html#a2296018ee2f5953b9cfb1a36475c303f',1,'TVMValue']]],
+  ['v_5ffloat64_5041',['v_float64',['../unionTVMValue.html#abefae93ebafe8818c0060df2bf31e6e5',1,'TVMValue']]],
+  ['v_5fhandle_5042',['v_handle',['../unionTVMValue.html#a3b172297f9f7bf2f5391f7d87309cd44',1,'TVMValue']]],
+  ['v_5finfo_5043',['v_info',['../structtvm_1_1relay_1_1v__info.html',1,'tvm::relay::v_info'],['../structtvm_1_1relay_1_1v__info.html#aaf1979af7915eb9f241285a92fc06173',1,'tvm::relay::v_info::v_info(Expr node_, bool children_expanded_)'],['../structtvm_1_1relay_1_1v__info.html#a00cc81b690617d77cbc6cfb500fde1ee',1,'tvm::relay::v_info::v_info(Expr node_)']]],
+  ['v_5fint64_5044',['v_int64',['../unionTVMValue.html#aa1c40fa9e74fbf97541fd9735062c4cc',1,'TVMValue']]],
+  ['v_5fstr_5045',['v_str',['../unionTVMValue.html#ab0e4dea6ca370fe7a7d22f4fc23a4f47',1,'TVMValue']]],
+  ['v_5ftype_5046',['v_type',['../unionTVMValue.html#a75af73d1e39bc5de7073a008d44b0d16',1,'TVMValue']]],
+  ['val_5047',['val',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#ac1e5be6bd195486a884bd8a26b5d12f9',1,'tvm::runtime::vm::Instruction']]],
+  ['value_5048',['value',['../structtvm_1_1detail_1_1TypeName_3_01DataType_01_4.html#a53fef3c8f6efd6960f326efdc7247191',1,'tvm::detail::TypeName&lt; DataType &gt;::value()'],['../structtvm_1_1detail_1_1TypeName_3_01uint64__t_01_4.html#a49e7cec3abbfc4db8144c7a3741b19e6',1,'tvm::detail::TypeName&lt; uint64_t &gt;::value()'],['../structtvm_1_1detail_1_1TypeName_3_01bool_01_4.html#a4ec09fca4aca8d1750d479c360f69c58',1,'tvm::detail::TypeName&lt; bool &gt;::value()'],['../structtvm_1_1detail_1_ [...]
+  ['value_5f_5049',['value_',['../structtvm_1_1detail_1_1AttrInitEntry.html#aea83f0af9e7ea95e5f7d614a717b7760',1,'tvm::detail::AttrInitEntry::value_()'],['../classtvm_1_1runtime_1_1TVMPODValue__.html#a8ffdfcc7099faf19ee07a5c03ce06af8',1,'tvm::runtime::TVMPODValue_::value_()']]],
+  ['value_5findex_5050',['value_index',['../classtvm_1_1tir_1_1ReduceNode.html#a3e27c310483199b33784b1b98e3787af',1,'tvm::tir::ReduceNode::value_index()'],['../classtvm_1_1te_1_1TensorNode.html#aaf908a208cea7f27477c1c58ba605aa8',1,'tvm::te::TensorNode::value_index()']]],
+  ['value_5fmissing_5f_5051',['value_missing_',['../structtvm_1_1detail_1_1AttrInitEntry.html#aaba94dddd1e9c367023dbe03e76634bf',1,'tvm::detail::AttrInitEntry']]],
+  ['value_5for_5052',['value_or',['../classtvm_1_1runtime_1_1Optional.html#a15be72990266fc89e03fdf08bae0f331',1,'tvm::runtime::Optional']]],
+  ['value_5ftype_5053',['value_type',['../classtvm_1_1runtime_1_1Array.html#a203f4dc8f7a37ac325f6d1e192d2f8c1',1,'tvm::runtime::Array::value_type()'],['../classtvm_1_1runtime_1_1IterAdapter.html#a1a2d3f6fa9436d7b5b125124c5c20faf',1,'tvm::runtime::IterAdapter::value_type()'],['../classtvm_1_1runtime_1_1ReverseIterAdapter.html#adbf346bfbc9caff697aa754216d14a7c',1,'tvm::runtime::ReverseIterAdapter::value_type()'],['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html#af27376d48f56d42f28440536 [...]
+  ['valueconverter_5054',['ValueConverter',['../structtvm_1_1runtime_1_1Array_1_1ValueConverter.html',1,'tvm::runtime::Array']]],
+  ['values_5055',['values',['../structTVMArgs.html#ab2d2a8c794bc11fdb56b294e711ff63c',1,'TVMArgs::values()'],['../classtvm_1_1runtime_1_1TVMArgs.html#a3b99059e2f1ad08c99b42b5bee82752f',1,'tvm::runtime::TVMArgs::values()'],['../classtvm_1_1script_1_1printer_1_1DictDocNode.html#a08d71431d889cd4588d57c06c12140c4',1,'tvm::script::printer::DictDocNode::values()']]],
+  ['values_5fcount_5056',['values_count',['../structTVMArgs.html#afa042427dc87d770d0def130f497f3f5',1,'TVMArgs']]],
+  ['valuetypeinfomaker_5057',['ValueTypeInfoMaker',['../structtvm_1_1detail_1_1ValueTypeInfoMaker.html',1,'tvm::detail']]],
+  ['var_5058',['Var',['../classtvm_1_1relay_1_1Var.html',1,'tvm::relay::Var'],['../classtvm_1_1tir_1_1Var.html',1,'tvm::tir::Var']]],
+  ['var_5059',['var',['../classtvm_1_1tir_1_1IterVarNode.html#a09036ef2df09e7caf21e66dcb62675a6',1,'tvm::tir::IterVarNode::var()'],['../classtvm_1_1tir_1_1LetNode.html#a21fdb9beb794933ee1094774ddfd2ee2',1,'tvm::tir::LetNode::var()']]],
+  ['var_5060',['Var',['../classtvm_1_1relay_1_1Var.html#a06ef8ae1d07a5b8a3c25ca7775d17762',1,'tvm::relay::Var']]],
+  ['var_5061',['var',['../classtvm_1_1tir_1_1LetStmtNode.html#ae701bd89e0cafb77ae5f9eec127d1fe8',1,'tvm::tir::LetStmtNode']]],
+  ['var_5062',['Var',['../classtvm_1_1relay_1_1Var.html#a45372a62057ee9332a391e29845505ff',1,'tvm::relay::Var::Var()'],['../classtvm_1_1tir_1_1Var.html#a21ba7568a83bfc2a5896f9e0ff181129',1,'tvm::tir::Var::Var(ObjectPtr&lt; Object &gt; n)'],['../classtvm_1_1tir_1_1Var.html#a8d4803f34057c3a0460cf2147239e17a',1,'tvm::tir::Var::Var(String name_hint=&quot;v&quot;, DataType dtype=DataType::Int(32), Span span=Span())'],['../classtvm_1_1tir_1_1Var.html#a241222d3b660a733dd45e871fe8bf2e5',1,'tvm:: [...]
+  ['var_5063',['var',['../namespacetvm_1_1te.html#ae0c71f84710b436cbe0b32289d0838f4',1,'tvm::te::var()'],['../classtvm_1_1relay_1_1PatternVarNode.html#acfa1269806fbf19e7badd424c19c64bf',1,'tvm::relay::PatternVarNode::var()'],['../classtvm_1_1relay_1_1LetPatternNode.html#aff0c6dec182a3173fe0cb601a5b74ed1',1,'tvm::relay::LetPatternNode::var()'],['../classtvm_1_1relay_1_1LetNode.html#a3a841faeeb71a7e59f99feffb182a7c1',1,'tvm::relay::LetNode::var()'],['../classtvm_1_1script_1_1ir__builder_1_ [...]
+  ['var_2eh_5064',['var.h',['../var_8h.html',1,'']]],
+  ['var_5fremap_5f_5065',['var_remap_',['../classtvm_1_1tir_1_1DataTypeLegalizer.html#a1de0d50699d7d15618b19d2cb7fadb35',1,'tvm::tir::DataTypeLegalizer']]],
+  ['variableinfo_5066',['VariableInfo',['../structtvm_1_1script_1_1printer_1_1IRDocsifierNode_1_1VariableInfo.html',1,'tvm::script::printer::IRDocsifierNode']]],
+  ['variables_5067',['variables',['../classtvm_1_1arith_1_1IntConstraintsNode.html#adecd62b78ba2a3fc57778088ff641cf6',1,'tvm::arith::IntConstraintsNode']]],
+  ['varianceattrs_5068',['VarianceAttrs',['../structtvm_1_1relay_1_1VarianceAttrs.html',1,'tvm::relay']]],
+  ['variances_5069',['variances',['../structtvm_1_1relay_1_1MultiBoxTransformLocAttrs.html#a77bebc24e0c21245de2620593c2c2272',1,'tvm::relay::MultiBoxTransformLocAttrs']]],
+  ['varnode_5070',['VarNode',['../classtvm_1_1relay_1_1VarNode.html',1,'tvm::relay::VarNode'],['../classtvm_1_1tir_1_1VarNode.html',1,'tvm::tir::VarNode']]],
+  ['varpattern_5071',['VarPattern',['../classtvm_1_1relay_1_1VarPattern.html',1,'tvm::relay::VarPattern'],['../classtvm_1_1relay_1_1VarPattern.html#a2319d6842d099992581ce8f867c9bc29',1,'tvm::relay::VarPattern::VarPattern()']]],
+  ['varpatternnode_5072',['VarPatternNode',['../classtvm_1_1relay_1_1VarPatternNode.html',1,'tvm::relay']]],
+  ['vars_5073',['vars',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ForFrameNode.html#aae5623ede55058002bf9ac8257c2b7fd',1,'tvm::script::ir_builder::tir::ForFrameNode']]],
+  ['vector_5074',['Vector',['../classtvm_1_1arith_1_1IntSet.html#a29b6f1e60f4b328fcfabc514e0c10f17',1,'tvm::arith::IntSet']]],
+  ['vector_5fbytes_5075',['vector_bytes',['../namespacetvm_1_1tir_1_1attr.html#a7a5ec1a12abbc199795176f1da4f9fb9',1,'tvm::tir::attr']]],
+  ['vector_5funit_5fbytes_5076',['vector_unit_bytes',['../classtvm_1_1auto__scheduler_1_1HardwareParamsNode.html#a6f2dd9161fdb3233417a9912c8854434',1,'tvm::auto_scheduler::HardwareParamsNode']]],
+  ['vectorcombine_5077',['vectorcombine',['../namespacetvm_1_1tir_1_1builtin.html#a30dff65bc2c142b57fae7f60e378ff43',1,'tvm::tir::builtin']]],
+  ['vectorhigh_5078',['vectorhigh',['../namespacetvm_1_1tir_1_1builtin.html#a45bf65ca7ca01d2016e0b609117d7e25',1,'tvm::tir::builtin']]],
+  ['vectorize_5079',['vectorize',['../classtvm_1_1te_1_1Stage.html#a44d33e3920106e75dc7c68272f880812',1,'tvm::te::Stage::vectorize()'],['../classtvm_1_1auto__scheduler_1_1State.html#a97b8a21210d63bea241dbab085d89b53',1,'tvm::auto_scheduler::State::vectorize()']]],
+  ['vectorize_5080',['Vectorize',['../classtvm_1_1tir_1_1ScheduleNode.html#ab4a8cd91959ceab22855ec338978bcee',1,'tvm::tir::ScheduleNode']]],
+  ['vectorized_5081',['Vectorized',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a2b3df6013772bdd23dfa872b81555272',1,'tvm::script::ir_builder::tir']]],
+  ['vectorizeloop_5082',['VectorizeLoop',['../namespacetvm_1_1tir_1_1transform.html#af3cecb50a8b8fc8021f6a87bc27587da',1,'tvm::tir::transform']]],
+  ['vectorizer_5083',['Vectorizer',['../classtvm_1_1tir_1_1BufferLoadNode.html#a842a72b9d02a9f8541b512478932fece',1,'tvm::tir::BufferLoadNode']]],
+  ['vectorjacobianproduct_5084',['VectorJacobianProduct',['../namespacetvm_1_1te.html#a547183f5a311af53ab598faba423fd64',1,'tvm::te']]],
+  ['vectorlow_5085',['vectorlow',['../namespacetvm_1_1tir_1_1builtin.html#a7ed64a9fb0a7f575fc63e1e0395e96a6',1,'tvm::tir::builtin']]],
+  ['vectors_5086',['vectors',['../classtvm_1_1tir_1_1ShuffleNode.html#aa444b61cf671ef31060535c61746ab6c',1,'tvm::tir::ShuffleNode']]],
+  ['vectortyperewriter_5087',['VectorTypeRewriter',['../classtvm_1_1tir_1_1BufferLoadNode.html#a285a3b1d722a2877ffd9926cb93b7e7a',1,'tvm::tir::BufferLoadNode']]],
+  ['verbose_5088',['verbose',['../classtvm_1_1auto__scheduler_1_1TuningOptionsNode.html#ab5804969081fc4f1e1330b3e55da692f',1,'tvm::auto_scheduler::TuningOptionsNode::verbose()'],['../classtvm_1_1auto__scheduler_1_1ProgramMeasurerNode.html#a59dc00655b6e7fb37d49249555369d6f',1,'tvm::auto_scheduler::ProgramMeasurerNode::verbose()'],['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html#a626eea16092d3c1a7d86497ee712f064',1,'tvm::auto_scheduler::SearchPolicyNode::verbose()']]],
+  ['verbose_5fexpr_5089',['verbose_expr',['../classtvm_1_1PrinterConfigNode.html#a434403f4e4cb71c75a7be8cba4845901',1,'tvm::PrinterConfigNode']]],
+  ['verifycompactbuffer_5090',['VerifyCompactBuffer',['../namespacetvm_1_1te.html#a576f41e1cec5d799b31bf67328d130f8',1,'tvm::te']]],
+  ['verifygpucode_5091',['VerifyGPUCode',['../classtvm_1_1meta__schedule_1_1Postproc.html#a7106b1742068c45966d6be5f4b8394aa',1,'tvm::meta_schedule::Postproc::VerifyGPUCode()'],['../namespacetvm_1_1tir_1_1transform.html#a70a059926c2ea81dcf437eff35f05e3e',1,'tvm::tir::transform::VerifyGPUCode()'],['../namespacetvm_1_1tir.html#a53dfcb6ef7e178a83fda0bbb5dddcb39',1,'tvm::tir::VerifyGPUCode()']]],
+  ['verifymemory_5092',['VerifyMemory',['../namespacetvm_1_1tir_1_1transform.html#a32d0b0ed966cf019d5e607bc90f284af',1,'tvm::tir::transform::VerifyMemory()'],['../namespacetvm_1_1tir.html#ac69bcf127843e5e671379e44ab47ca27',1,'tvm::tir::VerifyMemory()']]],
+  ['verifyssa_5093',['VerifySSA',['../namespacetvm_1_1tir_1_1transform.html#ac51a104ab4d2c60a4f6ed0e827efab18',1,'tvm::tir::transform::VerifySSA()'],['../namespacetvm_1_1tir.html#a3b38edd60b6ff952cefb74842a8ae826',1,'tvm::tir::VerifySSA(const PrimFunc &amp;func)']]],
+  ['verifyvtcmlimit_5094',['VerifyVTCMLimit',['../namespacetvm_1_1tir.html#a3f28c0a8f23539af6d1789c3b5c1c2db',1,'tvm::tir::VerifyVTCMLimit()'],['../classtvm_1_1meta__schedule_1_1Postproc.html#ad4412f0dd6a58a6c608562077f7fc39f',1,'tvm::meta_schedule::Postproc::VerifyVTCMLimit()'],['../namespacetvm_1_1tir_1_1transform.html#a4a35e1cf06986d28080c3fd6a1bf8998',1,'tvm::tir::transform::VerifyVTCMLimit()'],['../namespacetvm_1_1tir.html#a550f52e05d70ada107d01416944199ff',1,'tvm::tir::VerifyVTCMLi [...]
+  ['verifywellformed_5095',['VerifyWellFormed',['../namespacetvm_1_1tir.html#aee3d251f82ef3a0f446ea23f8980d84e',1,'tvm::tir']]],
+  ['version_5096',['version',['../structTVMMetadata.html#ade3312efd4c0e5beaf390959621b2f52',1,'TVMMetadata::version()'],['../classtvm_1_1runtime_1_1metadata_1_1MetadataNode.html#a894ca3986db9874b364ea83982e54f21',1,'tvm::runtime::metadata::MetadataNode::version()']]],
+  ['vid_5097',['vid',['../classtvm_1_1relay_1_1VarNode.html#af191a8eedf3575d626771c9c820ddb63',1,'tvm::relay::VarNode']]],
+  ['virtual_5fdevice_5098',['virtual_device',['../structtvm_1_1relay_1_1AllocStorageAttrs.html#afdf3a337a2f431b6243a646a3d6ef158',1,'tvm::relay::AllocStorageAttrs::virtual_device()'],['../structtvm_1_1relay_1_1OnDeviceAttrs.html#ad65024d20dad91bc92ce3c6c5ed507d2',1,'tvm::relay::OnDeviceAttrs::virtual_device()'],['../classtvm_1_1RelayExprNode.html#ac4e639dd9f33f304800851364f471eb1',1,'tvm::RelayExprNode::virtual_device()']]],
+  ['virtual_5fdevice_2eh_5099',['virtual_device.h',['../virtual__device_8h.html',1,'']]],
+  ['virtual_5fdevice_5f_5100',['virtual_device_',['../classtvm_1_1RelayExprNode.html#ad6ea21e1a03d972ac5cf81b80b88b2c4',1,'tvm::RelayExprNode']]],
+  ['virtual_5fdevice_5fid_5101',['virtual_device_id',['../classtvm_1_1VirtualDeviceNode.html#a8c2753fddb9ab42794a9419785e9cffd',1,'tvm::VirtualDeviceNode']]],
+  ['virtual_5fdevices_5102',['virtual_devices',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#ac1c8344a5f1a7e57238ba35f39deaf1c',1,'tvm::runtime::vm::Executable']]],
+  ['virtual_5fthread_5103',['virtual_thread',['../namespacetvm_1_1tir_1_1attr.html#a464533c1560ace5e0b5071ba6902482e',1,'tvm::tir::attr']]],
+  ['virtualdevice_5104',['VirtualDevice',['../classtvm_1_1VirtualDevice.html',1,'tvm::VirtualDevice'],['../classtvm_1_1VirtualDevice.html#a03e58702dfa668daec15ffd172385571',1,'tvm::VirtualDevice::VirtualDevice()'],['../classtvm_1_1VirtualDeviceNode.html#ae4d7f111e3a45058026a3ffb156a4790',1,'tvm::VirtualDeviceNode::VirtualDevice()']]],
+  ['virtualdevicecache_5105',['VirtualDeviceCache',['../classtvm_1_1VirtualDeviceCache.html',1,'tvm::VirtualDeviceCache'],['../classtvm_1_1VirtualDevice.html#a4e08dee8d23f7eb0224a08e4e85e835e',1,'tvm::VirtualDevice::VirtualDeviceCache()']]],
+  ['virtualdevicenode_5106',['VirtualDeviceNode',['../classtvm_1_1VirtualDeviceNode.html',1,'tvm']]],
+  ['virtualmachine_5107',['VirtualMachine',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html',1,'tvm::runtime::vm::VirtualMachine'],['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a6edbbbb71000617f644232de37d53338',1,'tvm::runtime::vm::VirtualMachine::VirtualMachine()']]],
+  ['vision_2eh_5108',['vision.h',['../vision_8h.html',1,'']]],
+  ['visit_5fcounter_5f_5109',['visit_counter_',['../classtvm_1_1relay_1_1ExprVisitor.html#a27e6d787cccbf7ae04fe53b7b8a62d60',1,'tvm::relay::ExprVisitor']]],
+  ['visit_5flimit_5f_5110',['visit_limit_',['../classtvm_1_1relay_1_1MixedModeVisitor.html#aa6ea62e128a480d6321abd882b2e3bdb',1,'tvm::relay::MixedModeVisitor']]],
+  ['visitattrs_5111',['VisitAttrs',['../classtvm_1_1CompilationConfigNode.html#a013ec90098f6cc435024dc75dd4e76ea',1,'tvm::CompilationConfigNode::VisitAttrs()'],['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html#ae651362767ff31ca0ccc8f37b0fe6dc0',1,'tvm::script::printer::IRDocsifierNode::VisitAttrs()'],['../classtvm_1_1script_1_1printer_1_1FrameNode.html#a353697131f308279d6fcf0e08ad8e2bc',1,'tvm::script::printer::FrameNode::VisitAttrs()'],['../classtvm_1_1script_1_1printer_1_1Clas [...]
+  ['visitblockannotations_5112',['VisitBlockAnnotations',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#ac3cbdd10c2660208ba65dab805968c79',1,'tvm::tir::IndexDataTypeRewriter']]],
+  ['visitbuffer_5113',['VisitBuffer',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#a020018fd34983116e16548986da455f9',1,'tvm::tir::IndexDataTypeRewriter']]],
+  ['visitbufferregion_5114',['VisitBufferRegion',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#aa44e8eb51377a5329c30cd13410fb4df',1,'tvm::tir::IndexDataTypeRewriter']]],
+  ['visitclause_5115',['VisitClause',['../classtvm_1_1relay_1_1ExprMutator.html#ae24134355b0e8c77aaab58c28a94202a',1,'tvm::relay::ExprMutator::VisitClause()'],['../classtvm_1_1relay_1_1ExprVisitor.html#ab109b327586181cecf76f7b5c562e91b',1,'tvm::relay::ExprVisitor::VisitClause()']]],
+  ['visitconstructor_5116',['VisitConstructor',['../classtvm_1_1relay_1_1PatternVisitor.html#ace76ea7238295c0be6924c1f0fd85826',1,'tvm::relay::PatternVisitor::VisitConstructor()'],['../classtvm_1_1relay_1_1PatternMutator.html#a03cf1d4afb8443e2f1decb4512d7c4ad',1,'tvm::relay::PatternMutator::VisitConstructor()']]],
+  ['visitdfpattern_5117',['VisitDFPattern',['../classtvm_1_1relay_1_1DFPatternVisitor.html#ae7e67d3a1709b0a180572417698ffaa8',1,'tvm::relay::DFPatternVisitor::VisitDFPattern()'],['../classtvm_1_1relay_1_1DFPatternFunctor_3_01R_07const_01DFPattern_01_6n_00_01Args_8_8_8_08_4.html#aa9069b73ba737cc6b53340fcda154da9',1,'tvm::relay::DFPatternFunctor&lt; R(const DFPattern &amp;n, Args...)&gt;::VisitDFPattern()']]],
+  ['visitdfpattern_5f_5118',['VisitDFPattern_',['../classtvm_1_1relay_1_1DFPatternVisitor.html#ab7a48081487e70bacf19d31f1cd4e10a',1,'tvm::relay::DFPatternVisitor::VisitDFPattern_(const WildcardPatternNode *op) override'],['../classtvm_1_1relay_1_1DFPatternVisitor.html#a0854aed09ea8ac1563a21811366416ca',1,'tvm::relay::DFPatternVisitor::VisitDFPattern_(const VarPatternNode *op) override'],['../classtvm_1_1relay_1_1DFPatternVisitor.html#a33f44420e80125c70437b49c71fba8ca',1,'tvm::relay::DFPa [...]
+  ['visitdfpatterndefault_5f_5119',['VisitDFPatternDefault_',['../classtvm_1_1relay_1_1DFPatternFunctor_3_01R_07const_01DFPattern_01_6n_00_01Args_8_8_8_08_4.html#a5b505cf396e6efcd18aeacb0177eeb2a',1,'tvm::relay::DFPatternFunctor&lt; R(const DFPattern &amp;n, Args...)&gt;']]],
+  ['visited_5f_5120',['visited_',['../classtvm_1_1relay_1_1DFPatternVisitor.html#af90576ccca72b94c42cd79c6ba63f242',1,'tvm::relay::DFPatternVisitor']]],
+  ['visitexpr_5121',['VisitExpr',['../classtvm_1_1tir_1_1StmtVisitor.html#a6d35a6081ee7dbc440e5a980f70795c6',1,'tvm::tir::StmtVisitor::VisitExpr()'],['../classtvm_1_1tir_1_1ExprFunctor_3_01R_07const_01PrimExpr_01_6n_00_01Args_8_8_8_08_4.html#af8e4aa48561e6341da70787357241bad',1,'tvm::tir::ExprFunctor&lt; R(const PrimExpr &amp;n, Args...)&gt;::VisitExpr()'],['../classtvm_1_1relay_1_1ExprVisitor.html#a4f3e1694dd33f3cbe1ae8d933de6f181',1,'tvm::relay::ExprVisitor::VisitExpr()'],['../classtvm [...]
+  ['visitexpr_5f_5122',['VisitExpr_',['../classtvm_1_1tir_1_1ExprMutator.html#abba3fcf085a7be3337ef56765c7ed935',1,'tvm::tir::ExprMutator::VisitExpr_(const LTNode *op) override'],['../classtvm_1_1tir_1_1ExprMutator.html#aa587c243decbe1667b93050e7e6128ff',1,'tvm::tir::ExprMutator::VisitExpr_(const NENode *op) override'],['../classtvm_1_1tir_1_1ExprMutator.html#aa03b672865f654dd5f1c78fb012f1061',1,'tvm::tir::ExprMutator::VisitExpr_(const EQNode *op) override'],['../classtvm_1_1tir_1_1ExprM [...]
+  ['visitexprdefault_5f_5123',['VisitExprDefault_',['../classtvm_1_1tir_1_1ExprFunctor_3_01R_07const_01PrimExpr_01_6n_00_01Args_8_8_8_08_4.html#abd446c1ab885af47986b4b8c73a1d881',1,'tvm::tir::ExprFunctor&lt; R(const PrimExpr &amp;n, Args...)&gt;::VisitExprDefault_()'],['../classtvm_1_1relay_1_1ExprFunctor_3_01R_07const_01Expr_01_6n_00_01Args_8_8_8_08_4.html#ab35a37c57578e32a8c873cdfe9e31a0f',1,'tvm::relay::ExprFunctor&lt; R(const Expr &amp;n, Args...)&gt;::VisitExprDefault_()']]],
+  ['visitindices_5124',['VisitIndices',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#ac8e945d93a018a2a3c5409df9dfcca1a',1,'tvm::tir::IndexDataTypeRewriter']]],
+  ['visititervar_5125',['VisitIterVar',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html#a3a1623362bcc494a4e640709ce959efe',1,'tvm::tir::IndexDataTypeRewriter']]],
+  ['visitleaf_5126',['VisitLeaf',['../classtvm_1_1relay_1_1MixedModeMutator.html#ae12ee4816bd7543d92a417f33acdc452',1,'tvm::relay::MixedModeMutator::VisitLeaf()'],['../classtvm_1_1relay_1_1MixedModeVisitor.html#ac79a0ae0efd81dd5ace7e65a4d47b374',1,'tvm::relay::MixedModeVisitor::VisitLeaf()']]],
+  ['visitnondefaultattrs_5127',['VisitNonDefaultAttrs',['../classtvm_1_1AttrsNode.html#acd05137ba529ac7cd07053e3da885205',1,'tvm::AttrsNode::VisitNonDefaultAttrs()'],['../classtvm_1_1DictAttrsNode.html#ac096af14759a1d48ecf78fd6545776e0',1,'tvm::DictAttrsNode::VisitNonDefaultAttrs()'],['../classtvm_1_1BaseAttrsNode.html#acc8ff84447e3830050d96e2da4188195',1,'tvm::BaseAttrsNode::VisitNonDefaultAttrs()']]],
+  ['visitpattern_5128',['VisitPattern',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#ad6692c86b749bb0d93042aa2a0425a74',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;::VisitPattern()'],['../classtvm_1_1relay_1_1ExprMutator.html#a18cefc9bf699954cba7546f914779415',1,'tvm::relay::ExprMutator::VisitPattern()'],['../classtvm_1_1relay_1_1ExprVisitor.html#a8fda55f01b88a56b25770a66ea988152',1,'tvm::relay::ExprVisitor::Vis [...]
+  ['visitpattern_5f_5129',['VisitPattern_',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#a11370205d1de851e817d40f031ad4811',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;::VisitPattern_()'],['../classtvm_1_1relay_1_1PatternMutator.html#a45f7cdfa9d72a3ab0ce2cb4ea04fec5b',1,'tvm::relay::PatternMutator::VisitPattern_()'],['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html# [...]
+  ['visitpatterndefault_5f_5130',['VisitPatternDefault_',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#ad71efcd0b9a937b35f7fd4e2b6131773',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;']]],
+  ['visitprimfuncs_5131',['VisitPrimFuncs',['../namespacetvm_1_1tir.html#a1f69889b13455cd290e6b1a6453e3c1d',1,'tvm::tir']]],
+  ['visitseqstmt_5f_5132',['VisitSeqStmt_',['../classtvm_1_1tir_1_1StmtMutator.html#a1e24adea3e49d9381246b376349db51f',1,'tvm::tir::StmtMutator']]],
+  ['visitspan_5133',['VisitSpan',['../classtvm_1_1relay_1_1ExprVisitor.html#a2df6c6bab0e99d6e43dc54a22b5f6a11',1,'tvm::relay::ExprVisitor']]],
+  ['visitstmt_5134',['VisitStmt',['../classtvm_1_1tir_1_1StmtMutator.html#a4306d1beba05fa1ac582503498a6d7ce',1,'tvm::tir::StmtMutator::VisitStmt()'],['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html#afb8d8cd85b95414ced0f27cd1c7a44d4',1,'tvm::tir::StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;::VisitStmt()']]],
+  ['visitstmt_5f_5135',['VisitStmt_',['../classtvm_1_1tir_1_1StmtVisitor.html#ad23d44523d92ddba73a106b236cebf1f',1,'tvm::tir::StmtVisitor::VisitStmt_(const BlockNode *op) override'],['../classtvm_1_1tir_1_1StmtVisitor.html#aff2335e1aea1de67bdfb92271c8c0e10',1,'tvm::tir::StmtVisitor::VisitStmt_(const BlockRealizeNode *op) override'],['../classtvm_1_1tir_1_1StmtMutator.html#ac22f8d85fc78d756b8e11e53eb1bf2df',1,'tvm::tir::StmtMutator::VisitStmt_(const AttrStmtNode *op) override'],['../class [...]
+  ['visitstmtdefault_5f_5136',['VisitStmtDefault_',['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html#ae51b328e2b59a50bed7112a93dba1aae',1,'tvm::tir::StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;']]],
+  ['visittype_5137',['VisitType',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html#ad61608b0a6bf1259b9bdf6ea1f8495df',1,'tvm::TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;::VisitType()'],['../classtvm_1_1relay_1_1PatternMutator.html#a2ec9a680c63665b3c6087b67c638dce7',1,'tvm::relay::PatternMutator::VisitType()'],['../classtvm_1_1relay_1_1PatternVisitor.html#ac65b220fb52088e5fd6b07f9e355d0b5',1,'tvm::relay::PatternVisitor::VisitType()'],['../classtvm_1_1 [...]
+  ['visittype_5f_5138',['VisitType_',['../classtvm_1_1TypeMutator.html#a0d7ff530827c63fb3eb18cb720305dca',1,'tvm::TypeMutator::VisitType_(const PointerTypeNode *op) override'],['../classtvm_1_1TypeMutator.html#a8171dc89a947d6224e83e86ce5d06d11',1,'tvm::TypeMutator::VisitType_(const PrimTypeNode *op) override'],['../classtvm_1_1TypeMutator.html#a9c2d7e1a52faac66f55896ecde3f2211',1,'tvm::TypeMutator::VisitType_(const TypeDataNode *op) override'],['../classtvm_1_1TypeMutator.html#ab270cb7a0 [...]
+  ['visittypedefault_5f_5139',['VisitTypeDefault_',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html#a91553f9e04c39b3821a70ae4f7b0c597',1,'tvm::TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;']]],
+  ['visitvar_5140',['VisitVar',['../classtvm_1_1relay_1_1PatternVisitor.html#aa1ae59cf643dacfef3071ea551e965a5',1,'tvm::relay::PatternVisitor::VisitVar()'],['../classtvm_1_1relay_1_1PatternMutator.html#a8e3887d5d34d9c2df16743492d3c3e7d',1,'tvm::relay::PatternMutator::VisitVar()']]],
+  ['vleak_5fsize_5141',['vleak_size',['../page__allocator_8h.html#a165c599c1f2bce12e7069568f9f00952',1,'vleak_size():&#160;page_allocator.h'],['../structMemoryManagerInterface.html#a7ebe94f3ad1fb2877bc6cb9035808080',1,'MemoryManagerInterface::vleak_size()']]],
+  ['vload_5142',['vload',['../classtvm_1_1tir_1_1Buffer.html#a59e5d01af08b06df85eb9ff9bc3c6207',1,'tvm::tir::Buffer']]],
+  ['vm_2eh_5143',['vm.h',['../relay_2attrs_2vm_8h.html',1,'(Global Namespace)'],['../runtime_2vm_2vm_8h.html',1,'(Global Namespace)']]],
+  ['vmclosure_5144',['VMClosure',['../classtvm_1_1runtime_1_1vm_1_1VMClosure.html',1,'tvm::runtime::vm::VMClosure'],['../classtvm_1_1runtime_1_1vm_1_1VMClosure.html#a8278c34633af65da6ecb7543fc429ce5',1,'tvm::runtime::vm::VMClosure::VMClosure()']]],
+  ['vmclosureobj_5145',['VMClosureObj',['../classtvm_1_1runtime_1_1vm_1_1VMClosureObj.html',1,'tvm::runtime::vm']]],
+  ['vmframe_5146',['VMFrame',['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html',1,'tvm::runtime::vm::VMFrame'],['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html#a8f8c990ee4fa7cb7472f5440f2ca3bde',1,'tvm::runtime::vm::VMFrame::VMFrame()']]],
+  ['vmfunction_5147',['VMFunction',['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html',1,'tvm::runtime::vm::VMFunction'],['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html#af9d2bdcf19642c21bc4909b9e9b6196d',1,'tvm::runtime::vm::VMFunction::VMFunction()=default'],['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html#aea763069fe1dd6849ce0d1ec336931e0',1,'tvm::runtime::vm::VMFunction::VMFunction(std::string name, std::vector&lt; std::string &gt; params, std::vector&lt; Instruction &gt; instruc [...]
+  ['void_5148',['Void',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a2b6c9317de9b507692d67a8e77dafc94',1,'tvm::script::ir_builder::tir::Void()'],['../classtvm_1_1runtime_1_1DataType.html#ab8dc0832aff8fd7421884c0fe20a3bfd',1,'tvm::runtime::DataType::Void()']]],
+  ['voidtype_5149',['VoidType',['../namespacetvm.html#a27df956aaa2e5e25005e0f9dc1638a08',1,'tvm']]],
+  ['volatile_5fscope_5150',['volatile_scope',['../namespacetvm_1_1tir_1_1attr.html#a14c2370f3a08792a6aaf70d7a3c773e4',1,'tvm::tir::attr']]],
+  ['vstore_5151',['vstore',['../classtvm_1_1tir_1_1Buffer.html#a1b79026ddcc4d828f0ef4356064d394c',1,'tvm::tir::Buffer']]],
+  ['vtable_5152',['vtable',['../classtvm_1_1script_1_1printer_1_1IRDocsifier.html#a408230cfec2cccb843ba4b6fb3b75093',1,'tvm::script::printer::IRDocsifier::vtable()'],['../classtvm_1_1script_1_1ir__builder_1_1details_1_1Namer.html#a8227ff24f3e5dc2c5014110f8bc4c679',1,'tvm::script::ir_builder::details::Namer::vtable()'],['../classtvm_1_1relay_1_1DFPatternPrinter.html#aa0c2cc56333b9b65ed4a5832ba34c0a7',1,'tvm::relay::DFPatternPrinter::vtable()'],['../classtvm_1_1TVMScriptPrinter.html#a7fa01 [...]
 ];
diff --git a/docs/reference/api/doxygen/search/all_18.js b/docs/reference/api/doxygen/search/all_18.js
index 5078cd8955..324c183d8a 100644
--- a/docs/reference/api/doxygen/search/all_18.js
+++ b/docs/reference/api/doxygen/search/all_18.js
@@ -1,69 +1,69 @@
 var searchData=
 [
-  ['warning_5151',['Warning',['../classtvm_1_1Diagnostic.html#a468619ccf507ab10cf47830c6eb37e03',1,'tvm::Diagnostic::Warning(const Object *loc)'],['../classtvm_1_1Diagnostic.html#a940518945b82302c8c47fb2a4bb352fd',1,'tvm::Diagnostic::Warning(ObjectRef loc)'],['../classtvm_1_1Diagnostic.html#a407ef56844eec306451c1ce2ca9f248c',1,'tvm::Diagnostic::Warning(Span span)']]],
-  ['warp_5fexecution_5152',['warp_execution',['../namespacetvm_1_1tir_1_1attr.html#a350f417c4c3ed61f4578c5e5cb72d667',1,'tvm::tir::attr']]],
-  ['warp_5fsize_5153',['warp_size',['../classtvm_1_1auto__scheduler_1_1HardwareParamsNode.html#a8d96a297de313e00c00d2d26b3e1cc95',1,'tvm::auto_scheduler::HardwareParamsNode']]],
-  ['weight_5154',['weight',['../classtvm_1_1meta__schedule_1_1ExtractedTaskNode.html#a772dcab249a2add6801c3100729c15ad',1,'tvm::meta_schedule::ExtractedTaskNode']]],
-  ['weight_5fbits_5155',['weight_bits',['../structtvm_1_1relay_1_1BinaryDenseAttrs.html#a6647c2d9d1d3108c6f552ff4271f2625',1,'tvm::relay::BinaryDenseAttrs::weight_bits()'],['../structtvm_1_1relay_1_1BinaryConv2DAttrs.html#a70aa926aba4fc774c15786358315141a',1,'tvm::relay::BinaryConv2DAttrs::weight_bits()']]],
-  ['weight_5flayout_5156',['weight_layout',['../structtvm_1_1relay_1_1DensePackAttrs.html#a7f12601cad15b4a65de4ce1bc4dd929c',1,'tvm::relay::DensePackAttrs']]],
-  ['wellformed_5157',['WellFormed',['../namespacetvm_1_1relay.html#a4e4cdd4e3db74bf68b315d5730890ca8',1,'tvm::relay']]],
-  ['where_5158',['where',['../namespacetvm_1_1topi.html#af011847b6e7f72f1bec25eee05c80590',1,'tvm::topi']]],
-  ['where_5159',['Where',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a454a28cc9ed56389b7b09b5b45a3097e',1,'tvm::script::ir_builder::tir']]],
-  ['while_5160',['While',['../classtvm_1_1tir_1_1While.html',1,'tvm::tir::While'],['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a6df09cb19f1e26c3fa0e1e0251c3c0bb',1,'tvm::script::ir_builder::tir::While()'],['../classtvm_1_1tir_1_1While.html#a5e1bf25f5caab0218e2224f19140351b',1,'tvm::tir::While::While()']]],
-  ['whiledoc_5161',['WhileDoc',['../classtvm_1_1script_1_1printer_1_1WhileDoc.html',1,'tvm::script::printer::WhileDoc'],['../classtvm_1_1script_1_1printer_1_1WhileDoc.html#ac7a684d98115b7cda3ae6f7ab4df01a0',1,'tvm::script::printer::WhileDoc::WhileDoc()']]],
-  ['whiledocnode_5162',['WhileDocNode',['../classtvm_1_1script_1_1printer_1_1WhileDocNode.html',1,'tvm::script::printer']]],
-  ['whileframe_5163',['WhileFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1WhileFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['whileframenode_5164',['WhileFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1WhileFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['whilenode_5165',['WhileNode',['../classtvm_1_1tir_1_1WhileNode.html',1,'tvm::tir']]],
-  ['wildcardpattern_5166',['WildcardPattern',['../classtvm_1_1relay_1_1WildcardPattern.html',1,'tvm::relay']]],
-  ['wildcardpatternnode_5167',['WildcardPatternNode',['../classtvm_1_1relay_1_1WildcardPatternNode.html',1,'tvm::relay']]],
-  ['win_5flength_5168',['win_length',['../structtvm_1_1relay_1_1StftAttrs.html#a371e50533789d167cef0933e0cee3838',1,'tvm::relay::StftAttrs']]],
-  ['window_5fshape_5169',['window_shape',['../structtvm_1_1relay_1_1SlidingWindowAttrs.html#a8e12fafa989faf9bf986ee40626326c2',1,'tvm::relay::SlidingWindowAttrs']]],
-  ['winograd_2eh_5170',['winograd.h',['../winograd_8h.html',1,'']]],
-  ['with_5171',['With',['../classtvm_1_1With.html',1,'tvm::With&lt; ContextType &gt;'],['../classtvm_1_1With.html#a9704ce4379a8f1475670abd6f937f24c',1,'tvm::With::With(const With &amp;other)=delete'],['../classtvm_1_1With.html#a19fcda1557550b2a5f2e942f08bd38f2',1,'tvm::With::With(Args &amp;&amp;... args)'],['../classtvm_1_1With.html#a30223d74db8edd8200bc5586b5d4ca2f',1,'tvm::With::With(With &amp;&amp;other)=delete']]],
-  ['with_2eh_5172',['with.h',['../with_8h.html',1,'']]],
-  ['with_3c_20constraintcontext_20_3e_5173',['With&lt; ConstraintContext &gt;',['../classtvm_1_1arith_1_1ConstraintContext.html#acf0da349a64c42ae689d9459ae09f0e3',1,'tvm::arith::ConstraintContext']]],
-  ['with_3c_20passcontext_20_3e_5174',['With&lt; PassContext &gt;',['../classtvm_1_1transform_1_1PassContext.html#a5f399608a6da56a5c91ea6ead8489f69',1,'tvm::transform::PassContext']]],
-  ['with_3c_20schedulecontext_20_3e_5175',['With&lt; ScheduleContext &gt;',['../classtvm_1_1te_1_1ScheduleContext.html#a10080b05885425a75e7f7281d3defb68',1,'tvm::te::ScheduleContext']]],
-  ['with_3c_20specializedcondition_20_3e_5176',['With&lt; SpecializedCondition &gt;',['../classtvm_1_1te_1_1SpecializedCondition.html#ae2aff9f2ce7debae1cb1648450f6b3fe',1,'tvm::te::SpecializedCondition']]],
-  ['with_3c_20target_20_3e_5177',['With&lt; Target &gt;',['../classtvm_1_1Target.html#aba1b161cfd80c2ac8c5ecf65a27e7c56',1,'tvm::Target']]],
-  ['with_5fbits_5178',['with_bits',['../classtvm_1_1runtime_1_1DataType.html#a5c4bad19b3ffd663af52bd0b91003af4',1,'tvm::runtime::DataType']]],
-  ['with_5flanes_5179',['with_lanes',['../classtvm_1_1runtime_1_1DataType.html#a98623590042dd19a08958f2469369552',1,'tvm::runtime::DataType']]],
-  ['withattr_5180',['WithAttr',['../namespacetvm.html#a2acb6435cb5299a480bfb81d3d9ce37c',1,'tvm']]],
-  ['withattrs_5181',['WithAttrs',['../namespacetvm.html#aa01d3303b02caca566a093aa56fee692',1,'tvm']]],
-  ['withdecision_5182',['WithDecision',['../classtvm_1_1tir_1_1TraceNode.html#a043864167d253b3a850091ce81cd98a9',1,'tvm::tir::TraceNode']]],
-  ['withfields_5183',['WithFields',['../namespacetvm_1_1relay.html#aaf3bb67945ee37070acbf4b3ef84d826',1,'tvm::relay::WithFields(Var var, Optional&lt; Id &gt; opt_vid=Optional&lt; Id &gt;(), Optional&lt; Type &gt; opt_type_annotation=Optional&lt; Type &gt;(), Optional&lt; VirtualDevice &gt; opt_virtual_device=Optional&lt; VirtualDevice &gt;(), Optional&lt; Span &gt; opt_span=Optional&lt; Span &gt;())'],['../namespacetvm_1_1relay.html#aad7b25f98dfde834a681eba7acbd4721',1,'tvm::relay::WithF [...]
-  ['withhost_5184',['WithHost',['../classtvm_1_1Target.html#a509ce63995f082c80742ea5ca6ac112f',1,'tvm::Target']]],
-  ['withoutattr_5185',['WithoutAttr',['../namespacetvm.html#a7e2bc626db8be997b1562c79df3d9e11',1,'tvm']]],
-  ['withouthost_5186',['WithoutHost',['../classtvm_1_1Target.html#a618e9c23c91e16ee60bb324d0c97091c',1,'tvm::Target']]],
-  ['workload_5187',['Workload',['../classtvm_1_1meta__schedule_1_1Workload.html',1,'tvm::meta_schedule']]],
-  ['workload_5188',['workload',['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html#a42c87f1ec62dae6806c3fe9629c5e7f0',1,'tvm::meta_schedule::TuningRecordNode']]],
-  ['workload_5189',['Workload',['../classtvm_1_1meta__schedule_1_1Workload.html#a8880877517679c82ae63520e28d5e1d8',1,'tvm::meta_schedule::Workload::Workload(IRModule mod, THashCode shash)'],['../classtvm_1_1meta__schedule_1_1Workload.html#a21ccf9c956b82d50a2579f1c0f592fd0',1,'tvm::meta_schedule::Workload::Workload(IRModule mod)']]],
-  ['workload_5fkey_5190',['workload_key',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#a20045d677ba2bc5c5ce461e78543b3e2',1,'tvm::auto_scheduler::SearchTaskNode']]],
-  ['workloadequal_5191',['WorkloadEqual',['../structtvm_1_1meta__schedule_1_1WorkloadEqual.html',1,'tvm::meta_schedule::WorkloadEqual'],['../structtvm_1_1meta__schedule_1_1WorkloadEqual.html#a49b0e137a01a278469ff63729afae804',1,'tvm::meta_schedule::WorkloadEqual::WorkloadEqual()']]],
-  ['workloadhash_5192',['WorkloadHash',['../structtvm_1_1meta__schedule_1_1WorkloadHash.html',1,'tvm::meta_schedule']]],
-  ['workloadnode_5193',['WorkloadNode',['../classtvm_1_1meta__schedule_1_1WorkloadNode.html',1,'tvm::meta_schedule']]],
-  ['workon_5194',['WorkOn',['../classtvm_1_1tir_1_1ScheduleNode.html#acb747d074e1f99477f7132e4614221a3',1,'tvm::tir::ScheduleNode']]],
-  ['workspace_5195',['workspace',['../structtvm__workspace__t.html#a055e864e765ebbd2d7e07dfa8396724d',1,'tvm_workspace_t']]],
-  ['workspace_5fpools_5196',['workspace_pools',['../classtvm_1_1runtime_1_1metadata_1_1MetadataNode.html#afcec45946511de05dd57fa7a4f5b78fa',1,'tvm::runtime::metadata::MetadataNode::workspace_pools()'],['../structTVMMetadata.html#a93b534e45b8235bdd991e68eaefa286a',1,'TVMMetadata::workspace_pools()']]],
-  ['workspace_5fsize_5197',['workspace_size',['../structtvm__workspace__t.html#ad9ee1136995f30faaf17fbc45e87ee6d',1,'tvm_workspace_t']]],
-  ['workspacememorypools_5198',['WorkspaceMemoryPools',['../classtvm_1_1WorkspaceMemoryPools.html',1,'tvm::WorkspaceMemoryPools'],['../classtvm_1_1WorkspaceMemoryPools.html#ab4536d1ae625b84dab15804910ae12fd',1,'tvm::WorkspaceMemoryPools::WorkspaceMemoryPools()']]],
-  ['workspacememorypoolsnode_5199',['WorkspaceMemoryPoolsNode',['../structtvm_1_1WorkspaceMemoryPoolsNode.html',1,'tvm']]],
-  ['workspacepoolinfo_5200',['WorkspacePoolInfo',['../classtvm_1_1WorkspacePoolInfo.html',1,'tvm::WorkspacePoolInfo'],['../classtvm_1_1WorkspacePoolInfo.html#a7205e8bf6791a5655f0f56448160f582',1,'tvm::WorkspacePoolInfo::WorkspacePoolInfo()']]],
-  ['workspacepoolinfonode_5201',['WorkspacePoolInfoNode',['../structtvm_1_1WorkspacePoolInfoNode.html',1,'tvm']]],
-  ['wraptimeevaluator_5202',['WrapTimeEvaluator',['../namespacetvm_1_1runtime_1_1profiling.html#afc562ef0d6a95bea1a520f02ee3e9e9f',1,'tvm::runtime::profiling']]],
-  ['write_5203',['Write',['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#aa8e2ffcb79edc988f9c173575480a8f6',1,'tvm::runtime::micro_rpc::FrameBuffer::Write()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html#a5e9dee40d4a98dca6faa7004abdb4167',1,'tvm::runtime::micro_rpc::Unframer::Write()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#a3a208a2abaf62618c453e1bfe1f5a5f4',1,'tvm::runtime::micro_rpc::Framer::Write()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteS [...]
-  ['write_5fbandwidth_5fbytes_5fper_5fcycle_5204',['write_bandwidth_bytes_per_cycle',['../structtvm_1_1PoolInfoNode.html#a234ad11ae914b5e938c52a593da20411',1,'tvm::PoolInfoNode::write_bandwidth_bytes_per_cycle()'],['../structtvm_1_1PoolInfoPropertiesNode.html#a6657e03988a672588c9b4faafd1b20db',1,'tvm::PoolInfoPropertiesNode::write_bandwidth_bytes_per_cycle()']]],
-  ['write_5flatency_5fcycles_5205',['write_latency_cycles',['../structtvm_1_1PoolInfoNode.html#a444e2db8569e8bae01871b6749b83d31',1,'tvm::PoolInfoNode::write_latency_cycles()'],['../structtvm_1_1PoolInfoPropertiesNode.html#a2c16994823dcc1b203a20250bdb2e663',1,'tvm::PoolInfoPropertiesNode::write_latency_cycles()']]],
-  ['write_5fstream_2eh_5206',['write_stream.h',['../write__stream_8h.html',1,'']]],
-  ['writeall_5207',['WriteAll',['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteStream.html#a50796cc3a1c0b1420d8cf98c2d415695',1,'tvm::runtime::micro_rpc::WriteStream']]],
-  ['writeat_5208',['WriteAt',['../classtvm_1_1tir_1_1ScheduleNode.html#ad66f22b795a1e34cb3c42e691e5864a7',1,'tvm::tir::ScheduleNode']]],
-  ['writefunc_5209',['WriteFunc',['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#a4007e15f07da54cb6d8d037f88245498',1,'tvm::runtime::micro_rpc::Framer']]],
-  ['writemeasurerecords_5210',['WriteMeasureRecords',['../namespacetvm_1_1auto__scheduler.html#a374a8aa311bb0c0e5b28509107b9064d',1,'tvm::auto_scheduler']]],
-  ['writepayloadchunk_5211',['WritePayloadChunk',['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#a4bfc1bf02d5c7b541d212c3040556717',1,'tvm::runtime::micro_rpc::Framer']]],
-  ['writeregister_5212',['WriteRegister',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a670a5e3f3d9c22bb822efface955bf17',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['writes_5213',['Writes',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ad532abf75fe0fbf1e607c7d08cfc5823',1,'tvm::script::ir_builder::tir']]],
-  ['writes_5214',['writes',['../classtvm_1_1tir_1_1BlockNode.html#a7025783637b84afdb3317940ebbe5825',1,'tvm::tir::BlockNode::writes()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockFrameNode.html#a7b20426af86520e62d9828ad73bf2410',1,'tvm::script::ir_builder::tir::BlockFrameNode::writes()']]],
-  ['writestream_5215',['WriteStream',['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteStream.html',1,'tvm::runtime::micro_rpc']]],
-  ['writetorecord_5216',['WriteToRecord',['../classtvm_1_1auto__scheduler_1_1FuseStepNode.html#a2bca49b5a9780e72f06003a6599e969a',1,'tvm::auto_scheduler::FuseStepNode::WriteToRecord()'],['../classtvm_1_1auto__scheduler_1_1PragmaStepNode.html#af92ce02c0cb4a3f1f783d89d44f7985e',1,'tvm::auto_scheduler::PragmaStepNode::WriteToRecord()'],['../classtvm_1_1auto__scheduler_1_1ReorderStepNode.html#ad8499d2fbab8ed66cfbcfa6ef919a7ba',1,'tvm::auto_scheduler::ReorderStepNode::WriteToRecord()'],['../c [...]
+  ['warning_5153',['Warning',['../classtvm_1_1Diagnostic.html#a468619ccf507ab10cf47830c6eb37e03',1,'tvm::Diagnostic::Warning(const Object *loc)'],['../classtvm_1_1Diagnostic.html#a940518945b82302c8c47fb2a4bb352fd',1,'tvm::Diagnostic::Warning(ObjectRef loc)'],['../classtvm_1_1Diagnostic.html#a407ef56844eec306451c1ce2ca9f248c',1,'tvm::Diagnostic::Warning(Span span)']]],
+  ['warp_5fexecution_5154',['warp_execution',['../namespacetvm_1_1tir_1_1attr.html#a350f417c4c3ed61f4578c5e5cb72d667',1,'tvm::tir::attr']]],
+  ['warp_5fsize_5155',['warp_size',['../classtvm_1_1auto__scheduler_1_1HardwareParamsNode.html#a8d96a297de313e00c00d2d26b3e1cc95',1,'tvm::auto_scheduler::HardwareParamsNode']]],
+  ['weight_5156',['weight',['../classtvm_1_1meta__schedule_1_1ExtractedTaskNode.html#a772dcab249a2add6801c3100729c15ad',1,'tvm::meta_schedule::ExtractedTaskNode']]],
+  ['weight_5fbits_5157',['weight_bits',['../structtvm_1_1relay_1_1BinaryDenseAttrs.html#a6647c2d9d1d3108c6f552ff4271f2625',1,'tvm::relay::BinaryDenseAttrs::weight_bits()'],['../structtvm_1_1relay_1_1BinaryConv2DAttrs.html#a70aa926aba4fc774c15786358315141a',1,'tvm::relay::BinaryConv2DAttrs::weight_bits()']]],
+  ['weight_5flayout_5158',['weight_layout',['../structtvm_1_1relay_1_1DensePackAttrs.html#a7f12601cad15b4a65de4ce1bc4dd929c',1,'tvm::relay::DensePackAttrs']]],
+  ['wellformed_5159',['WellFormed',['../namespacetvm_1_1relay.html#a4e4cdd4e3db74bf68b315d5730890ca8',1,'tvm::relay']]],
+  ['where_5160',['where',['../namespacetvm_1_1topi.html#af011847b6e7f72f1bec25eee05c80590',1,'tvm::topi']]],
+  ['where_5161',['Where',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a454a28cc9ed56389b7b09b5b45a3097e',1,'tvm::script::ir_builder::tir']]],
+  ['while_5162',['While',['../classtvm_1_1tir_1_1While.html',1,'tvm::tir::While'],['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#a6df09cb19f1e26c3fa0e1e0251c3c0bb',1,'tvm::script::ir_builder::tir::While()'],['../classtvm_1_1tir_1_1While.html#a5e1bf25f5caab0218e2224f19140351b',1,'tvm::tir::While::While()']]],
+  ['whiledoc_5163',['WhileDoc',['../classtvm_1_1script_1_1printer_1_1WhileDoc.html',1,'tvm::script::printer::WhileDoc'],['../classtvm_1_1script_1_1printer_1_1WhileDoc.html#ac7a684d98115b7cda3ae6f7ab4df01a0',1,'tvm::script::printer::WhileDoc::WhileDoc()']]],
+  ['whiledocnode_5164',['WhileDocNode',['../classtvm_1_1script_1_1printer_1_1WhileDocNode.html',1,'tvm::script::printer']]],
+  ['whileframe_5165',['WhileFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1WhileFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['whileframenode_5166',['WhileFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1WhileFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['whilenode_5167',['WhileNode',['../classtvm_1_1tir_1_1WhileNode.html',1,'tvm::tir']]],
+  ['wildcardpattern_5168',['WildcardPattern',['../classtvm_1_1relay_1_1WildcardPattern.html',1,'tvm::relay']]],
+  ['wildcardpatternnode_5169',['WildcardPatternNode',['../classtvm_1_1relay_1_1WildcardPatternNode.html',1,'tvm::relay']]],
+  ['win_5flength_5170',['win_length',['../structtvm_1_1relay_1_1StftAttrs.html#a371e50533789d167cef0933e0cee3838',1,'tvm::relay::StftAttrs']]],
+  ['window_5fshape_5171',['window_shape',['../structtvm_1_1relay_1_1SlidingWindowAttrs.html#a8e12fafa989faf9bf986ee40626326c2',1,'tvm::relay::SlidingWindowAttrs']]],
+  ['winograd_2eh_5172',['winograd.h',['../winograd_8h.html',1,'']]],
+  ['with_5173',['With',['../classtvm_1_1With.html',1,'tvm::With&lt; ContextType &gt;'],['../classtvm_1_1With.html#a9704ce4379a8f1475670abd6f937f24c',1,'tvm::With::With(const With &amp;other)=delete'],['../classtvm_1_1With.html#a19fcda1557550b2a5f2e942f08bd38f2',1,'tvm::With::With(Args &amp;&amp;... args)'],['../classtvm_1_1With.html#a30223d74db8edd8200bc5586b5d4ca2f',1,'tvm::With::With(With &amp;&amp;other)=delete']]],
+  ['with_2eh_5174',['with.h',['../with_8h.html',1,'']]],
+  ['with_3c_20constraintcontext_20_3e_5175',['With&lt; ConstraintContext &gt;',['../classtvm_1_1arith_1_1ConstraintContext.html#acf0da349a64c42ae689d9459ae09f0e3',1,'tvm::arith::ConstraintContext']]],
+  ['with_3c_20passcontext_20_3e_5176',['With&lt; PassContext &gt;',['../classtvm_1_1transform_1_1PassContext.html#a5f399608a6da56a5c91ea6ead8489f69',1,'tvm::transform::PassContext']]],
+  ['with_3c_20schedulecontext_20_3e_5177',['With&lt; ScheduleContext &gt;',['../classtvm_1_1te_1_1ScheduleContext.html#a10080b05885425a75e7f7281d3defb68',1,'tvm::te::ScheduleContext']]],
+  ['with_3c_20specializedcondition_20_3e_5178',['With&lt; SpecializedCondition &gt;',['../classtvm_1_1te_1_1SpecializedCondition.html#ae2aff9f2ce7debae1cb1648450f6b3fe',1,'tvm::te::SpecializedCondition']]],
+  ['with_3c_20target_20_3e_5179',['With&lt; Target &gt;',['../classtvm_1_1Target.html#aba1b161cfd80c2ac8c5ecf65a27e7c56',1,'tvm::Target']]],
+  ['with_5fbits_5180',['with_bits',['../classtvm_1_1runtime_1_1DataType.html#a5c4bad19b3ffd663af52bd0b91003af4',1,'tvm::runtime::DataType']]],
+  ['with_5flanes_5181',['with_lanes',['../classtvm_1_1runtime_1_1DataType.html#a98623590042dd19a08958f2469369552',1,'tvm::runtime::DataType']]],
+  ['withattr_5182',['WithAttr',['../namespacetvm.html#a2acb6435cb5299a480bfb81d3d9ce37c',1,'tvm']]],
+  ['withattrs_5183',['WithAttrs',['../namespacetvm.html#aa01d3303b02caca566a093aa56fee692',1,'tvm']]],
+  ['withdecision_5184',['WithDecision',['../classtvm_1_1tir_1_1TraceNode.html#a043864167d253b3a850091ce81cd98a9',1,'tvm::tir::TraceNode']]],
+  ['withfields_5185',['WithFields',['../namespacetvm_1_1relay.html#aaf3bb67945ee37070acbf4b3ef84d826',1,'tvm::relay::WithFields(Var var, Optional&lt; Id &gt; opt_vid=Optional&lt; Id &gt;(), Optional&lt; Type &gt; opt_type_annotation=Optional&lt; Type &gt;(), Optional&lt; VirtualDevice &gt; opt_virtual_device=Optional&lt; VirtualDevice &gt;(), Optional&lt; Span &gt; opt_span=Optional&lt; Span &gt;())'],['../namespacetvm_1_1relay.html#aad7b25f98dfde834a681eba7acbd4721',1,'tvm::relay::WithF [...]
+  ['withhost_5186',['WithHost',['../classtvm_1_1Target.html#a509ce63995f082c80742ea5ca6ac112f',1,'tvm::Target']]],
+  ['withoutattr_5187',['WithoutAttr',['../namespacetvm.html#a7e2bc626db8be997b1562c79df3d9e11',1,'tvm']]],
+  ['withouthost_5188',['WithoutHost',['../classtvm_1_1Target.html#a618e9c23c91e16ee60bb324d0c97091c',1,'tvm::Target']]],
+  ['workload_5189',['Workload',['../classtvm_1_1meta__schedule_1_1Workload.html',1,'tvm::meta_schedule']]],
+  ['workload_5190',['workload',['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html#a42c87f1ec62dae6806c3fe9629c5e7f0',1,'tvm::meta_schedule::TuningRecordNode']]],
+  ['workload_5191',['Workload',['../classtvm_1_1meta__schedule_1_1Workload.html#a8880877517679c82ae63520e28d5e1d8',1,'tvm::meta_schedule::Workload::Workload(IRModule mod, THashCode shash)'],['../classtvm_1_1meta__schedule_1_1Workload.html#a21ccf9c956b82d50a2579f1c0f592fd0',1,'tvm::meta_schedule::Workload::Workload(IRModule mod)']]],
+  ['workload_5fkey_5192',['workload_key',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html#a20045d677ba2bc5c5ce461e78543b3e2',1,'tvm::auto_scheduler::SearchTaskNode']]],
+  ['workloadequal_5193',['WorkloadEqual',['../structtvm_1_1meta__schedule_1_1WorkloadEqual.html',1,'tvm::meta_schedule::WorkloadEqual'],['../structtvm_1_1meta__schedule_1_1WorkloadEqual.html#a49b0e137a01a278469ff63729afae804',1,'tvm::meta_schedule::WorkloadEqual::WorkloadEqual()']]],
+  ['workloadhash_5194',['WorkloadHash',['../structtvm_1_1meta__schedule_1_1WorkloadHash.html',1,'tvm::meta_schedule']]],
+  ['workloadnode_5195',['WorkloadNode',['../classtvm_1_1meta__schedule_1_1WorkloadNode.html',1,'tvm::meta_schedule']]],
+  ['workon_5196',['WorkOn',['../classtvm_1_1tir_1_1ScheduleNode.html#acb747d074e1f99477f7132e4614221a3',1,'tvm::tir::ScheduleNode']]],
+  ['workspace_5197',['workspace',['../structtvm__workspace__t.html#a055e864e765ebbd2d7e07dfa8396724d',1,'tvm_workspace_t']]],
+  ['workspace_5fpools_5198',['workspace_pools',['../classtvm_1_1runtime_1_1metadata_1_1MetadataNode.html#afcec45946511de05dd57fa7a4f5b78fa',1,'tvm::runtime::metadata::MetadataNode::workspace_pools()'],['../structTVMMetadata.html#a93b534e45b8235bdd991e68eaefa286a',1,'TVMMetadata::workspace_pools()']]],
+  ['workspace_5fsize_5199',['workspace_size',['../structtvm__workspace__t.html#ad9ee1136995f30faaf17fbc45e87ee6d',1,'tvm_workspace_t']]],
+  ['workspacememorypools_5200',['WorkspaceMemoryPools',['../classtvm_1_1WorkspaceMemoryPools.html',1,'tvm::WorkspaceMemoryPools'],['../classtvm_1_1WorkspaceMemoryPools.html#ab4536d1ae625b84dab15804910ae12fd',1,'tvm::WorkspaceMemoryPools::WorkspaceMemoryPools()']]],
+  ['workspacememorypoolsnode_5201',['WorkspaceMemoryPoolsNode',['../structtvm_1_1WorkspaceMemoryPoolsNode.html',1,'tvm']]],
+  ['workspacepoolinfo_5202',['WorkspacePoolInfo',['../classtvm_1_1WorkspacePoolInfo.html',1,'tvm::WorkspacePoolInfo'],['../classtvm_1_1WorkspacePoolInfo.html#a7205e8bf6791a5655f0f56448160f582',1,'tvm::WorkspacePoolInfo::WorkspacePoolInfo()']]],
+  ['workspacepoolinfonode_5203',['WorkspacePoolInfoNode',['../structtvm_1_1WorkspacePoolInfoNode.html',1,'tvm']]],
+  ['wraptimeevaluator_5204',['WrapTimeEvaluator',['../namespacetvm_1_1runtime_1_1profiling.html#afc562ef0d6a95bea1a520f02ee3e9e9f',1,'tvm::runtime::profiling']]],
+  ['write_5205',['Write',['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html#aa8e2ffcb79edc988f9c173575480a8f6',1,'tvm::runtime::micro_rpc::FrameBuffer::Write()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html#a5e9dee40d4a98dca6faa7004abdb4167',1,'tvm::runtime::micro_rpc::Unframer::Write()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#a3a208a2abaf62618c453e1bfe1f5a5f4',1,'tvm::runtime::micro_rpc::Framer::Write()'],['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteS [...]
+  ['write_5fbandwidth_5fbytes_5fper_5fcycle_5206',['write_bandwidth_bytes_per_cycle',['../structtvm_1_1PoolInfoNode.html#a234ad11ae914b5e938c52a593da20411',1,'tvm::PoolInfoNode::write_bandwidth_bytes_per_cycle()'],['../structtvm_1_1PoolInfoPropertiesNode.html#a6657e03988a672588c9b4faafd1b20db',1,'tvm::PoolInfoPropertiesNode::write_bandwidth_bytes_per_cycle()']]],
+  ['write_5flatency_5fcycles_5207',['write_latency_cycles',['../structtvm_1_1PoolInfoNode.html#a444e2db8569e8bae01871b6749b83d31',1,'tvm::PoolInfoNode::write_latency_cycles()'],['../structtvm_1_1PoolInfoPropertiesNode.html#a2c16994823dcc1b203a20250bdb2e663',1,'tvm::PoolInfoPropertiesNode::write_latency_cycles()']]],
+  ['write_5fstream_2eh_5208',['write_stream.h',['../write__stream_8h.html',1,'']]],
+  ['writeall_5209',['WriteAll',['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteStream.html#a50796cc3a1c0b1420d8cf98c2d415695',1,'tvm::runtime::micro_rpc::WriteStream']]],
+  ['writeat_5210',['WriteAt',['../classtvm_1_1tir_1_1ScheduleNode.html#ad66f22b795a1e34cb3c42e691e5864a7',1,'tvm::tir::ScheduleNode']]],
+  ['writefunc_5211',['WriteFunc',['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#a4007e15f07da54cb6d8d037f88245498',1,'tvm::runtime::micro_rpc::Framer']]],
+  ['writemeasurerecords_5212',['WriteMeasureRecords',['../namespacetvm_1_1auto__scheduler.html#a374a8aa311bb0c0e5b28509107b9064d',1,'tvm::auto_scheduler']]],
+  ['writepayloadchunk_5213',['WritePayloadChunk',['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html#a4bfc1bf02d5c7b541d212c3040556717',1,'tvm::runtime::micro_rpc::Framer']]],
+  ['writeregister_5214',['WriteRegister',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a670a5e3f3d9c22bb822efface955bf17',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['writes_5215',['Writes',['../namespacetvm_1_1script_1_1ir__builder_1_1tir.html#ad532abf75fe0fbf1e607c7d08cfc5823',1,'tvm::script::ir_builder::tir']]],
+  ['writes_5216',['writes',['../classtvm_1_1tir_1_1BlockNode.html#a7025783637b84afdb3317940ebbe5825',1,'tvm::tir::BlockNode::writes()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockFrameNode.html#a7b20426af86520e62d9828ad73bf2410',1,'tvm::script::ir_builder::tir::BlockFrameNode::writes()']]],
+  ['writestream_5217',['WriteStream',['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteStream.html',1,'tvm::runtime::micro_rpc']]],
+  ['writetorecord_5218',['WriteToRecord',['../classtvm_1_1auto__scheduler_1_1FuseStepNode.html#a2bca49b5a9780e72f06003a6599e969a',1,'tvm::auto_scheduler::FuseStepNode::WriteToRecord()'],['../classtvm_1_1auto__scheduler_1_1PragmaStepNode.html#af92ce02c0cb4a3f1f783d89d44f7985e',1,'tvm::auto_scheduler::PragmaStepNode::WriteToRecord()'],['../classtvm_1_1auto__scheduler_1_1ReorderStepNode.html#ad8499d2fbab8ed66cfbcfa6ef919a7ba',1,'tvm::auto_scheduler::ReorderStepNode::WriteToRecord()'],['../c [...]
 ];
diff --git a/docs/reference/api/doxygen/search/all_19.js b/docs/reference/api/doxygen/search/all_19.js
index f00ae119d3..84ddb52976 100644
--- a/docs/reference/api/doxygen/search/all_19.js
+++ b/docs/reference/api/doxygen/search/all_19.js
@@ -1,5 +1,5 @@
 var searchData=
 [
-  ['yield_5217',['Yield',['../namespacetvm_1_1runtime_1_1threading.html#ac026961af34f1d6c26d8f1abbc580439',1,'tvm::runtime::threading']]],
-  ['yoloreorgattrs_5218',['YoloReorgAttrs',['../structtvm_1_1relay_1_1YoloReorgAttrs.html',1,'tvm::relay']]]
+  ['yield_5219',['Yield',['../namespacetvm_1_1runtime_1_1threading.html#ac026961af34f1d6c26d8f1abbc580439',1,'tvm::runtime::threading']]],
+  ['yoloreorgattrs_5220',['YoloReorgAttrs',['../structtvm_1_1relay_1_1YoloReorgAttrs.html',1,'tvm::relay']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_1a.js b/docs/reference/api/doxygen/search/all_1a.js
index 8ca3cc1064..e78da7e3c6 100644
--- a/docs/reference/api/doxygen/search/all_1a.js
+++ b/docs/reference/api/doxygen/search/all_1a.js
@@ -1,4 +1,4 @@
 var searchData=
 [
-  ['zero_5fpoint_5219',['zero_point',['../classtvm_1_1TensorAffineTypeNode.html#a45fefe29872d61434bd7c7f01cd98536',1,'tvm::TensorAffineTypeNode']]]
+  ['zero_5fpoint_5221',['zero_point',['../classtvm_1_1TensorAffineTypeNode.html#a45fefe29872d61434bd7c7f01cd98536',1,'tvm::TensorAffineTypeNode']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_1b.js b/docs/reference/api/doxygen/search/all_1b.js
index 36535d2f47..7b4dd69942 100644
--- a/docs/reference/api/doxygen/search/all_1b.js
+++ b/docs/reference/api/doxygen/search/all_1b.js
@@ -1,62 +1,62 @@
 var searchData=
 [
-  ['_7eallocator_5220',['~Allocator',['../classtvm_1_1runtime_1_1vm_1_1Allocator.html#ae2fc1bf80126a986a2e5e88b3474bc06',1,'tvm::runtime::vm::Allocator']]],
-  ['_7earginfonode_5221',['~ArgInfoNode',['../classtvm_1_1meta__schedule_1_1ArgInfoNode.html#afa222e9c13224606febc09458b55de8d',1,'tvm::meta_schedule::ArgInfoNode']]],
-  ['_7eattrinitentry_5222',['~AttrInitEntry',['../structtvm_1_1detail_1_1AttrInitEntry.html#a7f0c496115e88acf39d413875b44cd28',1,'tvm::detail::AttrInitEntry']]],
-  ['_7eattrtriggernondefaultentry_5223',['~AttrTriggerNonDefaultEntry',['../structtvm_1_1detail_1_1AttrTriggerNonDefaultEntry.html#ae3ca1012c8502b2d3132cc81530c6c0e',1,'tvm::detail::AttrTriggerNonDefaultEntry']]],
-  ['_7ebaseattrsnode_5224',['~BaseAttrsNode',['../classtvm_1_1BaseAttrsNode.html#a225581a40231b2de219da30fced428a2',1,'tvm::BaseAttrsNode']]],
-  ['_7ebuildernode_5225',['~BuilderNode',['../classtvm_1_1meta__schedule_1_1BuilderNode.html#a7215cd095b70a5514388f5d38b3a3cca',1,'tvm::meta_schedule::BuilderNode']]],
-  ['_7ecall_5226',['~Call',['../classtvm_1_1relay_1_1Call.html#a7f8ec713d9a52791976b410c4891a274',1,'tvm::relay::Call']]],
-  ['_7ecostmodelnode_5227',['~CostModelNode',['../classtvm_1_1auto__scheduler_1_1CostModelNode.html#a07f6d00123f61259f40df87937d3dfc6',1,'tvm::auto_scheduler::CostModelNode::~CostModelNode()'],['../classtvm_1_1meta__schedule_1_1CostModelNode.html#a9f5fea7f128a8d38c1e050b677833df1',1,'tvm::meta_schedule::CostModelNode::~CostModelNode()']]],
-  ['_7edatabasenode_5228',['~DatabaseNode',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#a48707424816f7ad0fd6f59a7111d9a09',1,'tvm::meta_schedule::DatabaseNode']]],
-  ['_7edataproducernode_5229',['~DataProducerNode',['../classtvm_1_1tir_1_1DataProducerNode.html#aeb887c35d09af934251932b0120f9dfa',1,'tvm::tir::DataProducerNode']]],
-  ['_7edensemapnode_5230',['~DenseMapNode',['../classtvm_1_1runtime_1_1DenseMapNode.html#ac3b9b13f9e074e20afe3bbd68cce35f3',1,'tvm::runtime::DenseMapNode']]],
-  ['_7edeviceapi_5231',['~DeviceAPI',['../classtvm_1_1runtime_1_1DeviceAPI.html#af3fe69d9823d7c67c86ecf247d894f27',1,'tvm::runtime::DeviceAPI']]],
-  ['_7edfpatternfunctor_5232',['~DFPatternFunctor',['../classtvm_1_1relay_1_1DFPatternFunctor_3_01R_07const_01DFPattern_01_6n_00_01Args_8_8_8_08_4.html#a21a07a3acfc6c1493b00a3e90dce1f1c',1,'tvm::relay::DFPatternFunctor&lt; R(const DFPattern &amp;n, Args...)&gt;']]],
-  ['_7edoc_5233',['~Doc',['../classtvm_1_1script_1_1printer_1_1Doc.html#a3a7365774bd87b76770627d544888ccc',1,'tvm::script::printer::Doc']]],
-  ['_7edocnode_5234',['~DocNode',['../classtvm_1_1script_1_1printer_1_1DocNode.html#a6202cee16104155937f3e64c703f6885',1,'tvm::script::printer::DocNode']]],
-  ['_7eexecutable_5235',['~Executable',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a99432cdf9ce74de84f08feec9b08ed5e',1,'tvm::runtime::vm::Executable']]],
-  ['_7eexprfunctor_5236',['~ExprFunctor',['../classtvm_1_1relay_1_1ExprFunctor_3_01R_07const_01Expr_01_6n_00_01Args_8_8_8_08_4.html#ae79b1dffcf943b65cc439b8a9f4697a2',1,'tvm::relay::ExprFunctor&lt; R(const Expr &amp;n, Args...)&gt;::~ExprFunctor()'],['../classtvm_1_1tir_1_1ExprFunctor_3_01R_07const_01PrimExpr_01_6n_00_01Args_8_8_8_08_4.html#a463951999eb3aa125880d5b6aa1f9191',1,'tvm::tir::ExprFunctor&lt; R(const PrimExpr &amp;n, Args...)&gt;::~ExprFunctor()']]],
-  ['_7eexprrewriter_5237',['~ExprRewriter',['../classtvm_1_1relay_1_1ExprRewriter.html#adb911620f3da201d0c28e8b5f6421210',1,'tvm::relay::ExprRewriter']]],
-  ['_7efeatureextractornode_5238',['~FeatureExtractorNode',['../classtvm_1_1meta__schedule_1_1FeatureExtractorNode.html#a8770cce78f5e5f6ee14bf5e3e12988f4',1,'tvm::meta_schedule::FeatureExtractorNode']]],
-  ['_7eframe_5239',['~Frame',['../classtvm_1_1script_1_1printer_1_1Frame.html#a33536abbf65eda4b50988ee9349e61ae',1,'tvm::script::printer::Frame']]],
-  ['_7eframenode_5240',['~FrameNode',['../classtvm_1_1script_1_1printer_1_1FrameNode.html#afec47fe4d24a62a89be3840164d3b27d',1,'tvm::script::printer::FrameNode']]],
-  ['_7einplacearraybase_5241',['~InplaceArrayBase',['../classtvm_1_1runtime_1_1InplaceArrayBase.html#ad1cf3446de62c7aba99235f2843f839f',1,'tvm::runtime::InplaceArrayBase']]],
-  ['_7einstruction_5242',['~Instruction',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#aff9f0ab6000156b24ef3631caf5972bd',1,'tvm::runtime::vm::Instruction']]],
-  ['_7eirbuilderframe_5243',['~IRBuilderFrame',['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderFrame.html#ae9333580d4c7e8211eae6e27080ba0bb',1,'tvm::script::ir_builder::IRBuilderFrame']]],
-  ['_7eirbuilderframenode_5244',['~IRBuilderFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderFrameNode.html#a8e61799eac4e806aef5a87d07943883d',1,'tvm::script::ir_builder::IRBuilderFrameNode']]],
-  ['_7elet_5245',['~Let',['../classtvm_1_1relay_1_1Let.html#a120792e57bc08f1da8c78aa3b7fcf0d4',1,'tvm::relay::Let']]],
-  ['_7emeasurecallbacknode_5246',['~MeasureCallbackNode',['../classtvm_1_1meta__schedule_1_1MeasureCallbackNode.html#ace03428649a595cf3e7c7b4a516366d7',1,'tvm::meta_schedule::MeasureCallbackNode']]],
-  ['_7emetriccollectornode_5247',['~MetricCollectorNode',['../classtvm_1_1runtime_1_1profiling_1_1MetricCollectorNode.html#aeb383df9354bf396426a17857a1bb84a',1,'tvm::runtime::profiling::MetricCollectorNode']]],
-  ['_7emodulenode_5248',['~ModuleNode',['../classtvm_1_1runtime_1_1ModuleNode.html#afed2444fa112cb6984f67a0bb2b29462',1,'tvm::runtime::ModuleNode']]],
-  ['_7emutatornode_5249',['~MutatorNode',['../classtvm_1_1meta__schedule_1_1MutatorNode.html#a267b4657b2116142d4635ff53fbedf8c',1,'tvm::meta_schedule::MutatorNode']]],
-  ['_7eobjectptr_5250',['~ObjectPtr',['../classtvm_1_1runtime_1_1ObjectPtr.html#ac56d7fad8cbc348ad8ef0e7e23ee90e2',1,'tvm::runtime::ObjectPtr']]],
-  ['_7eoperationnode_5251',['~OperationNode',['../classtvm_1_1te_1_1OperationNode.html#a05e68847fa6c773c6896eea216ea78e0',1,'tvm::te::OperationNode']]],
-  ['_7epassinstrumentnode_5252',['~PassInstrumentNode',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#a747b703d6d76a4d45d8c4fd9d64f2aef',1,'tvm::instrument::PassInstrumentNode']]],
-  ['_7epassnode_5253',['~PassNode',['../classtvm_1_1transform_1_1PassNode.html#ad8fd84de45a9445aacea6273ae2eb7f6',1,'tvm::transform::PassNode']]],
-  ['_7epatternfunctor_5254',['~PatternFunctor',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#a4c56c067b4d7406fc5267fca717833a8',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;']]],
-  ['_7epostprocnode_5255',['~PostprocNode',['../classtvm_1_1meta__schedule_1_1PostprocNode.html#a7d919cf16644b22ef3f5919fcd8d1dd9',1,'tvm::meta_schedule::PostprocNode']]],
-  ['_7erecordreadernode_5256',['~RecordReaderNode',['../classtvm_1_1auto__scheduler_1_1RecordReaderNode.html#ac7d0fa931985e8f60c579a00e698e2e1',1,'tvm::auto_scheduler::RecordReaderNode']]],
-  ['_7erunnernode_5257',['~RunnerNode',['../classtvm_1_1meta__schedule_1_1RunnerNode.html#ad3a2825f1071efb5e2bcb13f9176b87a',1,'tvm::meta_schedule::RunnerNode']]],
-  ['_7eschedulenode_5258',['~ScheduleNode',['../classtvm_1_1tir_1_1ScheduleNode.html#ae637f126412479ed9bec05fd55376f7f',1,'tvm::tir::ScheduleNode']]],
-  ['_7eschedulerulenode_5259',['~ScheduleRuleNode',['../classtvm_1_1meta__schedule_1_1ScheduleRuleNode.html#a7fd6347b3ebddd6d81a095cb813d3e0f',1,'tvm::meta_schedule::ScheduleRuleNode']]],
-  ['_7escopedtimer_5260',['~ScopedTimer',['../classtvm_1_1meta__schedule_1_1ScopedTimer.html#a419d438328a81a96b4579141a3cf83ca',1,'tvm::meta_schedule::ScopedTimer']]],
-  ['_7esearchstrategynode_5261',['~SearchStrategyNode',['../classtvm_1_1meta__schedule_1_1SearchStrategyNode.html#a8a800fd3e933ca85aa66425073d313f6',1,'tvm::meta_schedule::SearchStrategyNode']]],
-  ['_7esequalhandlerdefault_5262',['~SEqualHandlerDefault',['../classtvm_1_1SEqualHandlerDefault.html#ab000f7924c8e839980690f3360418cbd',1,'tvm::SEqualHandlerDefault']]],
-  ['_7eshashhandlerdefault_5263',['~SHashHandlerDefault',['../classtvm_1_1SHashHandlerDefault.html#a76f91878ee5c326f590d4b3beea55f8c',1,'tvm::SHashHandlerDefault']]],
-  ['_7esibuilder_5264',['~SIBuilder',['../classtvm_1_1SIBuilder.html#a0572bb7bee7bc57d1d67ae8df0f8b0e0',1,'tvm::SIBuilder']]],
-  ['_7esmallmapnode_5265',['~SmallMapNode',['../classtvm_1_1runtime_1_1SmallMapNode.html#a4522e8ec885e2a483cd4887bd5a0fee4',1,'tvm::runtime::SmallMapNode']]],
-  ['_7espacegeneratornode_5266',['~SpaceGeneratorNode',['../classtvm_1_1meta__schedule_1_1SpaceGeneratorNode.html#a2d3e7bbb9b5ed420be3b7b611808ea8b',1,'tvm::meta_schedule::SpaceGeneratorNode']]],
-  ['_7estmtfunctor_5267',['~StmtFunctor',['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html#a19b12318a9d3cc9cc24786f672d22263',1,'tvm::tir::StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;']]],
-  ['_7estorageobj_5268',['~StorageObj',['../classtvm_1_1runtime_1_1vm_1_1StorageObj.html#ad22645601101ba56d02a704d1926f302',1,'tvm::runtime::vm::StorageObj']]],
-  ['_7etaskschedulernode_5269',['~TaskSchedulerNode',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#a81726b318bf010389a775e24b14468a9',1,'tvm::meta_schedule::TaskSchedulerNode']]],
-  ['_7etempexprnode_5270',['~TempExprNode',['../classtvm_1_1relay_1_1TempExprNode.html#a63fb3788b46327cc15f1cf80bad126ad',1,'tvm::relay::TempExprNode']]],
-  ['_7ethreadgroup_5271',['~ThreadGroup',['../classtvm_1_1runtime_1_1threading_1_1ThreadGroup.html#aa4342f9c75798111f6354a7806c93254',1,'tvm::runtime::threading::ThreadGroup']]],
-  ['_7etimernode_5272',['~TimerNode',['../classtvm_1_1runtime_1_1TimerNode.html#ad16fba1e2e166b90af3f374cad678244',1,'tvm::runtime::TimerNode']]],
-  ['_7etvmretvalue_5273',['~TVMRetValue',['../classtvm_1_1runtime_1_1TVMRetValue.html#a0eeb2af3fa21cebfdc2bcf04b2fbb1f6',1,'tvm::runtime::TVMRetValue']]],
-  ['_7etypefunctor_5274',['~TypeFunctor',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html#a0a74cb61bb79cae0115553ed9a0ebc3e',1,'tvm::TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;']]],
-  ['_7etypereporternode_5275',['~TypeReporterNode',['../classtvm_1_1TypeReporterNode.html#a27933fa9205a2e6f46ae82b6dbe98e50',1,'tvm::TypeReporterNode']]],
-  ['_7evirtualmachine_5276',['~VirtualMachine',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a62dead74c40c1a6ac03aec56918d98c7',1,'tvm::runtime::vm::VirtualMachine']]],
-  ['_7ewith_5277',['~With',['../classtvm_1_1With.html#adc3aab8dafb5d3afebc82733e3893a4f',1,'tvm::With']]],
-  ['_7ewritestream_5278',['~WriteStream',['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteStream.html#ae02cbf0726f7f8b0f6c4172c351539bc',1,'tvm::runtime::micro_rpc::WriteStream']]]
+  ['_7eallocator_5222',['~Allocator',['../classtvm_1_1runtime_1_1vm_1_1Allocator.html#ae2fc1bf80126a986a2e5e88b3474bc06',1,'tvm::runtime::vm::Allocator']]],
+  ['_7earginfonode_5223',['~ArgInfoNode',['../classtvm_1_1meta__schedule_1_1ArgInfoNode.html#afa222e9c13224606febc09458b55de8d',1,'tvm::meta_schedule::ArgInfoNode']]],
+  ['_7eattrinitentry_5224',['~AttrInitEntry',['../structtvm_1_1detail_1_1AttrInitEntry.html#a7f0c496115e88acf39d413875b44cd28',1,'tvm::detail::AttrInitEntry']]],
+  ['_7eattrtriggernondefaultentry_5225',['~AttrTriggerNonDefaultEntry',['../structtvm_1_1detail_1_1AttrTriggerNonDefaultEntry.html#ae3ca1012c8502b2d3132cc81530c6c0e',1,'tvm::detail::AttrTriggerNonDefaultEntry']]],
+  ['_7ebaseattrsnode_5226',['~BaseAttrsNode',['../classtvm_1_1BaseAttrsNode.html#a225581a40231b2de219da30fced428a2',1,'tvm::BaseAttrsNode']]],
+  ['_7ebuildernode_5227',['~BuilderNode',['../classtvm_1_1meta__schedule_1_1BuilderNode.html#a7215cd095b70a5514388f5d38b3a3cca',1,'tvm::meta_schedule::BuilderNode']]],
+  ['_7ecall_5228',['~Call',['../classtvm_1_1relay_1_1Call.html#a7f8ec713d9a52791976b410c4891a274',1,'tvm::relay::Call']]],
+  ['_7ecostmodelnode_5229',['~CostModelNode',['../classtvm_1_1auto__scheduler_1_1CostModelNode.html#a07f6d00123f61259f40df87937d3dfc6',1,'tvm::auto_scheduler::CostModelNode::~CostModelNode()'],['../classtvm_1_1meta__schedule_1_1CostModelNode.html#a9f5fea7f128a8d38c1e050b677833df1',1,'tvm::meta_schedule::CostModelNode::~CostModelNode()']]],
+  ['_7edatabasenode_5230',['~DatabaseNode',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html#a48707424816f7ad0fd6f59a7111d9a09',1,'tvm::meta_schedule::DatabaseNode']]],
+  ['_7edataproducernode_5231',['~DataProducerNode',['../classtvm_1_1tir_1_1DataProducerNode.html#aeb887c35d09af934251932b0120f9dfa',1,'tvm::tir::DataProducerNode']]],
+  ['_7edensemapnode_5232',['~DenseMapNode',['../classtvm_1_1runtime_1_1DenseMapNode.html#ac3b9b13f9e074e20afe3bbd68cce35f3',1,'tvm::runtime::DenseMapNode']]],
+  ['_7edeviceapi_5233',['~DeviceAPI',['../classtvm_1_1runtime_1_1DeviceAPI.html#af3fe69d9823d7c67c86ecf247d894f27',1,'tvm::runtime::DeviceAPI']]],
+  ['_7edfpatternfunctor_5234',['~DFPatternFunctor',['../classtvm_1_1relay_1_1DFPatternFunctor_3_01R_07const_01DFPattern_01_6n_00_01Args_8_8_8_08_4.html#a21a07a3acfc6c1493b00a3e90dce1f1c',1,'tvm::relay::DFPatternFunctor&lt; R(const DFPattern &amp;n, Args...)&gt;']]],
+  ['_7edoc_5235',['~Doc',['../classtvm_1_1script_1_1printer_1_1Doc.html#a3a7365774bd87b76770627d544888ccc',1,'tvm::script::printer::Doc']]],
+  ['_7edocnode_5236',['~DocNode',['../classtvm_1_1script_1_1printer_1_1DocNode.html#a6202cee16104155937f3e64c703f6885',1,'tvm::script::printer::DocNode']]],
+  ['_7eexecutable_5237',['~Executable',['../classtvm_1_1runtime_1_1vm_1_1Executable.html#a99432cdf9ce74de84f08feec9b08ed5e',1,'tvm::runtime::vm::Executable']]],
+  ['_7eexprfunctor_5238',['~ExprFunctor',['../classtvm_1_1relay_1_1ExprFunctor_3_01R_07const_01Expr_01_6n_00_01Args_8_8_8_08_4.html#ae79b1dffcf943b65cc439b8a9f4697a2',1,'tvm::relay::ExprFunctor&lt; R(const Expr &amp;n, Args...)&gt;::~ExprFunctor()'],['../classtvm_1_1tir_1_1ExprFunctor_3_01R_07const_01PrimExpr_01_6n_00_01Args_8_8_8_08_4.html#a463951999eb3aa125880d5b6aa1f9191',1,'tvm::tir::ExprFunctor&lt; R(const PrimExpr &amp;n, Args...)&gt;::~ExprFunctor()']]],
+  ['_7eexprrewriter_5239',['~ExprRewriter',['../classtvm_1_1relay_1_1ExprRewriter.html#adb911620f3da201d0c28e8b5f6421210',1,'tvm::relay::ExprRewriter']]],
+  ['_7efeatureextractornode_5240',['~FeatureExtractorNode',['../classtvm_1_1meta__schedule_1_1FeatureExtractorNode.html#a8770cce78f5e5f6ee14bf5e3e12988f4',1,'tvm::meta_schedule::FeatureExtractorNode']]],
+  ['_7eframe_5241',['~Frame',['../classtvm_1_1script_1_1printer_1_1Frame.html#a33536abbf65eda4b50988ee9349e61ae',1,'tvm::script::printer::Frame']]],
+  ['_7eframenode_5242',['~FrameNode',['../classtvm_1_1script_1_1printer_1_1FrameNode.html#afec47fe4d24a62a89be3840164d3b27d',1,'tvm::script::printer::FrameNode']]],
+  ['_7einplacearraybase_5243',['~InplaceArrayBase',['../classtvm_1_1runtime_1_1InplaceArrayBase.html#ad1cf3446de62c7aba99235f2843f839f',1,'tvm::runtime::InplaceArrayBase']]],
+  ['_7einstruction_5244',['~Instruction',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html#aff9f0ab6000156b24ef3631caf5972bd',1,'tvm::runtime::vm::Instruction']]],
+  ['_7eirbuilderframe_5245',['~IRBuilderFrame',['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderFrame.html#ae9333580d4c7e8211eae6e27080ba0bb',1,'tvm::script::ir_builder::IRBuilderFrame']]],
+  ['_7eirbuilderframenode_5246',['~IRBuilderFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderFrameNode.html#a8e61799eac4e806aef5a87d07943883d',1,'tvm::script::ir_builder::IRBuilderFrameNode']]],
+  ['_7elet_5247',['~Let',['../classtvm_1_1relay_1_1Let.html#a120792e57bc08f1da8c78aa3b7fcf0d4',1,'tvm::relay::Let']]],
+  ['_7emeasurecallbacknode_5248',['~MeasureCallbackNode',['../classtvm_1_1meta__schedule_1_1MeasureCallbackNode.html#ace03428649a595cf3e7c7b4a516366d7',1,'tvm::meta_schedule::MeasureCallbackNode']]],
+  ['_7emetriccollectornode_5249',['~MetricCollectorNode',['../classtvm_1_1runtime_1_1profiling_1_1MetricCollectorNode.html#aeb383df9354bf396426a17857a1bb84a',1,'tvm::runtime::profiling::MetricCollectorNode']]],
+  ['_7emodulenode_5250',['~ModuleNode',['../classtvm_1_1runtime_1_1ModuleNode.html#afed2444fa112cb6984f67a0bb2b29462',1,'tvm::runtime::ModuleNode']]],
+  ['_7emutatornode_5251',['~MutatorNode',['../classtvm_1_1meta__schedule_1_1MutatorNode.html#a267b4657b2116142d4635ff53fbedf8c',1,'tvm::meta_schedule::MutatorNode']]],
+  ['_7eobjectptr_5252',['~ObjectPtr',['../classtvm_1_1runtime_1_1ObjectPtr.html#ac56d7fad8cbc348ad8ef0e7e23ee90e2',1,'tvm::runtime::ObjectPtr']]],
+  ['_7eoperationnode_5253',['~OperationNode',['../classtvm_1_1te_1_1OperationNode.html#a05e68847fa6c773c6896eea216ea78e0',1,'tvm::te::OperationNode']]],
+  ['_7epassinstrumentnode_5254',['~PassInstrumentNode',['../classtvm_1_1instrument_1_1PassInstrumentNode.html#a747b703d6d76a4d45d8c4fd9d64f2aef',1,'tvm::instrument::PassInstrumentNode']]],
+  ['_7epassnode_5255',['~PassNode',['../classtvm_1_1transform_1_1PassNode.html#ad8fd84de45a9445aacea6273ae2eb7f6',1,'tvm::transform::PassNode']]],
+  ['_7epatternfunctor_5256',['~PatternFunctor',['../classtvm_1_1relay_1_1PatternFunctor_3_01R_07const_01Pattern_01_6n_00_01Args_8_8_8_08_4.html#a4c56c067b4d7406fc5267fca717833a8',1,'tvm::relay::PatternFunctor&lt; R(const Pattern &amp;n, Args...)&gt;']]],
+  ['_7epostprocnode_5257',['~PostprocNode',['../classtvm_1_1meta__schedule_1_1PostprocNode.html#a7d919cf16644b22ef3f5919fcd8d1dd9',1,'tvm::meta_schedule::PostprocNode']]],
+  ['_7erecordreadernode_5258',['~RecordReaderNode',['../classtvm_1_1auto__scheduler_1_1RecordReaderNode.html#ac7d0fa931985e8f60c579a00e698e2e1',1,'tvm::auto_scheduler::RecordReaderNode']]],
+  ['_7erunnernode_5259',['~RunnerNode',['../classtvm_1_1meta__schedule_1_1RunnerNode.html#ad3a2825f1071efb5e2bcb13f9176b87a',1,'tvm::meta_schedule::RunnerNode']]],
+  ['_7eschedulenode_5260',['~ScheduleNode',['../classtvm_1_1tir_1_1ScheduleNode.html#ae637f126412479ed9bec05fd55376f7f',1,'tvm::tir::ScheduleNode']]],
+  ['_7eschedulerulenode_5261',['~ScheduleRuleNode',['../classtvm_1_1meta__schedule_1_1ScheduleRuleNode.html#a7fd6347b3ebddd6d81a095cb813d3e0f',1,'tvm::meta_schedule::ScheduleRuleNode']]],
+  ['_7escopedtimer_5262',['~ScopedTimer',['../classtvm_1_1meta__schedule_1_1ScopedTimer.html#a419d438328a81a96b4579141a3cf83ca',1,'tvm::meta_schedule::ScopedTimer']]],
+  ['_7esearchstrategynode_5263',['~SearchStrategyNode',['../classtvm_1_1meta__schedule_1_1SearchStrategyNode.html#a8a800fd3e933ca85aa66425073d313f6',1,'tvm::meta_schedule::SearchStrategyNode']]],
+  ['_7esequalhandlerdefault_5264',['~SEqualHandlerDefault',['../classtvm_1_1SEqualHandlerDefault.html#ab000f7924c8e839980690f3360418cbd',1,'tvm::SEqualHandlerDefault']]],
+  ['_7eshashhandlerdefault_5265',['~SHashHandlerDefault',['../classtvm_1_1SHashHandlerDefault.html#a76f91878ee5c326f590d4b3beea55f8c',1,'tvm::SHashHandlerDefault']]],
+  ['_7esibuilder_5266',['~SIBuilder',['../classtvm_1_1SIBuilder.html#a0572bb7bee7bc57d1d67ae8df0f8b0e0',1,'tvm::SIBuilder']]],
+  ['_7esmallmapnode_5267',['~SmallMapNode',['../classtvm_1_1runtime_1_1SmallMapNode.html#a4522e8ec885e2a483cd4887bd5a0fee4',1,'tvm::runtime::SmallMapNode']]],
+  ['_7espacegeneratornode_5268',['~SpaceGeneratorNode',['../classtvm_1_1meta__schedule_1_1SpaceGeneratorNode.html#a2d3e7bbb9b5ed420be3b7b611808ea8b',1,'tvm::meta_schedule::SpaceGeneratorNode']]],
+  ['_7estmtfunctor_5269',['~StmtFunctor',['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html#a19b12318a9d3cc9cc24786f672d22263',1,'tvm::tir::StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;']]],
+  ['_7estorageobj_5270',['~StorageObj',['../classtvm_1_1runtime_1_1vm_1_1StorageObj.html#ad22645601101ba56d02a704d1926f302',1,'tvm::runtime::vm::StorageObj']]],
+  ['_7etaskschedulernode_5271',['~TaskSchedulerNode',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html#a81726b318bf010389a775e24b14468a9',1,'tvm::meta_schedule::TaskSchedulerNode']]],
+  ['_7etempexprnode_5272',['~TempExprNode',['../classtvm_1_1relay_1_1TempExprNode.html#a63fb3788b46327cc15f1cf80bad126ad',1,'tvm::relay::TempExprNode']]],
+  ['_7ethreadgroup_5273',['~ThreadGroup',['../classtvm_1_1runtime_1_1threading_1_1ThreadGroup.html#aa4342f9c75798111f6354a7806c93254',1,'tvm::runtime::threading::ThreadGroup']]],
+  ['_7etimernode_5274',['~TimerNode',['../classtvm_1_1runtime_1_1TimerNode.html#ad16fba1e2e166b90af3f374cad678244',1,'tvm::runtime::TimerNode']]],
+  ['_7etvmretvalue_5275',['~TVMRetValue',['../classtvm_1_1runtime_1_1TVMRetValue.html#a0eeb2af3fa21cebfdc2bcf04b2fbb1f6',1,'tvm::runtime::TVMRetValue']]],
+  ['_7etypefunctor_5276',['~TypeFunctor',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html#a0a74cb61bb79cae0115553ed9a0ebc3e',1,'tvm::TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;']]],
+  ['_7etypereporternode_5277',['~TypeReporterNode',['../classtvm_1_1TypeReporterNode.html#a27933fa9205a2e6f46ae82b6dbe98e50',1,'tvm::TypeReporterNode']]],
+  ['_7evirtualmachine_5278',['~VirtualMachine',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html#a62dead74c40c1a6ac03aec56918d98c7',1,'tvm::runtime::vm::VirtualMachine']]],
+  ['_7ewith_5279',['~With',['../classtvm_1_1With.html#adc3aab8dafb5d3afebc82733e3893a4f',1,'tvm::With']]],
+  ['_7ewritestream_5280',['~WriteStream',['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteStream.html#ae02cbf0726f7f8b0f6c4172c351539bc',1,'tvm::runtime::micro_rpc::WriteStream']]]
 ];
diff --git a/docs/reference/api/doxygen/search/all_2.js b/docs/reference/api/doxygen/search/all_2.js
index 6879178c5a..0f544d6698 100644
--- a/docs/reference/api/doxygen/search/all_2.js
+++ b/docs/reference/api/doxygen/search/all_2.js
@@ -121,9 +121,9 @@ var searchData=
   ['annotations_134',['annotations',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockFrameNode.html#aa70780de95c66113723fd753412ad34b',1,'tvm::script::ir_builder::tir::BlockFrameNode::annotations()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateFrameNode.html#a272e14ad0ee3f8c0d75744faaee3f476',1,'tvm::script::ir_builder::tir::AllocateFrameNode::annotations()'],['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateConstFrameNode.html#a4d617420058fe33bc87a4cf74e773d88', [...]
   ['annotationstep_135',['AnnotationStep',['../classtvm_1_1auto__scheduler_1_1AnnotationStep.html#af7ca625164b2721bb6fdd9db78f5a9c7',1,'tvm::auto_scheduler::AnnotationStep::AnnotationStep(int stage_id, int iter_id, IteratorAnnotation ann)'],['../classtvm_1_1auto__scheduler_1_1AnnotationStep.html#a1a6366d63eb64424a1995dc7b8f40a3b',1,'tvm::auto_scheduler::AnnotationStep::AnnotationStep(dmlc::JSONReader *reader)'],['../classtvm_1_1auto__scheduler_1_1AnnotationStep.html',1,'tvm::auto_schedul [...]
   ['annotationstepnode_136',['AnnotationStepNode',['../classtvm_1_1auto__scheduler_1_1AnnotationStepNode.html',1,'tvm::auto_scheduler']]],
-  ['any_137',['Any',['../classtvm_1_1tir_1_1Any.html#afdb8854b3952dbfa4b02f151ead3bdfd',1,'tvm::tir::Any']]],
+  ['any_137',['Any',['../classtvm_1_1tir_1_1Any.html#afdb8854b3952dbfa4b02f151ead3bdfd',1,'tvm::tir::Any::Any()'],['../namespacetvm_1_1relay.html#abe473e7f103d7aa63b7b09fee09df932',1,'tvm::relay::Any()']]],
   ['any_138',['any',['../namespacetvm_1_1topi.html#afb48d90f345698b1b3417bafa1911504',1,'tvm::topi::any()'],['../namespacetvm.html#a5efd9942cdee5a56cfc438ba523c04f0',1,'tvm::any()']]],
-  ['any_139',['Any',['../namespacetvm_1_1relay.html#abe473e7f103d7aa63b7b09fee09df932',1,'tvm::relay::Any()'],['../classtvm_1_1tir_1_1Any.html',1,'tvm::tir::Any']]],
+  ['any_139',['Any',['../classtvm_1_1tir_1_1Any.html',1,'tvm::tir']]],
   ['anycodegenstrategy_140',['AnyCodegenStrategy',['../namespacetvm_1_1relay.html#adab76fedc831b249d1c80d69c4a620a3',1,'tvm::relay']]],
   ['anyerrors_141',['AnyErrors',['../classtvm_1_1relay_1_1ErrorReporter.html#a90e1eea8f10d4e164d3a9fb76cefa6ac',1,'tvm::relay::ErrorReporter']]],
   ['anynode_142',['AnyNode',['../namespacetvm_1_1relay.html#a63c360628faf2eeb9de326634bc6e80e',1,'tvm::relay::AnyNode()'],['../classtvm_1_1tir_1_1AnyNode.html',1,'tvm::tir::AnyNode']]],
diff --git a/docs/reference/api/doxygen/search/classes_0.js b/docs/reference/api/doxygen/search/classes_0.js
index dfb4cb90fa..8815a7b079 100644
--- a/docs/reference/api/doxygen/search/classes_0.js
+++ b/docs/reference/api/doxygen/search/classes_0.js
@@ -1,301 +1,301 @@
 var searchData=
 [
-  ['accessanalyzer_5279',['AccessAnalyzer',['../classtvm_1_1auto__scheduler_1_1AccessAnalyzer.html',1,'tvm::auto_scheduler']]],
-  ['accessanalyzernode_5280',['AccessAnalyzerNode',['../classtvm_1_1auto__scheduler_1_1AccessAnalyzerNode.html',1,'tvm::auto_scheduler']]],
-  ['adaptivepool1dattrs_5281',['AdaptivePool1DAttrs',['../structtvm_1_1relay_1_1AdaptivePool1DAttrs.html',1,'tvm::relay']]],
-  ['adaptivepool2dattrs_5282',['AdaptivePool2DAttrs',['../structtvm_1_1relay_1_1AdaptivePool2DAttrs.html',1,'tvm::relay']]],
-  ['adaptivepool3dattrs_5283',['AdaptivePool3DAttrs',['../structtvm_1_1relay_1_1AdaptivePool3DAttrs.html',1,'tvm::relay']]],
-  ['add_5284',['Add',['../classtvm_1_1tir_1_1Add.html',1,'tvm::tir']]],
-  ['addnode_5285',['AddNode',['../classtvm_1_1tir_1_1AddNode.html',1,'tvm::tir']]],
-  ['adt_5286',['ADT',['../classtvm_1_1runtime_1_1ADT.html',1,'tvm::runtime']]],
-  ['adtobj_5287',['ADTObj',['../classtvm_1_1runtime_1_1ADTObj.html',1,'tvm::runtime']]],
-  ['affinegridattrs_5288',['AffineGridAttrs',['../structtvm_1_1relay_1_1AffineGridAttrs.html',1,'tvm::relay']]],
-  ['affinetype_5289',['AffineType',['../classtvm_1_1AffineType.html',1,'tvm']]],
-  ['affinetypenode_5290',['AffineTypeNode',['../classtvm_1_1AffineTypeNode.html',1,'tvm']]],
-  ['allclassnonmaximumsuppressionattrs_5291',['AllClassNonMaximumSuppressionAttrs',['../structtvm_1_1relay_1_1AllClassNonMaximumSuppressionAttrs.html',1,'tvm::relay']]],
-  ['allocate_5292',['Allocate',['../classtvm_1_1tir_1_1Allocate.html',1,'tvm::tir']]],
-  ['allocateconst_5293',['AllocateConst',['../classtvm_1_1tir_1_1AllocateConst.html',1,'tvm::tir']]],
-  ['allocateconstframe_5294',['AllocateConstFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateConstFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['allocateconstframenode_5295',['AllocateConstFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateConstFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['allocateconstnode_5296',['AllocateConstNode',['../classtvm_1_1tir_1_1AllocateConstNode.html',1,'tvm::tir']]],
-  ['allocatedpoolinfo_5297',['AllocatedPoolInfo',['../classtvm_1_1tir_1_1usmp_1_1AllocatedPoolInfo.html',1,'tvm::tir::usmp']]],
-  ['allocatedpoolinfonode_5298',['AllocatedPoolInfoNode',['../structtvm_1_1tir_1_1usmp_1_1AllocatedPoolInfoNode.html',1,'tvm::tir::usmp']]],
-  ['allocateframe_5299',['AllocateFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['allocateframenode_5300',['AllocateFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['allocatenode_5301',['AllocateNode',['../classtvm_1_1tir_1_1AllocateNode.html',1,'tvm::tir']]],
-  ['allocator_5302',['Allocator',['../classtvm_1_1runtime_1_1vm_1_1Allocator.html',1,'tvm::runtime::vm']]],
-  ['allocstorageattrs_5303',['AllocStorageAttrs',['../structtvm_1_1relay_1_1AllocStorageAttrs.html',1,'tvm::relay']]],
-  ['alloctensorattrs_5304',['AllocTensorAttrs',['../structtvm_1_1relay_1_1AllocTensorAttrs.html',1,'tvm::relay']]],
-  ['altpattern_5305',['AltPattern',['../classtvm_1_1relay_1_1AltPattern.html',1,'tvm::relay']]],
-  ['altpatternnode_5306',['AltPatternNode',['../classtvm_1_1relay_1_1AltPatternNode.html',1,'tvm::relay']]],
-  ['analyzer_5307',['Analyzer',['../classtvm_1_1arith_1_1Analyzer.html',1,'tvm::arith']]],
-  ['and_5308',['And',['../classtvm_1_1tir_1_1And.html',1,'tvm::tir']]],
-  ['andnode_5309',['AndNode',['../classtvm_1_1tir_1_1AndNode.html',1,'tvm::tir']]],
-  ['annotationstep_5310',['AnnotationStep',['../classtvm_1_1auto__scheduler_1_1AnnotationStep.html',1,'tvm::auto_scheduler']]],
-  ['annotationstepnode_5311',['AnnotationStepNode',['../classtvm_1_1auto__scheduler_1_1AnnotationStepNode.html',1,'tvm::auto_scheduler']]],
-  ['any_5312',['Any',['../classtvm_1_1tir_1_1Any.html',1,'tvm::tir']]],
-  ['anynode_5313',['AnyNode',['../classtvm_1_1tir_1_1AnyNode.html',1,'tvm::tir']]],
-  ['arangeattrs_5314',['ArangeAttrs',['../structtvm_1_1relay_1_1ArangeAttrs.html',1,'tvm::relay']]],
-  ['arginfo_5315',['ArgInfo',['../classtvm_1_1meta__schedule_1_1ArgInfo.html',1,'tvm::meta_schedule']]],
-  ['arginfonode_5316',['ArgInfoNode',['../classtvm_1_1meta__schedule_1_1ArgInfoNode.html',1,'tvm::meta_schedule']]],
-  ['argreduceattrs_5317',['ArgReduceAttrs',['../structtvm_1_1relay_1_1ArgReduceAttrs.html',1,'tvm::relay']]],
-  ['argsortattrs_5318',['ArgsortAttrs',['../structtvm_1_1relay_1_1ArgsortAttrs.html',1,'tvm::relay']]],
-  ['array_5319',['Array',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20range_20_3e_5320',['Array&lt; Range &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20region_20_3e_5321',['Array&lt; Region &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3aarith_3a_3aitersplitexpr_20_3e_5322',['Array&lt; tvm::arith::IterSplitExpr &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3aarith_3a_3aitersumexpr_20_3e_5323',['Array&lt; tvm::arith::IterSumExpr &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3aattrfieldinfo_20_3e_5324',['Array&lt; tvm::AttrFieldInfo &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3aauto_5fscheduler_3a_3aiterator_20_3e_5325',['Array&lt; tvm::auto_scheduler::Iterator &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3aauto_5fscheduler_3a_3astage_20_3e_5326',['Array&lt; tvm::auto_scheduler::Stage &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3aauto_5fscheduler_3a_3astep_20_3e_5327',['Array&lt; tvm::auto_scheduler::Step &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3aconstantinfo_20_3e_5328',['Array&lt; tvm::ConstantInfo &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3aconstantpoolinfo_20_3e_5329',['Array&lt; tvm::ConstantPoolInfo &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3aconstructor_20_3e_5330',['Array&lt; tvm::Constructor &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3adiagnostic_20_3e_5331',['Array&lt; tvm::Diagnostic &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3afloatimm_20_3e_5332',['Array&lt; tvm::FloatImm &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3ainstrument_3a_3apassinstrument_20_3e_5333',['Array&lt; tvm::instrument::PassInstrument &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3ainteger_20_3e_5334',['Array&lt; tvm::Integer &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3aintimm_20_3e_5335',['Array&lt; tvm::IntImm &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3airmodule_20_3e_5336',['Array&lt; tvm::IRModule &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3ameta_5fschedule_3a_3aarginfo_20_3e_5337',['Array&lt; tvm::meta_schedule::ArgInfo &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3ameta_5fschedule_3a_3ameasurecallback_20_3e_5338',['Array&lt; tvm::meta_schedule::MeasureCallback &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3ameta_5fschedule_3a_3ataskrecord_20_3e_5339',['Array&lt; tvm::meta_schedule::TaskRecord &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3aobjectpath_20_3e_5340',['Array&lt; tvm::ObjectPath &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3apoolinfo_20_3e_5341',['Array&lt; tvm::PoolInfo &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3aprimexpr_20_3e_5342',['Array&lt; tvm::PrimExpr &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3arange_20_3e_5343',['Array&lt; tvm::Range &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3arelay_3a_3aclause_20_3e_5344',['Array&lt; tvm::relay::Clause &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3arelay_3a_3adfpattern_20_3e_5345',['Array&lt; tvm::relay::DFPattern &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3arelay_3a_3aopimplementation_20_3e_5346',['Array&lt; tvm::relay::OpImplementation &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3arelay_3a_3aopspecialization_20_3e_5347',['Array&lt; tvm::relay::OpSpecialization &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3arelay_3a_3apattern_20_3e_5348',['Array&lt; tvm::relay::Pattern &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3arelay_3a_3avar_20_3e_5349',['Array&lt; tvm::relay::Var &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3arelayexpr_20_3e_5350',['Array&lt; tvm::RelayExpr &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3ainteger_20_3e_20_3e_5351',['Array&lt; tvm::runtime::Array&lt; tvm::Integer &gt; &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3aprimexpr_20_3e_20_3e_5352',['Array&lt; tvm::runtime::Array&lt; tvm::PrimExpr &gt; &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3aruntime_3a_3amap_3c_20tvm_3a_3aruntime_3a_3astring_2c_20tvm_3a_3aruntime_3a_3aobjectref_20_3e_20_3e_5353',['Array&lt; tvm::runtime::Map&lt; tvm::runtime::String, tvm::runtime::ObjectRef &gt; &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3aruntime_3a_3aobjectref_20_3e_5354',['Array&lt; tvm::runtime::ObjectRef &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3aruntime_3a_3aoptional_3c_20tvm_3a_3ainteger_20_3e_20_3e_5355',['Array&lt; tvm::runtime::Optional&lt; tvm::Integer &gt; &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3aruntime_3a_3astring_20_3e_5356',['Array&lt; tvm::runtime::String &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3ascript_3a_3air_5fbuilder_3a_3airbuilderframe_20_3e_5357',['Array&lt; tvm::script::ir_builder::IRBuilderFrame &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3ascript_3a_3aprinter_3a_3aassigndoc_20_3e_5358',['Array&lt; tvm::script::printer::AssignDoc &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3ascript_3a_3aprinter_3a_3adoc_20_3e_5359',['Array&lt; tvm::script::printer::Doc &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3ascript_3a_3aprinter_3a_3aexprdoc_20_3e_5360',['Array&lt; tvm::script::printer::ExprDoc &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3ascript_3a_3aprinter_3a_3aframe_20_3e_5361',['Array&lt; tvm::script::printer::Frame &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3ascript_3a_3aprinter_3a_3aiddoc_20_3e_5362',['Array&lt; tvm::script::printer::IdDoc &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3ascript_3a_3aprinter_3a_3astmtdoc_20_3e_5363',['Array&lt; tvm::script::printer::StmtDoc &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3aspan_20_3e_5364',['Array&lt; tvm::Span &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3atarget_20_3e_5365',['Array&lt; tvm::Target &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3ate_3a_3aitervarrelation_20_3e_5366',['Array&lt; tvm::te::IterVarRelation &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3ate_3a_3aoperation_20_3e_5367',['Array&lt; tvm::te::Operation &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3ate_3a_3aschedule_20_3e_5368',['Array&lt; tvm::te::Schedule &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3ate_3a_3astage_20_3e_5369',['Array&lt; tvm::te::Stage &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3ate_3a_3atensor_20_3e_5370',['Array&lt; tvm::te::Tensor &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3atensoraffinetype_20_3e_5371',['Array&lt; tvm::TensorAffineType &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3atir_3a_3abuffer_20_3e_5372',['Array&lt; tvm::tir::Buffer &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3atir_3a_3abufferregion_20_3e_5373',['Array&lt; tvm::tir::BufferRegion &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3atir_3a_3aindexmap_20_3e_5374',['Array&lt; tvm::tir::IndexMap &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3atir_3a_3ainstruction_20_3e_5375',['Array&lt; tvm::tir::Instruction &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3atir_3a_3aitervar_20_3e_5376',['Array&lt; tvm::tir::IterVar &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3atir_3a_3amatchbufferregion_20_3e_5377',['Array&lt; tvm::tir::MatchBufferRegion &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3atir_3a_3astmt_20_3e_5378',['Array&lt; tvm::tir::Stmt &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3atir_3a_3avar_20_3e_5379',['Array&lt; tvm::tir::Var &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3atransform_3a_3apass_20_3e_5380',['Array&lt; tvm::transform::Pass &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3atype_20_3e_5381',['Array&lt; tvm::Type &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3atypeconstraint_20_3e_5382',['Array&lt; tvm::TypeConstraint &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['array_3c_20tvm_3a_3atypevar_20_3e_5383',['Array&lt; tvm::TypeVar &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
-  ['arrayaccessor_5384',['ArrayAccessor',['../classtvm_1_1runtime_1_1metadata_1_1ArrayAccessor.html',1,'tvm::runtime::metadata']]],
-  ['arrayaccessor_3c_20const_20char_20_2a_2c_20_3a_3atvm_3a_3aruntime_3a_3astring_20_3e_5385',['ArrayAccessor&lt; const char *, ::tvm::runtime::String &gt;',['../classtvm_1_1runtime_1_1metadata_1_1ArrayAccessor_3_01const_01char_01_5_00_01_1_1tvm_1_1runtime_1_1String_01_4.html',1,'tvm::runtime::metadata']]],
-  ['arrayhandler_5386',['ArrayHandler',['../classtvm_1_1runtime_1_1SimpleObjAllocator_1_1ArrayHandler.html',1,'tvm::runtime::SimpleObjAllocator']]],
-  ['arrayindexpath_5387',['ArrayIndexPath',['../classtvm_1_1ArrayIndexPath.html',1,'tvm']]],
-  ['arrayindexpathnode_5388',['ArrayIndexPathNode',['../classtvm_1_1ArrayIndexPathNode.html',1,'tvm']]],
-  ['arrayiterator_5389',['ArrayIterator',['../classtvm_1_1runtime_1_1metadata_1_1ArrayIterator.html',1,'tvm::runtime::metadata']]],
-  ['arraynode_5390',['ArrayNode',['../classtvm_1_1runtime_1_1ArrayNode.html',1,'tvm::runtime']]],
-  ['assertdoc_5391',['AssertDoc',['../classtvm_1_1script_1_1printer_1_1AssertDoc.html',1,'tvm::script::printer']]],
-  ['assertdocnode_5392',['AssertDocNode',['../classtvm_1_1script_1_1printer_1_1AssertDocNode.html',1,'tvm::script::printer']]],
-  ['assertframe_5393',['AssertFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AssertFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['assertframenode_5394',['AssertFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AssertFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['assertstmt_5395',['AssertStmt',['../classtvm_1_1tir_1_1AssertStmt.html',1,'tvm::tir']]],
-  ['assertstmtnode_5396',['AssertStmtNode',['../classtvm_1_1tir_1_1AssertStmtNode.html',1,'tvm::tir']]],
-  ['assigndoc_5397',['AssignDoc',['../classtvm_1_1script_1_1printer_1_1AssignDoc.html',1,'tvm::script::printer']]],
-  ['assigndocnode_5398',['AssignDocNode',['../classtvm_1_1script_1_1printer_1_1AssignDocNode.html',1,'tvm::script::printer']]],
-  ['attachmap_5399',['AttachMap',['../classtvm_1_1auto__scheduler_1_1AttachMap.html',1,'tvm::auto_scheduler']]],
-  ['attachmapnode_5400',['AttachMapNode',['../classtvm_1_1auto__scheduler_1_1AttachMapNode.html',1,'tvm::auto_scheduler']]],
-  ['attraccessdoc_5401',['AttrAccessDoc',['../classtvm_1_1script_1_1printer_1_1AttrAccessDoc.html',1,'tvm::script::printer']]],
-  ['attraccessdocnode_5402',['AttrAccessDocNode',['../classtvm_1_1script_1_1printer_1_1AttrAccessDocNode.html',1,'tvm::script::printer']]],
-  ['attrdocentry_5403',['AttrDocEntry',['../classtvm_1_1detail_1_1AttrDocEntry.html',1,'tvm::detail']]],
-  ['attrdocvisitor_5404',['AttrDocVisitor',['../classtvm_1_1detail_1_1AttrDocVisitor.html',1,'tvm::detail']]],
-  ['attrerror_5405',['AttrError',['../structtvm_1_1AttrError.html',1,'tvm']]],
-  ['attrexistvisitor_5406',['AttrExistVisitor',['../classtvm_1_1detail_1_1AttrExistVisitor.html',1,'tvm::detail']]],
-  ['attrfieldinfo_5407',['AttrFieldInfo',['../classtvm_1_1AttrFieldInfo.html',1,'tvm']]],
-  ['attrfieldinfonode_5408',['AttrFieldInfoNode',['../classtvm_1_1AttrFieldInfoNode.html',1,'tvm']]],
-  ['attrframe_5409',['AttrFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AttrFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['attrframenode_5410',['AttrFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AttrFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['attributeaccesspath_5411',['AttributeAccessPath',['../classtvm_1_1AttributeAccessPath.html',1,'tvm']]],
-  ['attributeaccesspathnode_5412',['AttributeAccessPathNode',['../classtvm_1_1AttributeAccessPathNode.html',1,'tvm']]],
-  ['attrinitentry_5413',['AttrInitEntry',['../structtvm_1_1detail_1_1AttrInitEntry.html',1,'tvm::detail']]],
-  ['attrinitvisitor_5414',['AttrInitVisitor',['../classtvm_1_1detail_1_1AttrInitVisitor.html',1,'tvm::detail']]],
-  ['attrnondefaultvisitor_5415',['AttrNonDefaultVisitor',['../classtvm_1_1detail_1_1AttrNonDefaultVisitor.html',1,'tvm::detail']]],
-  ['attrnopentry_5416',['AttrNopEntry',['../structtvm_1_1detail_1_1AttrNopEntry.html',1,'tvm::detail']]],
-  ['attrnormalvisitor_5417',['AttrNormalVisitor',['../classtvm_1_1detail_1_1AttrNormalVisitor.html',1,'tvm::detail']]],
-  ['attrpattern_5418',['AttrPattern',['../classtvm_1_1relay_1_1AttrPattern.html',1,'tvm::relay']]],
-  ['attrpatternnode_5419',['AttrPatternNode',['../classtvm_1_1relay_1_1AttrPatternNode.html',1,'tvm::relay']]],
-  ['attrregistry_5420',['AttrRegistry',['../classtvm_1_1AttrRegistry.html',1,'tvm']]],
-  ['attrregistrymap_5421',['AttrRegistryMap',['../classtvm_1_1AttrRegistryMap.html',1,'tvm']]],
-  ['attrregistrymap_3c_20op_2c_20valuetype_20_3e_5422',['AttrRegistryMap&lt; Op, ValueType &gt;',['../classtvm_1_1AttrRegistryMap.html',1,'tvm']]],
-  ['attrregistrymap_3c_20targetkind_2c_20valuetype_20_3e_5423',['AttrRegistryMap&lt; TargetKind, ValueType &gt;',['../classtvm_1_1AttrRegistryMap.html',1,'tvm']]],
-  ['attrregistrymapcontainermap_5424',['AttrRegistryMapContainerMap',['../classtvm_1_1AttrRegistryMapContainerMap.html',1,'tvm']]],
-  ['attrs_5425',['Attrs',['../classtvm_1_1Attrs.html',1,'tvm']]],
-  ['attrsnode_5426',['AttrsNode',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20adaptivepool1dattrs_20_3e_5427',['AttrsNode&lt; AdaptivePool1DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20adaptivepool2dattrs_20_3e_5428',['AttrsNode&lt; AdaptivePool2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20adaptivepool3dattrs_20_3e_5429',['AttrsNode&lt; AdaptivePool3DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20affinegridattrs_20_3e_5430',['AttrsNode&lt; AffineGridAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20allclassnonmaximumsuppressionattrs_20_3e_5431',['AttrsNode&lt; AllClassNonMaximumSuppressionAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20allocstorageattrs_20_3e_5432',['AttrsNode&lt; AllocStorageAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20alloctensorattrs_20_3e_5433',['AttrsNode&lt; AllocTensorAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20arangeattrs_20_3e_5434',['AttrsNode&lt; ArangeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20argreduceattrs_20_3e_5435',['AttrsNode&lt; ArgReduceAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20argsortattrs_20_3e_5436',['AttrsNode&lt; ArgsortAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20autoschedulerlayouttransformattrs_20_3e_5437',['AttrsNode&lt; AutoSchedulerLayoutTransformAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20avgpool1dattrs_20_3e_5438',['AttrsNode&lt; AvgPool1DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20avgpool2dattrs_20_3e_5439',['AttrsNode&lt; AvgPool2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20avgpool3dattrs_20_3e_5440',['AttrsNode&lt; AvgPool3DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20batchmatmulattrs_20_3e_5441',['AttrsNode&lt; BatchMatmulAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20batchnormattrs_20_3e_5442',['AttrsNode&lt; BatchNormAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20batchtospacendattrs_20_3e_5443',['AttrsNode&lt; BatchToSpaceNDAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20biasaddattrs_20_3e_5444',['AttrsNode&lt; BiasAddAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20binaryconv2dattrs_20_3e_5445',['AttrsNode&lt; BinaryConv2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20binarydenseattrs_20_3e_5446',['AttrsNode&lt; BinaryDenseAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20bitpackattrs_20_3e_5447',['AttrsNode&lt; BitPackAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20broadcastattrs_20_3e_5448',['AttrsNode&lt; BroadcastAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20callloweredattrs_20_3e_5449',['AttrsNode&lt; CallLoweredAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20castattrs_20_3e_5450',['AttrsNode&lt; CastAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20casthintattrs_20_3e_5451',['AttrsNode&lt; CastHintAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20clipattrs_20_3e_5452',['AttrsNode&lt; ClipAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20compilerattrs_20_3e_5453',['AttrsNode&lt; CompilerAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20concatenateattrs_20_3e_5454',['AttrsNode&lt; ConcatenateAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20conv1dattrs_20_3e_5455',['AttrsNode&lt; Conv1DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20conv1dtransposeattrs_20_3e_5456',['AttrsNode&lt; Conv1DTransposeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20conv2dattrs_20_3e_5457',['AttrsNode&lt; Conv2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20conv2dtransposeattrs_20_3e_5458',['AttrsNode&lt; Conv2DTransposeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20conv2dwinogradattrs_20_3e_5459',['AttrsNode&lt; Conv2DWinogradAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20conv2dwinogradnnpackweighttransformattrs_20_3e_5460',['AttrsNode&lt; Conv2DWinogradNNPACKWeightTransformAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20conv3dattrs_20_3e_5461',['AttrsNode&lt; Conv3DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20conv3dtransposeattrs_20_3e_5462',['AttrsNode&lt; Conv3DTransposeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20conv3dwinogradattrs_20_3e_5463',['AttrsNode&lt; Conv3DWinogradAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20convgemmweighttransformattrs_20_3e_5464',['AttrsNode&lt; ConvGemmWeightTransformAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20convwinogradweighttransformattrs_20_3e_5465',['AttrsNode&lt; ConvWinogradWeightTransformAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20correlationattrs_20_3e_5466',['AttrsNode&lt; CorrelationAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20cropandresizeattrs_20_3e_5467',['AttrsNode&lt; CropAndResizeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20debugattrs_20_3e_5468',['AttrsNode&lt; DebugAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20deformableconv2dattrs_20_3e_5469',['AttrsNode&lt; DeformableConv2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20denseattrs_20_3e_5470',['AttrsNode&lt; DenseAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20densepackattrs_20_3e_5471',['AttrsNode&lt; DensePackAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20dequantizeattrs_20_3e_5472',['AttrsNode&lt; DequantizeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20devicecopyattrs_20_3e_5473',['AttrsNode&lt; DeviceCopyAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20dftattrs_20_3e_5474',['AttrsNode&lt; DFTAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20dilateattrs_20_3e_5475',['AttrsNode&lt; DilateAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20dilation2dattrs_20_3e_5476',['AttrsNode&lt; Dilation2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20dropoutattrs_20_3e_5477',['AttrsNode&lt; DropoutAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20dynexpanddimsattrs_20_3e_5478',['AttrsNode&lt; DynExpandDimsAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20einsumattrs_20_3e_5479',['AttrsNode&lt; EinsumAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20expanddimsattrs_20_3e_5480',['AttrsNode&lt; ExpandDimsAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20fifobufferattrs_20_3e_5481',['AttrsNode&lt; FIFOBufferAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20fixedpointmultiplyattrs_20_3e_5482',['AttrsNode&lt; FixedPointMultiplyAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20fixedpointmultiplyperaxisattrs_20_3e_5483',['AttrsNode&lt; FixedPointMultiplyPerAxisAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20gatherattrs_20_3e_5484',['AttrsNode&lt; GatherAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20gatherndattrs_20_3e_5485',['AttrsNode&lt; GatherNDAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20getvalidcountsattrs_20_3e_5486',['AttrsNode&lt; GetValidCountsAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20globalpool2dattrs_20_3e_5487',['AttrsNode&lt; GlobalPool2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20gridsampleattrs_20_3e_5488',['AttrsNode&lt; GridSampleAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20groupnormattrs_20_3e_5489',['AttrsNode&lt; GroupNormAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20initopattrs_20_3e_5490',['AttrsNode&lt; InitOpAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20instancenormattrs_20_3e_5491',['AttrsNode&lt; InstanceNormAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20l2normalizeattrs_20_3e_5492',['AttrsNode&lt; L2NormalizeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20layernormattrs_20_3e_5493',['AttrsNode&lt; LayerNormAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20layouttransformattrs_20_3e_5494',['AttrsNode&lt; LayoutTransformAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20leakyreluattrs_20_3e_5495',['AttrsNode&lt; LeakyReluAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20lrnattrs_20_3e_5496',['AttrsNode&lt; LRNAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20matmulattrs_20_3e_5497',['AttrsNode&lt; MatmulAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20matrixsetdiagattrs_20_3e_5498',['AttrsNode&lt; MatrixSetDiagAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20maxpool1dattrs_20_3e_5499',['AttrsNode&lt; MaxPool1DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20maxpool2dattrs_20_3e_5500',['AttrsNode&lt; MaxPool2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20maxpool3dattrs_20_3e_5501',['AttrsNode&lt; MaxPool3DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20meshgridattrs_20_3e_5502',['AttrsNode&lt; MeshgridAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20metaschedulelayouttransformattrs_20_3e_5503',['AttrsNode&lt; MetaScheduleLayoutTransformAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20mirrorpadattrs_20_3e_5504',['AttrsNode&lt; MirrorPadAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20multiboxpriorattrs_20_3e_5505',['AttrsNode&lt; MultiBoxPriorAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20multiboxtransformlocattrs_20_3e_5506',['AttrsNode&lt; MultiBoxTransformLocAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20multinomialattrs_20_3e_5507',['AttrsNode&lt; MultinomialAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20ndarraysizeattrs_20_3e_5508',['AttrsNode&lt; NdarraySizeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20nlllossattrs_20_3e_5509',['AttrsNode&lt; NLLLossAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20nonmaximumsuppressionattrs_20_3e_5510',['AttrsNode&lt; NonMaximumSuppressionAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20normalattrs_20_3e_5511',['AttrsNode&lt; NormalAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20ondeviceattrs_20_3e_5512',['AttrsNode&lt; OnDeviceAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20onehotattrs_20_3e_5513',['AttrsNode&lt; OneHotAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20padattrs_20_3e_5514',['AttrsNode&lt; PadAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20preluattrs_20_3e_5515',['AttrsNode&lt; PReluAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20proposalattrs_20_3e_5516',['AttrsNode&lt; ProposalAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20quantizeattrs_20_3e_5517',['AttrsNode&lt; QuantizeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20reduceattrs_20_3e_5518',['AttrsNode&lt; ReduceAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20regularnonmaximumsuppressionattrs_20_3e_5519',['AttrsNode&lt; RegularNonMaximumSuppressionAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20repeatattrs_20_3e_5520',['AttrsNode&lt; RepeatAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20requantizeattrs_20_3e_5521',['AttrsNode&lt; RequantizeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20reshapeattrs_20_3e_5522',['AttrsNode&lt; ReshapeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20reshapelikeattrs_20_3e_5523',['AttrsNode&lt; ReshapeLikeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20reshapetensorattrs_20_3e_5524',['AttrsNode&lt; ReshapeTensorAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20resize1dattrs_20_3e_5525',['AttrsNode&lt; Resize1DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20resize2dattrs_20_3e_5526',['AttrsNode&lt; Resize2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20resize3dattrs_20_3e_5527',['AttrsNode&lt; Resize3DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20reverseattrs_20_3e_5528',['AttrsNode&lt; ReverseAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20reversesequenceattrs_20_3e_5529',['AttrsNode&lt; ReverseSequenceAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20roialignattrs_20_3e_5530',['AttrsNode&lt; ROIAlignAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20roipoolattrs_20_3e_5531',['AttrsNode&lt; ROIPoolAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20scanopattrs_20_3e_5532',['AttrsNode&lt; ScanopAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20scatterelementsattrs_20_3e_5533',['AttrsNode&lt; ScatterElementsAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20scatterndattrs_20_3e_5534',['AttrsNode&lt; ScatterNDAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20searchsortedattrs_20_3e_5535',['AttrsNode&lt; SearchSortedAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20sequencemaskattrs_20_3e_5536',['AttrsNode&lt; SequenceMaskAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20shapefuncattrs_20_3e_5537',['AttrsNode&lt; ShapeFuncAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20shapeofattrs_20_3e_5538',['AttrsNode&lt; ShapeOfAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20simulatedquantizeattrs_20_3e_5539',['AttrsNode&lt; SimulatedQuantizeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20slicelikeattrs_20_3e_5540',['AttrsNode&lt; SliceLikeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20slidingwindowattrs_20_3e_5541',['AttrsNode&lt; SlidingWindowAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20softmaxattrs_20_3e_5542',['AttrsNode&lt; SoftmaxAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20spacetobatchndattrs_20_3e_5543',['AttrsNode&lt; SpaceToBatchNDAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20sparseconv2dattrs_20_3e_5544',['AttrsNode&lt; SparseConv2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20sparsedenseattrs_20_3e_5545',['AttrsNode&lt; SparseDenseAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20sparsetodenseattrs_20_3e_5546',['AttrsNode&lt; SparseToDenseAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20sparsetransposeattrs_20_3e_5547',['AttrsNode&lt; SparseTransposeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20splitattrs_20_3e_5548',['AttrsNode&lt; SplitAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20squeezeattrs_20_3e_5549',['AttrsNode&lt; SqueezeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20stackattrs_20_3e_5550',['AttrsNode&lt; StackAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20stftattrs_20_3e_5551',['AttrsNode&lt; StftAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20stridedsliceattrs_20_3e_5552',['AttrsNode&lt; StridedSliceAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20subpixelattrs_20_3e_5553',['AttrsNode&lt; SubPixelAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20takeattrs_20_3e_5554',['AttrsNode&lt; TakeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20threefrygenerateattrs_20_3e_5555',['AttrsNode&lt; ThreefryGenerateAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20tileattrs_20_3e_5556',['AttrsNode&lt; TileAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20topkattrs_20_3e_5557',['AttrsNode&lt; TopKAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20transposeattrs_20_3e_5558',['AttrsNode&lt; TransposeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20triluattrs_20_3e_5559',['AttrsNode&lt; TriluAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20uniformattrs_20_3e_5560',['AttrsNode&lt; UniformAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20uniqueattrs_20_3e_5561',['AttrsNode&lt; UniqueAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20upsampling3dattrs_20_3e_5562',['AttrsNode&lt; UpSampling3DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20upsamplingattrs_20_3e_5563',['AttrsNode&lt; UpSamplingAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20varianceattrs_20_3e_5564',['AttrsNode&lt; VarianceAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20virtualdevicenode_20_3e_5565',['AttrsNode&lt; VirtualDeviceNode &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrsnode_3c_20yoloreorgattrs_20_3e_5566',['AttrsNode&lt; YoloReorgAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
-  ['attrssequalvisitor_5567',['AttrsSEqualVisitor',['../classtvm_1_1detail_1_1AttrsSEqualVisitor.html',1,'tvm::detail']]],
-  ['attrsshashvisitor_5568',['AttrsSHashVisitor',['../classtvm_1_1detail_1_1AttrsSHashVisitor.html',1,'tvm::detail']]],
-  ['attrstmt_5569',['AttrStmt',['../classtvm_1_1tir_1_1AttrStmt.html',1,'tvm::tir']]],
-  ['attrstmtnode_5570',['AttrStmtNode',['../classtvm_1_1tir_1_1AttrStmtNode.html',1,'tvm::tir']]],
-  ['attrtriggernondefaultentry_5571',['AttrTriggerNonDefaultEntry',['../structtvm_1_1detail_1_1AttrTriggerNonDefaultEntry.html',1,'tvm::detail']]],
-  ['attrvisitor_5572',['AttrVisitor',['../classtvm_1_1AttrVisitor.html',1,'tvm']]],
-  ['autoschedulerlayouttransformattrs_5573',['AutoSchedulerLayoutTransformAttrs',['../structtvm_1_1relay_1_1AutoSchedulerLayoutTransformAttrs.html',1,'tvm::relay']]],
-  ['avgpool1dattrs_5574',['AvgPool1DAttrs',['../structtvm_1_1relay_1_1AvgPool1DAttrs.html',1,'tvm::relay']]],
-  ['avgpool2dattrs_5575',['AvgPool2DAttrs',['../structtvm_1_1relay_1_1AvgPool2DAttrs.html',1,'tvm::relay']]],
-  ['avgpool3dattrs_5576',['AvgPool3DAttrs',['../structtvm_1_1relay_1_1AvgPool3DAttrs.html',1,'tvm::relay']]]
+  ['accessanalyzer_5281',['AccessAnalyzer',['../classtvm_1_1auto__scheduler_1_1AccessAnalyzer.html',1,'tvm::auto_scheduler']]],
+  ['accessanalyzernode_5282',['AccessAnalyzerNode',['../classtvm_1_1auto__scheduler_1_1AccessAnalyzerNode.html',1,'tvm::auto_scheduler']]],
+  ['adaptivepool1dattrs_5283',['AdaptivePool1DAttrs',['../structtvm_1_1relay_1_1AdaptivePool1DAttrs.html',1,'tvm::relay']]],
+  ['adaptivepool2dattrs_5284',['AdaptivePool2DAttrs',['../structtvm_1_1relay_1_1AdaptivePool2DAttrs.html',1,'tvm::relay']]],
+  ['adaptivepool3dattrs_5285',['AdaptivePool3DAttrs',['../structtvm_1_1relay_1_1AdaptivePool3DAttrs.html',1,'tvm::relay']]],
+  ['add_5286',['Add',['../classtvm_1_1tir_1_1Add.html',1,'tvm::tir']]],
+  ['addnode_5287',['AddNode',['../classtvm_1_1tir_1_1AddNode.html',1,'tvm::tir']]],
+  ['adt_5288',['ADT',['../classtvm_1_1runtime_1_1ADT.html',1,'tvm::runtime']]],
+  ['adtobj_5289',['ADTObj',['../classtvm_1_1runtime_1_1ADTObj.html',1,'tvm::runtime']]],
+  ['affinegridattrs_5290',['AffineGridAttrs',['../structtvm_1_1relay_1_1AffineGridAttrs.html',1,'tvm::relay']]],
+  ['affinetype_5291',['AffineType',['../classtvm_1_1AffineType.html',1,'tvm']]],
+  ['affinetypenode_5292',['AffineTypeNode',['../classtvm_1_1AffineTypeNode.html',1,'tvm']]],
+  ['allclassnonmaximumsuppressionattrs_5293',['AllClassNonMaximumSuppressionAttrs',['../structtvm_1_1relay_1_1AllClassNonMaximumSuppressionAttrs.html',1,'tvm::relay']]],
+  ['allocate_5294',['Allocate',['../classtvm_1_1tir_1_1Allocate.html',1,'tvm::tir']]],
+  ['allocateconst_5295',['AllocateConst',['../classtvm_1_1tir_1_1AllocateConst.html',1,'tvm::tir']]],
+  ['allocateconstframe_5296',['AllocateConstFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateConstFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['allocateconstframenode_5297',['AllocateConstFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateConstFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['allocateconstnode_5298',['AllocateConstNode',['../classtvm_1_1tir_1_1AllocateConstNode.html',1,'tvm::tir']]],
+  ['allocatedpoolinfo_5299',['AllocatedPoolInfo',['../classtvm_1_1tir_1_1usmp_1_1AllocatedPoolInfo.html',1,'tvm::tir::usmp']]],
+  ['allocatedpoolinfonode_5300',['AllocatedPoolInfoNode',['../structtvm_1_1tir_1_1usmp_1_1AllocatedPoolInfoNode.html',1,'tvm::tir::usmp']]],
+  ['allocateframe_5301',['AllocateFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['allocateframenode_5302',['AllocateFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AllocateFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['allocatenode_5303',['AllocateNode',['../classtvm_1_1tir_1_1AllocateNode.html',1,'tvm::tir']]],
+  ['allocator_5304',['Allocator',['../classtvm_1_1runtime_1_1vm_1_1Allocator.html',1,'tvm::runtime::vm']]],
+  ['allocstorageattrs_5305',['AllocStorageAttrs',['../structtvm_1_1relay_1_1AllocStorageAttrs.html',1,'tvm::relay']]],
+  ['alloctensorattrs_5306',['AllocTensorAttrs',['../structtvm_1_1relay_1_1AllocTensorAttrs.html',1,'tvm::relay']]],
+  ['altpattern_5307',['AltPattern',['../classtvm_1_1relay_1_1AltPattern.html',1,'tvm::relay']]],
+  ['altpatternnode_5308',['AltPatternNode',['../classtvm_1_1relay_1_1AltPatternNode.html',1,'tvm::relay']]],
+  ['analyzer_5309',['Analyzer',['../classtvm_1_1arith_1_1Analyzer.html',1,'tvm::arith']]],
+  ['and_5310',['And',['../classtvm_1_1tir_1_1And.html',1,'tvm::tir']]],
+  ['andnode_5311',['AndNode',['../classtvm_1_1tir_1_1AndNode.html',1,'tvm::tir']]],
+  ['annotationstep_5312',['AnnotationStep',['../classtvm_1_1auto__scheduler_1_1AnnotationStep.html',1,'tvm::auto_scheduler']]],
+  ['annotationstepnode_5313',['AnnotationStepNode',['../classtvm_1_1auto__scheduler_1_1AnnotationStepNode.html',1,'tvm::auto_scheduler']]],
+  ['any_5314',['Any',['../classtvm_1_1tir_1_1Any.html',1,'tvm::tir']]],
+  ['anynode_5315',['AnyNode',['../classtvm_1_1tir_1_1AnyNode.html',1,'tvm::tir']]],
+  ['arangeattrs_5316',['ArangeAttrs',['../structtvm_1_1relay_1_1ArangeAttrs.html',1,'tvm::relay']]],
+  ['arginfo_5317',['ArgInfo',['../classtvm_1_1meta__schedule_1_1ArgInfo.html',1,'tvm::meta_schedule']]],
+  ['arginfonode_5318',['ArgInfoNode',['../classtvm_1_1meta__schedule_1_1ArgInfoNode.html',1,'tvm::meta_schedule']]],
+  ['argreduceattrs_5319',['ArgReduceAttrs',['../structtvm_1_1relay_1_1ArgReduceAttrs.html',1,'tvm::relay']]],
+  ['argsortattrs_5320',['ArgsortAttrs',['../structtvm_1_1relay_1_1ArgsortAttrs.html',1,'tvm::relay']]],
+  ['array_5321',['Array',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20range_20_3e_5322',['Array&lt; Range &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20region_20_3e_5323',['Array&lt; Region &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3aarith_3a_3aitersplitexpr_20_3e_5324',['Array&lt; tvm::arith::IterSplitExpr &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3aarith_3a_3aitersumexpr_20_3e_5325',['Array&lt; tvm::arith::IterSumExpr &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3aattrfieldinfo_20_3e_5326',['Array&lt; tvm::AttrFieldInfo &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3aauto_5fscheduler_3a_3aiterator_20_3e_5327',['Array&lt; tvm::auto_scheduler::Iterator &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3aauto_5fscheduler_3a_3astage_20_3e_5328',['Array&lt; tvm::auto_scheduler::Stage &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3aauto_5fscheduler_3a_3astep_20_3e_5329',['Array&lt; tvm::auto_scheduler::Step &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3aconstantinfo_20_3e_5330',['Array&lt; tvm::ConstantInfo &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3aconstantpoolinfo_20_3e_5331',['Array&lt; tvm::ConstantPoolInfo &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3aconstructor_20_3e_5332',['Array&lt; tvm::Constructor &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3adiagnostic_20_3e_5333',['Array&lt; tvm::Diagnostic &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3afloatimm_20_3e_5334',['Array&lt; tvm::FloatImm &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3ainstrument_3a_3apassinstrument_20_3e_5335',['Array&lt; tvm::instrument::PassInstrument &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3ainteger_20_3e_5336',['Array&lt; tvm::Integer &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3aintimm_20_3e_5337',['Array&lt; tvm::IntImm &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3airmodule_20_3e_5338',['Array&lt; tvm::IRModule &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3ameta_5fschedule_3a_3aarginfo_20_3e_5339',['Array&lt; tvm::meta_schedule::ArgInfo &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3ameta_5fschedule_3a_3ameasurecallback_20_3e_5340',['Array&lt; tvm::meta_schedule::MeasureCallback &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3ameta_5fschedule_3a_3ataskrecord_20_3e_5341',['Array&lt; tvm::meta_schedule::TaskRecord &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3aobjectpath_20_3e_5342',['Array&lt; tvm::ObjectPath &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3apoolinfo_20_3e_5343',['Array&lt; tvm::PoolInfo &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3aprimexpr_20_3e_5344',['Array&lt; tvm::PrimExpr &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3arange_20_3e_5345',['Array&lt; tvm::Range &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3arelay_3a_3aclause_20_3e_5346',['Array&lt; tvm::relay::Clause &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3arelay_3a_3adfpattern_20_3e_5347',['Array&lt; tvm::relay::DFPattern &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3arelay_3a_3aopimplementation_20_3e_5348',['Array&lt; tvm::relay::OpImplementation &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3arelay_3a_3aopspecialization_20_3e_5349',['Array&lt; tvm::relay::OpSpecialization &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3arelay_3a_3apattern_20_3e_5350',['Array&lt; tvm::relay::Pattern &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3arelay_3a_3avar_20_3e_5351',['Array&lt; tvm::relay::Var &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3arelayexpr_20_3e_5352',['Array&lt; tvm::RelayExpr &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3ainteger_20_3e_20_3e_5353',['Array&lt; tvm::runtime::Array&lt; tvm::Integer &gt; &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3aruntime_3a_3aarray_3c_20tvm_3a_3aprimexpr_20_3e_20_3e_5354',['Array&lt; tvm::runtime::Array&lt; tvm::PrimExpr &gt; &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3aruntime_3a_3amap_3c_20tvm_3a_3aruntime_3a_3astring_2c_20tvm_3a_3aruntime_3a_3aobjectref_20_3e_20_3e_5355',['Array&lt; tvm::runtime::Map&lt; tvm::runtime::String, tvm::runtime::ObjectRef &gt; &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3aruntime_3a_3aobjectref_20_3e_5356',['Array&lt; tvm::runtime::ObjectRef &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3aruntime_3a_3aoptional_3c_20tvm_3a_3ainteger_20_3e_20_3e_5357',['Array&lt; tvm::runtime::Optional&lt; tvm::Integer &gt; &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3aruntime_3a_3astring_20_3e_5358',['Array&lt; tvm::runtime::String &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3ascript_3a_3air_5fbuilder_3a_3airbuilderframe_20_3e_5359',['Array&lt; tvm::script::ir_builder::IRBuilderFrame &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3ascript_3a_3aprinter_3a_3aassigndoc_20_3e_5360',['Array&lt; tvm::script::printer::AssignDoc &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3ascript_3a_3aprinter_3a_3adoc_20_3e_5361',['Array&lt; tvm::script::printer::Doc &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3ascript_3a_3aprinter_3a_3aexprdoc_20_3e_5362',['Array&lt; tvm::script::printer::ExprDoc &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3ascript_3a_3aprinter_3a_3aframe_20_3e_5363',['Array&lt; tvm::script::printer::Frame &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3ascript_3a_3aprinter_3a_3aiddoc_20_3e_5364',['Array&lt; tvm::script::printer::IdDoc &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3ascript_3a_3aprinter_3a_3astmtdoc_20_3e_5365',['Array&lt; tvm::script::printer::StmtDoc &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3aspan_20_3e_5366',['Array&lt; tvm::Span &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3atarget_20_3e_5367',['Array&lt; tvm::Target &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3ate_3a_3aitervarrelation_20_3e_5368',['Array&lt; tvm::te::IterVarRelation &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3ate_3a_3aoperation_20_3e_5369',['Array&lt; tvm::te::Operation &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3ate_3a_3aschedule_20_3e_5370',['Array&lt; tvm::te::Schedule &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3ate_3a_3astage_20_3e_5371',['Array&lt; tvm::te::Stage &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3ate_3a_3atensor_20_3e_5372',['Array&lt; tvm::te::Tensor &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3atensoraffinetype_20_3e_5373',['Array&lt; tvm::TensorAffineType &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3atir_3a_3abuffer_20_3e_5374',['Array&lt; tvm::tir::Buffer &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3atir_3a_3abufferregion_20_3e_5375',['Array&lt; tvm::tir::BufferRegion &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3atir_3a_3aindexmap_20_3e_5376',['Array&lt; tvm::tir::IndexMap &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3atir_3a_3ainstruction_20_3e_5377',['Array&lt; tvm::tir::Instruction &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3atir_3a_3aitervar_20_3e_5378',['Array&lt; tvm::tir::IterVar &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3atir_3a_3amatchbufferregion_20_3e_5379',['Array&lt; tvm::tir::MatchBufferRegion &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3atir_3a_3astmt_20_3e_5380',['Array&lt; tvm::tir::Stmt &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3atir_3a_3avar_20_3e_5381',['Array&lt; tvm::tir::Var &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3atransform_3a_3apass_20_3e_5382',['Array&lt; tvm::transform::Pass &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3atype_20_3e_5383',['Array&lt; tvm::Type &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3atypeconstraint_20_3e_5384',['Array&lt; tvm::TypeConstraint &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['array_3c_20tvm_3a_3atypevar_20_3e_5385',['Array&lt; tvm::TypeVar &gt;',['../classtvm_1_1runtime_1_1Array.html',1,'tvm::runtime']]],
+  ['arrayaccessor_5386',['ArrayAccessor',['../classtvm_1_1runtime_1_1metadata_1_1ArrayAccessor.html',1,'tvm::runtime::metadata']]],
+  ['arrayaccessor_3c_20const_20char_20_2a_2c_20_3a_3atvm_3a_3aruntime_3a_3astring_20_3e_5387',['ArrayAccessor&lt; const char *, ::tvm::runtime::String &gt;',['../classtvm_1_1runtime_1_1metadata_1_1ArrayAccessor_3_01const_01char_01_5_00_01_1_1tvm_1_1runtime_1_1String_01_4.html',1,'tvm::runtime::metadata']]],
+  ['arrayhandler_5388',['ArrayHandler',['../classtvm_1_1runtime_1_1SimpleObjAllocator_1_1ArrayHandler.html',1,'tvm::runtime::SimpleObjAllocator']]],
+  ['arrayindexpath_5389',['ArrayIndexPath',['../classtvm_1_1ArrayIndexPath.html',1,'tvm']]],
+  ['arrayindexpathnode_5390',['ArrayIndexPathNode',['../classtvm_1_1ArrayIndexPathNode.html',1,'tvm']]],
+  ['arrayiterator_5391',['ArrayIterator',['../classtvm_1_1runtime_1_1metadata_1_1ArrayIterator.html',1,'tvm::runtime::metadata']]],
+  ['arraynode_5392',['ArrayNode',['../classtvm_1_1runtime_1_1ArrayNode.html',1,'tvm::runtime']]],
+  ['assertdoc_5393',['AssertDoc',['../classtvm_1_1script_1_1printer_1_1AssertDoc.html',1,'tvm::script::printer']]],
+  ['assertdocnode_5394',['AssertDocNode',['../classtvm_1_1script_1_1printer_1_1AssertDocNode.html',1,'tvm::script::printer']]],
+  ['assertframe_5395',['AssertFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AssertFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['assertframenode_5396',['AssertFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AssertFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['assertstmt_5397',['AssertStmt',['../classtvm_1_1tir_1_1AssertStmt.html',1,'tvm::tir']]],
+  ['assertstmtnode_5398',['AssertStmtNode',['../classtvm_1_1tir_1_1AssertStmtNode.html',1,'tvm::tir']]],
+  ['assigndoc_5399',['AssignDoc',['../classtvm_1_1script_1_1printer_1_1AssignDoc.html',1,'tvm::script::printer']]],
+  ['assigndocnode_5400',['AssignDocNode',['../classtvm_1_1script_1_1printer_1_1AssignDocNode.html',1,'tvm::script::printer']]],
+  ['attachmap_5401',['AttachMap',['../classtvm_1_1auto__scheduler_1_1AttachMap.html',1,'tvm::auto_scheduler']]],
+  ['attachmapnode_5402',['AttachMapNode',['../classtvm_1_1auto__scheduler_1_1AttachMapNode.html',1,'tvm::auto_scheduler']]],
+  ['attraccessdoc_5403',['AttrAccessDoc',['../classtvm_1_1script_1_1printer_1_1AttrAccessDoc.html',1,'tvm::script::printer']]],
+  ['attraccessdocnode_5404',['AttrAccessDocNode',['../classtvm_1_1script_1_1printer_1_1AttrAccessDocNode.html',1,'tvm::script::printer']]],
+  ['attrdocentry_5405',['AttrDocEntry',['../classtvm_1_1detail_1_1AttrDocEntry.html',1,'tvm::detail']]],
+  ['attrdocvisitor_5406',['AttrDocVisitor',['../classtvm_1_1detail_1_1AttrDocVisitor.html',1,'tvm::detail']]],
+  ['attrerror_5407',['AttrError',['../structtvm_1_1AttrError.html',1,'tvm']]],
+  ['attrexistvisitor_5408',['AttrExistVisitor',['../classtvm_1_1detail_1_1AttrExistVisitor.html',1,'tvm::detail']]],
+  ['attrfieldinfo_5409',['AttrFieldInfo',['../classtvm_1_1AttrFieldInfo.html',1,'tvm']]],
+  ['attrfieldinfonode_5410',['AttrFieldInfoNode',['../classtvm_1_1AttrFieldInfoNode.html',1,'tvm']]],
+  ['attrframe_5411',['AttrFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AttrFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['attrframenode_5412',['AttrFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1AttrFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['attributeaccesspath_5413',['AttributeAccessPath',['../classtvm_1_1AttributeAccessPath.html',1,'tvm']]],
+  ['attributeaccesspathnode_5414',['AttributeAccessPathNode',['../classtvm_1_1AttributeAccessPathNode.html',1,'tvm']]],
+  ['attrinitentry_5415',['AttrInitEntry',['../structtvm_1_1detail_1_1AttrInitEntry.html',1,'tvm::detail']]],
+  ['attrinitvisitor_5416',['AttrInitVisitor',['../classtvm_1_1detail_1_1AttrInitVisitor.html',1,'tvm::detail']]],
+  ['attrnondefaultvisitor_5417',['AttrNonDefaultVisitor',['../classtvm_1_1detail_1_1AttrNonDefaultVisitor.html',1,'tvm::detail']]],
+  ['attrnopentry_5418',['AttrNopEntry',['../structtvm_1_1detail_1_1AttrNopEntry.html',1,'tvm::detail']]],
+  ['attrnormalvisitor_5419',['AttrNormalVisitor',['../classtvm_1_1detail_1_1AttrNormalVisitor.html',1,'tvm::detail']]],
+  ['attrpattern_5420',['AttrPattern',['../classtvm_1_1relay_1_1AttrPattern.html',1,'tvm::relay']]],
+  ['attrpatternnode_5421',['AttrPatternNode',['../classtvm_1_1relay_1_1AttrPatternNode.html',1,'tvm::relay']]],
+  ['attrregistry_5422',['AttrRegistry',['../classtvm_1_1AttrRegistry.html',1,'tvm']]],
+  ['attrregistrymap_5423',['AttrRegistryMap',['../classtvm_1_1AttrRegistryMap.html',1,'tvm']]],
+  ['attrregistrymap_3c_20op_2c_20valuetype_20_3e_5424',['AttrRegistryMap&lt; Op, ValueType &gt;',['../classtvm_1_1AttrRegistryMap.html',1,'tvm']]],
+  ['attrregistrymap_3c_20targetkind_2c_20valuetype_20_3e_5425',['AttrRegistryMap&lt; TargetKind, ValueType &gt;',['../classtvm_1_1AttrRegistryMap.html',1,'tvm']]],
+  ['attrregistrymapcontainermap_5426',['AttrRegistryMapContainerMap',['../classtvm_1_1AttrRegistryMapContainerMap.html',1,'tvm']]],
+  ['attrs_5427',['Attrs',['../classtvm_1_1Attrs.html',1,'tvm']]],
+  ['attrsnode_5428',['AttrsNode',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20adaptivepool1dattrs_20_3e_5429',['AttrsNode&lt; AdaptivePool1DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20adaptivepool2dattrs_20_3e_5430',['AttrsNode&lt; AdaptivePool2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20adaptivepool3dattrs_20_3e_5431',['AttrsNode&lt; AdaptivePool3DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20affinegridattrs_20_3e_5432',['AttrsNode&lt; AffineGridAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20allclassnonmaximumsuppressionattrs_20_3e_5433',['AttrsNode&lt; AllClassNonMaximumSuppressionAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20allocstorageattrs_20_3e_5434',['AttrsNode&lt; AllocStorageAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20alloctensorattrs_20_3e_5435',['AttrsNode&lt; AllocTensorAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20arangeattrs_20_3e_5436',['AttrsNode&lt; ArangeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20argreduceattrs_20_3e_5437',['AttrsNode&lt; ArgReduceAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20argsortattrs_20_3e_5438',['AttrsNode&lt; ArgsortAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20autoschedulerlayouttransformattrs_20_3e_5439',['AttrsNode&lt; AutoSchedulerLayoutTransformAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20avgpool1dattrs_20_3e_5440',['AttrsNode&lt; AvgPool1DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20avgpool2dattrs_20_3e_5441',['AttrsNode&lt; AvgPool2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20avgpool3dattrs_20_3e_5442',['AttrsNode&lt; AvgPool3DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20batchmatmulattrs_20_3e_5443',['AttrsNode&lt; BatchMatmulAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20batchnormattrs_20_3e_5444',['AttrsNode&lt; BatchNormAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20batchtospacendattrs_20_3e_5445',['AttrsNode&lt; BatchToSpaceNDAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20biasaddattrs_20_3e_5446',['AttrsNode&lt; BiasAddAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20binaryconv2dattrs_20_3e_5447',['AttrsNode&lt; BinaryConv2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20binarydenseattrs_20_3e_5448',['AttrsNode&lt; BinaryDenseAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20bitpackattrs_20_3e_5449',['AttrsNode&lt; BitPackAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20broadcastattrs_20_3e_5450',['AttrsNode&lt; BroadcastAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20callloweredattrs_20_3e_5451',['AttrsNode&lt; CallLoweredAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20castattrs_20_3e_5452',['AttrsNode&lt; CastAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20casthintattrs_20_3e_5453',['AttrsNode&lt; CastHintAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20clipattrs_20_3e_5454',['AttrsNode&lt; ClipAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20compilerattrs_20_3e_5455',['AttrsNode&lt; CompilerAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20concatenateattrs_20_3e_5456',['AttrsNode&lt; ConcatenateAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20conv1dattrs_20_3e_5457',['AttrsNode&lt; Conv1DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20conv1dtransposeattrs_20_3e_5458',['AttrsNode&lt; Conv1DTransposeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20conv2dattrs_20_3e_5459',['AttrsNode&lt; Conv2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20conv2dtransposeattrs_20_3e_5460',['AttrsNode&lt; Conv2DTransposeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20conv2dwinogradattrs_20_3e_5461',['AttrsNode&lt; Conv2DWinogradAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20conv2dwinogradnnpackweighttransformattrs_20_3e_5462',['AttrsNode&lt; Conv2DWinogradNNPACKWeightTransformAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20conv3dattrs_20_3e_5463',['AttrsNode&lt; Conv3DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20conv3dtransposeattrs_20_3e_5464',['AttrsNode&lt; Conv3DTransposeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20conv3dwinogradattrs_20_3e_5465',['AttrsNode&lt; Conv3DWinogradAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20convgemmweighttransformattrs_20_3e_5466',['AttrsNode&lt; ConvGemmWeightTransformAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20convwinogradweighttransformattrs_20_3e_5467',['AttrsNode&lt; ConvWinogradWeightTransformAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20correlationattrs_20_3e_5468',['AttrsNode&lt; CorrelationAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20cropandresizeattrs_20_3e_5469',['AttrsNode&lt; CropAndResizeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20debugattrs_20_3e_5470',['AttrsNode&lt; DebugAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20deformableconv2dattrs_20_3e_5471',['AttrsNode&lt; DeformableConv2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20denseattrs_20_3e_5472',['AttrsNode&lt; DenseAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20densepackattrs_20_3e_5473',['AttrsNode&lt; DensePackAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20dequantizeattrs_20_3e_5474',['AttrsNode&lt; DequantizeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20devicecopyattrs_20_3e_5475',['AttrsNode&lt; DeviceCopyAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20dftattrs_20_3e_5476',['AttrsNode&lt; DFTAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20dilateattrs_20_3e_5477',['AttrsNode&lt; DilateAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20dilation2dattrs_20_3e_5478',['AttrsNode&lt; Dilation2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20dropoutattrs_20_3e_5479',['AttrsNode&lt; DropoutAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20dynexpanddimsattrs_20_3e_5480',['AttrsNode&lt; DynExpandDimsAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20einsumattrs_20_3e_5481',['AttrsNode&lt; EinsumAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20expanddimsattrs_20_3e_5482',['AttrsNode&lt; ExpandDimsAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20fifobufferattrs_20_3e_5483',['AttrsNode&lt; FIFOBufferAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20fixedpointmultiplyattrs_20_3e_5484',['AttrsNode&lt; FixedPointMultiplyAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20fixedpointmultiplyperaxisattrs_20_3e_5485',['AttrsNode&lt; FixedPointMultiplyPerAxisAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20gatherattrs_20_3e_5486',['AttrsNode&lt; GatherAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20gatherndattrs_20_3e_5487',['AttrsNode&lt; GatherNDAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20getvalidcountsattrs_20_3e_5488',['AttrsNode&lt; GetValidCountsAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20globalpool2dattrs_20_3e_5489',['AttrsNode&lt; GlobalPool2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20gridsampleattrs_20_3e_5490',['AttrsNode&lt; GridSampleAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20groupnormattrs_20_3e_5491',['AttrsNode&lt; GroupNormAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20initopattrs_20_3e_5492',['AttrsNode&lt; InitOpAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20instancenormattrs_20_3e_5493',['AttrsNode&lt; InstanceNormAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20l2normalizeattrs_20_3e_5494',['AttrsNode&lt; L2NormalizeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20layernormattrs_20_3e_5495',['AttrsNode&lt; LayerNormAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20layouttransformattrs_20_3e_5496',['AttrsNode&lt; LayoutTransformAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20leakyreluattrs_20_3e_5497',['AttrsNode&lt; LeakyReluAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20lrnattrs_20_3e_5498',['AttrsNode&lt; LRNAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20matmulattrs_20_3e_5499',['AttrsNode&lt; MatmulAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20matrixsetdiagattrs_20_3e_5500',['AttrsNode&lt; MatrixSetDiagAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20maxpool1dattrs_20_3e_5501',['AttrsNode&lt; MaxPool1DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20maxpool2dattrs_20_3e_5502',['AttrsNode&lt; MaxPool2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20maxpool3dattrs_20_3e_5503',['AttrsNode&lt; MaxPool3DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20meshgridattrs_20_3e_5504',['AttrsNode&lt; MeshgridAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20metaschedulelayouttransformattrs_20_3e_5505',['AttrsNode&lt; MetaScheduleLayoutTransformAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20mirrorpadattrs_20_3e_5506',['AttrsNode&lt; MirrorPadAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20multiboxpriorattrs_20_3e_5507',['AttrsNode&lt; MultiBoxPriorAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20multiboxtransformlocattrs_20_3e_5508',['AttrsNode&lt; MultiBoxTransformLocAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20multinomialattrs_20_3e_5509',['AttrsNode&lt; MultinomialAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20ndarraysizeattrs_20_3e_5510',['AttrsNode&lt; NdarraySizeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20nlllossattrs_20_3e_5511',['AttrsNode&lt; NLLLossAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20nonmaximumsuppressionattrs_20_3e_5512',['AttrsNode&lt; NonMaximumSuppressionAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20normalattrs_20_3e_5513',['AttrsNode&lt; NormalAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20ondeviceattrs_20_3e_5514',['AttrsNode&lt; OnDeviceAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20onehotattrs_20_3e_5515',['AttrsNode&lt; OneHotAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20padattrs_20_3e_5516',['AttrsNode&lt; PadAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20preluattrs_20_3e_5517',['AttrsNode&lt; PReluAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20proposalattrs_20_3e_5518',['AttrsNode&lt; ProposalAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20quantizeattrs_20_3e_5519',['AttrsNode&lt; QuantizeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20reduceattrs_20_3e_5520',['AttrsNode&lt; ReduceAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20regularnonmaximumsuppressionattrs_20_3e_5521',['AttrsNode&lt; RegularNonMaximumSuppressionAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20repeatattrs_20_3e_5522',['AttrsNode&lt; RepeatAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20requantizeattrs_20_3e_5523',['AttrsNode&lt; RequantizeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20reshapeattrs_20_3e_5524',['AttrsNode&lt; ReshapeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20reshapelikeattrs_20_3e_5525',['AttrsNode&lt; ReshapeLikeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20reshapetensorattrs_20_3e_5526',['AttrsNode&lt; ReshapeTensorAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20resize1dattrs_20_3e_5527',['AttrsNode&lt; Resize1DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20resize2dattrs_20_3e_5528',['AttrsNode&lt; Resize2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20resize3dattrs_20_3e_5529',['AttrsNode&lt; Resize3DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20reverseattrs_20_3e_5530',['AttrsNode&lt; ReverseAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20reversesequenceattrs_20_3e_5531',['AttrsNode&lt; ReverseSequenceAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20roialignattrs_20_3e_5532',['AttrsNode&lt; ROIAlignAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20roipoolattrs_20_3e_5533',['AttrsNode&lt; ROIPoolAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20scanopattrs_20_3e_5534',['AttrsNode&lt; ScanopAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20scatterelementsattrs_20_3e_5535',['AttrsNode&lt; ScatterElementsAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20scatterndattrs_20_3e_5536',['AttrsNode&lt; ScatterNDAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20searchsortedattrs_20_3e_5537',['AttrsNode&lt; SearchSortedAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20sequencemaskattrs_20_3e_5538',['AttrsNode&lt; SequenceMaskAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20shapefuncattrs_20_3e_5539',['AttrsNode&lt; ShapeFuncAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20shapeofattrs_20_3e_5540',['AttrsNode&lt; ShapeOfAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20simulatedquantizeattrs_20_3e_5541',['AttrsNode&lt; SimulatedQuantizeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20slicelikeattrs_20_3e_5542',['AttrsNode&lt; SliceLikeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20slidingwindowattrs_20_3e_5543',['AttrsNode&lt; SlidingWindowAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20softmaxattrs_20_3e_5544',['AttrsNode&lt; SoftmaxAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20spacetobatchndattrs_20_3e_5545',['AttrsNode&lt; SpaceToBatchNDAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20sparseconv2dattrs_20_3e_5546',['AttrsNode&lt; SparseConv2DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20sparsedenseattrs_20_3e_5547',['AttrsNode&lt; SparseDenseAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20sparsetodenseattrs_20_3e_5548',['AttrsNode&lt; SparseToDenseAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20sparsetransposeattrs_20_3e_5549',['AttrsNode&lt; SparseTransposeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20splitattrs_20_3e_5550',['AttrsNode&lt; SplitAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20squeezeattrs_20_3e_5551',['AttrsNode&lt; SqueezeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20stackattrs_20_3e_5552',['AttrsNode&lt; StackAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20stftattrs_20_3e_5553',['AttrsNode&lt; StftAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20stridedsliceattrs_20_3e_5554',['AttrsNode&lt; StridedSliceAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20subpixelattrs_20_3e_5555',['AttrsNode&lt; SubPixelAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20takeattrs_20_3e_5556',['AttrsNode&lt; TakeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20threefrygenerateattrs_20_3e_5557',['AttrsNode&lt; ThreefryGenerateAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20tileattrs_20_3e_5558',['AttrsNode&lt; TileAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20topkattrs_20_3e_5559',['AttrsNode&lt; TopKAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20transposeattrs_20_3e_5560',['AttrsNode&lt; TransposeAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20triluattrs_20_3e_5561',['AttrsNode&lt; TriluAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20uniformattrs_20_3e_5562',['AttrsNode&lt; UniformAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20uniqueattrs_20_3e_5563',['AttrsNode&lt; UniqueAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20upsampling3dattrs_20_3e_5564',['AttrsNode&lt; UpSampling3DAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20upsamplingattrs_20_3e_5565',['AttrsNode&lt; UpSamplingAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20varianceattrs_20_3e_5566',['AttrsNode&lt; VarianceAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20virtualdevicenode_20_3e_5567',['AttrsNode&lt; VirtualDeviceNode &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrsnode_3c_20yoloreorgattrs_20_3e_5568',['AttrsNode&lt; YoloReorgAttrs &gt;',['../classtvm_1_1AttrsNode.html',1,'tvm']]],
+  ['attrssequalvisitor_5569',['AttrsSEqualVisitor',['../classtvm_1_1detail_1_1AttrsSEqualVisitor.html',1,'tvm::detail']]],
+  ['attrsshashvisitor_5570',['AttrsSHashVisitor',['../classtvm_1_1detail_1_1AttrsSHashVisitor.html',1,'tvm::detail']]],
+  ['attrstmt_5571',['AttrStmt',['../classtvm_1_1tir_1_1AttrStmt.html',1,'tvm::tir']]],
+  ['attrstmtnode_5572',['AttrStmtNode',['../classtvm_1_1tir_1_1AttrStmtNode.html',1,'tvm::tir']]],
+  ['attrtriggernondefaultentry_5573',['AttrTriggerNonDefaultEntry',['../structtvm_1_1detail_1_1AttrTriggerNonDefaultEntry.html',1,'tvm::detail']]],
+  ['attrvisitor_5574',['AttrVisitor',['../classtvm_1_1AttrVisitor.html',1,'tvm']]],
+  ['autoschedulerlayouttransformattrs_5575',['AutoSchedulerLayoutTransformAttrs',['../structtvm_1_1relay_1_1AutoSchedulerLayoutTransformAttrs.html',1,'tvm::relay']]],
+  ['avgpool1dattrs_5576',['AvgPool1DAttrs',['../structtvm_1_1relay_1_1AvgPool1DAttrs.html',1,'tvm::relay']]],
+  ['avgpool2dattrs_5577',['AvgPool2DAttrs',['../structtvm_1_1relay_1_1AvgPool2DAttrs.html',1,'tvm::relay']]],
+  ['avgpool3dattrs_5578',['AvgPool3DAttrs',['../structtvm_1_1relay_1_1AvgPool3DAttrs.html',1,'tvm::relay']]]
 ];
diff --git a/docs/reference/api/doxygen/search/classes_1.js b/docs/reference/api/doxygen/search/classes_1.js
index ae6b5aef44..378ca4014a 100644
--- a/docs/reference/api/doxygen/search/classes_1.js
+++ b/docs/reference/api/doxygen/search/classes_1.js
@@ -1,73 +1,73 @@
 var searchData=
 [
-  ['baseattrsnode_5577',['BaseAttrsNode',['../classtvm_1_1BaseAttrsNode.html',1,'tvm']]],
-  ['basecomputeopnode_5578',['BaseComputeOpNode',['../classtvm_1_1te_1_1BaseComputeOpNode.html',1,'tvm::te']]],
-  ['baseexpr_5579',['BaseExpr',['../classtvm_1_1BaseExpr.html',1,'tvm']]],
-  ['baseexprnode_5580',['BaseExprNode',['../classtvm_1_1BaseExprNode.html',1,'tvm']]],
-  ['basefunc_5581',['BaseFunc',['../classtvm_1_1BaseFunc.html',1,'tvm']]],
-  ['basefuncnode_5582',['BaseFuncNode',['../classtvm_1_1BaseFuncNode.html',1,'tvm']]],
-  ['basetensortype_5583',['BaseTensorType',['../classtvm_1_1BaseTensorType.html',1,'tvm']]],
-  ['basetensortypenode_5584',['BaseTensorTypeNode',['../classtvm_1_1BaseTensorTypeNode.html',1,'tvm']]],
-  ['basevalueequal_5585',['BaseValueEqual',['../classtvm_1_1BaseValueEqual.html',1,'tvm']]],
-  ['basevaluehash_5586',['BaseValueHash',['../classtvm_1_1BaseValueHash.html',1,'tvm']]],
-  ['batchmatmulattrs_5587',['BatchMatmulAttrs',['../structtvm_1_1relay_1_1BatchMatmulAttrs.html',1,'tvm::relay']]],
-  ['batchnormattrs_5588',['BatchNormAttrs',['../structtvm_1_1relay_1_1BatchNormAttrs.html',1,'tvm::relay']]],
-  ['batchtospacendattrs_5589',['BatchToSpaceNDAttrs',['../structtvm_1_1relay_1_1BatchToSpaceNDAttrs.html',1,'tvm::relay']]],
-  ['biasaddattrs_5590',['BiasAddAttrs',['../structtvm_1_1relay_1_1BiasAddAttrs.html',1,'tvm::relay']]],
-  ['bijectivelayout_5591',['BijectiveLayout',['../classtvm_1_1tir_1_1BijectiveLayout.html',1,'tvm::tir']]],
-  ['bijectivelayoutnode_5592',['BijectiveLayoutNode',['../classtvm_1_1tir_1_1BijectiveLayoutNode.html',1,'tvm::tir']]],
-  ['binaryconv2dattrs_5593',['BinaryConv2DAttrs',['../structtvm_1_1relay_1_1BinaryConv2DAttrs.html',1,'tvm::relay']]],
-  ['binarydenseattrs_5594',['BinaryDenseAttrs',['../structtvm_1_1relay_1_1BinaryDenseAttrs.html',1,'tvm::relay']]],
-  ['binaryopnode_5595',['BinaryOpNode',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
-  ['binaryopnode_3c_20addnode_20_3e_5596',['BinaryOpNode&lt; AddNode &gt;',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
-  ['binaryopnode_3c_20divnode_20_3e_5597',['BinaryOpNode&lt; DivNode &gt;',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
-  ['binaryopnode_3c_20floordivnode_20_3e_5598',['BinaryOpNode&lt; FloorDivNode &gt;',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
-  ['binaryopnode_3c_20floormodnode_20_3e_5599',['BinaryOpNode&lt; FloorModNode &gt;',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
-  ['binaryopnode_3c_20maxnode_20_3e_5600',['BinaryOpNode&lt; MaxNode &gt;',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
-  ['binaryopnode_3c_20minnode_20_3e_5601',['BinaryOpNode&lt; MinNode &gt;',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
-  ['binaryopnode_3c_20modnode_20_3e_5602',['BinaryOpNode&lt; ModNode &gt;',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
-  ['binaryopnode_3c_20mulnode_20_3e_5603',['BinaryOpNode&lt; MulNode &gt;',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
-  ['binaryopnode_3c_20subnode_20_3e_5604',['BinaryOpNode&lt; SubNode &gt;',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
-  ['bitpackattrs_5605',['BitPackAttrs',['../structtvm_1_1relay_1_1BitPackAttrs.html',1,'tvm::relay']]],
-  ['block_5606',['Block',['../classtvm_1_1tir_1_1Block.html',1,'tvm::tir']]],
-  ['blockdependenceinfo_5607',['BlockDependenceInfo',['../classtvm_1_1tir_1_1BlockDependenceInfo.html',1,'tvm::tir']]],
-  ['blockdependenceinfonode_5608',['BlockDependenceInfoNode',['../classtvm_1_1tir_1_1BlockDependenceInfoNode.html',1,'tvm::tir']]],
-  ['blockframe_5609',['BlockFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['blockframenode_5610',['BlockFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['blockinfo_5611',['BlockInfo',['../structtvm_1_1tir_1_1BlockInfo.html',1,'tvm::tir']]],
-  ['blockinitframe_5612',['BlockInitFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockInitFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['blockinitframenode_5613',['BlockInitFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockInitFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['blocknode_5614',['BlockNode',['../classtvm_1_1tir_1_1BlockNode.html',1,'tvm::tir']]],
-  ['blockrealize_5615',['BlockRealize',['../classtvm_1_1tir_1_1BlockRealize.html',1,'tvm::tir']]],
-  ['blockrealizenode_5616',['BlockRealizeNode',['../classtvm_1_1tir_1_1BlockRealizeNode.html',1,'tvm::tir']]],
-  ['blockrv_5617',['BlockRV',['../classtvm_1_1tir_1_1BlockRV.html',1,'tvm::tir']]],
-  ['blockrvnode_5618',['BlockRVNode',['../classtvm_1_1tir_1_1BlockRVNode.html',1,'tvm::tir']]],
-  ['blockscope_5619',['BlockScope',['../classtvm_1_1tir_1_1BlockScope.html',1,'tvm::tir']]],
-  ['blockscopenode_5620',['BlockScopeNode',['../classtvm_1_1tir_1_1BlockScopeNode.html',1,'tvm::tir']]],
-  ['bool_5621',['Bool',['../classtvm_1_1Bool.html',1,'tvm']]],
-  ['broadcast_5622',['Broadcast',['../classtvm_1_1tir_1_1Broadcast.html',1,'tvm::tir']]],
-  ['broadcastattrs_5623',['BroadcastAttrs',['../structtvm_1_1relay_1_1qnn_1_1BroadcastAttrs.html',1,'tvm::relay::qnn']]],
-  ['broadcastnode_5624',['BroadcastNode',['../classtvm_1_1tir_1_1BroadcastNode.html',1,'tvm::tir']]],
-  ['buffer_5625',['Buffer',['../structtvm_1_1runtime_1_1vm_1_1Buffer.html',1,'tvm::runtime::vm::Buffer'],['../classtvm_1_1tir_1_1Buffer.html',1,'tvm::tir::Buffer']]],
-  ['bufferinfo_5626',['BufferInfo',['../classtvm_1_1tir_1_1usmp_1_1BufferInfo.html',1,'tvm::tir::usmp']]],
-  ['bufferinfoanalysis_5627',['BufferInfoAnalysis',['../classtvm_1_1tir_1_1usmp_1_1BufferInfoAnalysis.html',1,'tvm::tir::usmp']]],
-  ['bufferinfoanalysisnode_5628',['BufferInfoAnalysisNode',['../structtvm_1_1tir_1_1usmp_1_1BufferInfoAnalysisNode.html',1,'tvm::tir::usmp']]],
-  ['bufferinfonode_5629',['BufferInfoNode',['../structtvm_1_1tir_1_1usmp_1_1BufferInfoNode.html',1,'tvm::tir::usmp']]],
-  ['bufferload_5630',['BufferLoad',['../classtvm_1_1tir_1_1BufferLoad.html',1,'tvm::tir']]],
-  ['bufferloadnode_5631',['BufferLoadNode',['../classtvm_1_1tir_1_1BufferLoadNode.html',1,'tvm::tir']]],
-  ['buffernode_5632',['BufferNode',['../classtvm_1_1tir_1_1BufferNode.html',1,'tvm::tir']]],
-  ['bufferrealize_5633',['BufferRealize',['../classtvm_1_1tir_1_1BufferRealize.html',1,'tvm::tir']]],
-  ['bufferrealizenode_5634',['BufferRealizeNode',['../classtvm_1_1tir_1_1BufferRealizeNode.html',1,'tvm::tir']]],
-  ['bufferregion_5635',['BufferRegion',['../classtvm_1_1tir_1_1BufferRegion.html',1,'tvm::tir']]],
-  ['bufferregionnode_5636',['BufferRegionNode',['../classtvm_1_1tir_1_1BufferRegionNode.html',1,'tvm::tir']]],
-  ['bufferstore_5637',['BufferStore',['../classtvm_1_1tir_1_1BufferStore.html',1,'tvm::tir']]],
-  ['bufferstorenode_5638',['BufferStoreNode',['../classtvm_1_1tir_1_1BufferStoreNode.html',1,'tvm::tir']]],
-  ['builder_5639',['Builder',['../classtvm_1_1meta__schedule_1_1Builder.html',1,'tvm::meta_schedule']]],
-  ['builderinput_5640',['BuilderInput',['../classtvm_1_1meta__schedule_1_1BuilderInput.html',1,'tvm::meta_schedule']]],
-  ['builderinputnode_5641',['BuilderInputNode',['../classtvm_1_1meta__schedule_1_1BuilderInputNode.html',1,'tvm::meta_schedule']]],
-  ['buildernode_5642',['BuilderNode',['../classtvm_1_1meta__schedule_1_1BuilderNode.html',1,'tvm::meta_schedule']]],
-  ['builderresult_5643',['BuilderResult',['../classtvm_1_1meta__schedule_1_1BuilderResult.html',1,'tvm::meta_schedule']]],
-  ['builderresultnode_5644',['BuilderResultNode',['../classtvm_1_1meta__schedule_1_1BuilderResultNode.html',1,'tvm::meta_schedule']]],
-  ['buildresult_5645',['BuildResult',['../classtvm_1_1auto__scheduler_1_1BuildResult.html',1,'tvm::auto_scheduler']]],
-  ['buildresultnode_5646',['BuildResultNode',['../classtvm_1_1auto__scheduler_1_1BuildResultNode.html',1,'tvm::auto_scheduler']]]
+  ['baseattrsnode_5579',['BaseAttrsNode',['../classtvm_1_1BaseAttrsNode.html',1,'tvm']]],
+  ['basecomputeopnode_5580',['BaseComputeOpNode',['../classtvm_1_1te_1_1BaseComputeOpNode.html',1,'tvm::te']]],
+  ['baseexpr_5581',['BaseExpr',['../classtvm_1_1BaseExpr.html',1,'tvm']]],
+  ['baseexprnode_5582',['BaseExprNode',['../classtvm_1_1BaseExprNode.html',1,'tvm']]],
+  ['basefunc_5583',['BaseFunc',['../classtvm_1_1BaseFunc.html',1,'tvm']]],
+  ['basefuncnode_5584',['BaseFuncNode',['../classtvm_1_1BaseFuncNode.html',1,'tvm']]],
+  ['basetensortype_5585',['BaseTensorType',['../classtvm_1_1BaseTensorType.html',1,'tvm']]],
+  ['basetensortypenode_5586',['BaseTensorTypeNode',['../classtvm_1_1BaseTensorTypeNode.html',1,'tvm']]],
+  ['basevalueequal_5587',['BaseValueEqual',['../classtvm_1_1BaseValueEqual.html',1,'tvm']]],
+  ['basevaluehash_5588',['BaseValueHash',['../classtvm_1_1BaseValueHash.html',1,'tvm']]],
+  ['batchmatmulattrs_5589',['BatchMatmulAttrs',['../structtvm_1_1relay_1_1BatchMatmulAttrs.html',1,'tvm::relay']]],
+  ['batchnormattrs_5590',['BatchNormAttrs',['../structtvm_1_1relay_1_1BatchNormAttrs.html',1,'tvm::relay']]],
+  ['batchtospacendattrs_5591',['BatchToSpaceNDAttrs',['../structtvm_1_1relay_1_1BatchToSpaceNDAttrs.html',1,'tvm::relay']]],
+  ['biasaddattrs_5592',['BiasAddAttrs',['../structtvm_1_1relay_1_1BiasAddAttrs.html',1,'tvm::relay']]],
+  ['bijectivelayout_5593',['BijectiveLayout',['../classtvm_1_1tir_1_1BijectiveLayout.html',1,'tvm::tir']]],
+  ['bijectivelayoutnode_5594',['BijectiveLayoutNode',['../classtvm_1_1tir_1_1BijectiveLayoutNode.html',1,'tvm::tir']]],
+  ['binaryconv2dattrs_5595',['BinaryConv2DAttrs',['../structtvm_1_1relay_1_1BinaryConv2DAttrs.html',1,'tvm::relay']]],
+  ['binarydenseattrs_5596',['BinaryDenseAttrs',['../structtvm_1_1relay_1_1BinaryDenseAttrs.html',1,'tvm::relay']]],
+  ['binaryopnode_5597',['BinaryOpNode',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
+  ['binaryopnode_3c_20addnode_20_3e_5598',['BinaryOpNode&lt; AddNode &gt;',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
+  ['binaryopnode_3c_20divnode_20_3e_5599',['BinaryOpNode&lt; DivNode &gt;',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
+  ['binaryopnode_3c_20floordivnode_20_3e_5600',['BinaryOpNode&lt; FloorDivNode &gt;',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
+  ['binaryopnode_3c_20floormodnode_20_3e_5601',['BinaryOpNode&lt; FloorModNode &gt;',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
+  ['binaryopnode_3c_20maxnode_20_3e_5602',['BinaryOpNode&lt; MaxNode &gt;',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
+  ['binaryopnode_3c_20minnode_20_3e_5603',['BinaryOpNode&lt; MinNode &gt;',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
+  ['binaryopnode_3c_20modnode_20_3e_5604',['BinaryOpNode&lt; ModNode &gt;',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
+  ['binaryopnode_3c_20mulnode_20_3e_5605',['BinaryOpNode&lt; MulNode &gt;',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
+  ['binaryopnode_3c_20subnode_20_3e_5606',['BinaryOpNode&lt; SubNode &gt;',['../classtvm_1_1tir_1_1BinaryOpNode.html',1,'tvm::tir']]],
+  ['bitpackattrs_5607',['BitPackAttrs',['../structtvm_1_1relay_1_1BitPackAttrs.html',1,'tvm::relay']]],
+  ['block_5608',['Block',['../classtvm_1_1tir_1_1Block.html',1,'tvm::tir']]],
+  ['blockdependenceinfo_5609',['BlockDependenceInfo',['../classtvm_1_1tir_1_1BlockDependenceInfo.html',1,'tvm::tir']]],
+  ['blockdependenceinfonode_5610',['BlockDependenceInfoNode',['../classtvm_1_1tir_1_1BlockDependenceInfoNode.html',1,'tvm::tir']]],
+  ['blockframe_5611',['BlockFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['blockframenode_5612',['BlockFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['blockinfo_5613',['BlockInfo',['../structtvm_1_1tir_1_1BlockInfo.html',1,'tvm::tir']]],
+  ['blockinitframe_5614',['BlockInitFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockInitFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['blockinitframenode_5615',['BlockInitFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1BlockInitFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['blocknode_5616',['BlockNode',['../classtvm_1_1tir_1_1BlockNode.html',1,'tvm::tir']]],
+  ['blockrealize_5617',['BlockRealize',['../classtvm_1_1tir_1_1BlockRealize.html',1,'tvm::tir']]],
+  ['blockrealizenode_5618',['BlockRealizeNode',['../classtvm_1_1tir_1_1BlockRealizeNode.html',1,'tvm::tir']]],
+  ['blockrv_5619',['BlockRV',['../classtvm_1_1tir_1_1BlockRV.html',1,'tvm::tir']]],
+  ['blockrvnode_5620',['BlockRVNode',['../classtvm_1_1tir_1_1BlockRVNode.html',1,'tvm::tir']]],
+  ['blockscope_5621',['BlockScope',['../classtvm_1_1tir_1_1BlockScope.html',1,'tvm::tir']]],
+  ['blockscopenode_5622',['BlockScopeNode',['../classtvm_1_1tir_1_1BlockScopeNode.html',1,'tvm::tir']]],
+  ['bool_5623',['Bool',['../classtvm_1_1Bool.html',1,'tvm']]],
+  ['broadcast_5624',['Broadcast',['../classtvm_1_1tir_1_1Broadcast.html',1,'tvm::tir']]],
+  ['broadcastattrs_5625',['BroadcastAttrs',['../structtvm_1_1relay_1_1qnn_1_1BroadcastAttrs.html',1,'tvm::relay::qnn']]],
+  ['broadcastnode_5626',['BroadcastNode',['../classtvm_1_1tir_1_1BroadcastNode.html',1,'tvm::tir']]],
+  ['buffer_5627',['Buffer',['../structtvm_1_1runtime_1_1vm_1_1Buffer.html',1,'tvm::runtime::vm::Buffer'],['../classtvm_1_1tir_1_1Buffer.html',1,'tvm::tir::Buffer']]],
+  ['bufferinfo_5628',['BufferInfo',['../classtvm_1_1tir_1_1usmp_1_1BufferInfo.html',1,'tvm::tir::usmp']]],
+  ['bufferinfoanalysis_5629',['BufferInfoAnalysis',['../classtvm_1_1tir_1_1usmp_1_1BufferInfoAnalysis.html',1,'tvm::tir::usmp']]],
+  ['bufferinfoanalysisnode_5630',['BufferInfoAnalysisNode',['../structtvm_1_1tir_1_1usmp_1_1BufferInfoAnalysisNode.html',1,'tvm::tir::usmp']]],
+  ['bufferinfonode_5631',['BufferInfoNode',['../structtvm_1_1tir_1_1usmp_1_1BufferInfoNode.html',1,'tvm::tir::usmp']]],
+  ['bufferload_5632',['BufferLoad',['../classtvm_1_1tir_1_1BufferLoad.html',1,'tvm::tir']]],
+  ['bufferloadnode_5633',['BufferLoadNode',['../classtvm_1_1tir_1_1BufferLoadNode.html',1,'tvm::tir']]],
+  ['buffernode_5634',['BufferNode',['../classtvm_1_1tir_1_1BufferNode.html',1,'tvm::tir']]],
+  ['bufferrealize_5635',['BufferRealize',['../classtvm_1_1tir_1_1BufferRealize.html',1,'tvm::tir']]],
+  ['bufferrealizenode_5636',['BufferRealizeNode',['../classtvm_1_1tir_1_1BufferRealizeNode.html',1,'tvm::tir']]],
+  ['bufferregion_5637',['BufferRegion',['../classtvm_1_1tir_1_1BufferRegion.html',1,'tvm::tir']]],
+  ['bufferregionnode_5638',['BufferRegionNode',['../classtvm_1_1tir_1_1BufferRegionNode.html',1,'tvm::tir']]],
+  ['bufferstore_5639',['BufferStore',['../classtvm_1_1tir_1_1BufferStore.html',1,'tvm::tir']]],
+  ['bufferstorenode_5640',['BufferStoreNode',['../classtvm_1_1tir_1_1BufferStoreNode.html',1,'tvm::tir']]],
+  ['builder_5641',['Builder',['../classtvm_1_1meta__schedule_1_1Builder.html',1,'tvm::meta_schedule']]],
+  ['builderinput_5642',['BuilderInput',['../classtvm_1_1meta__schedule_1_1BuilderInput.html',1,'tvm::meta_schedule']]],
+  ['builderinputnode_5643',['BuilderInputNode',['../classtvm_1_1meta__schedule_1_1BuilderInputNode.html',1,'tvm::meta_schedule']]],
+  ['buildernode_5644',['BuilderNode',['../classtvm_1_1meta__schedule_1_1BuilderNode.html',1,'tvm::meta_schedule']]],
+  ['builderresult_5645',['BuilderResult',['../classtvm_1_1meta__schedule_1_1BuilderResult.html',1,'tvm::meta_schedule']]],
+  ['builderresultnode_5646',['BuilderResultNode',['../classtvm_1_1meta__schedule_1_1BuilderResultNode.html',1,'tvm::meta_schedule']]],
+  ['buildresult_5647',['BuildResult',['../classtvm_1_1auto__scheduler_1_1BuildResult.html',1,'tvm::auto_scheduler']]],
+  ['buildresultnode_5648',['BuildResultNode',['../classtvm_1_1auto__scheduler_1_1BuildResultNode.html',1,'tvm::auto_scheduler']]]
 ];
diff --git a/docs/reference/api/doxygen/search/classes_10.js b/docs/reference/api/doxygen/search/classes_10.js
index f67f23b2c0..d9ec6152eb 100644
--- a/docs/reference/api/doxygen/search/classes_10.js
+++ b/docs/reference/api/doxygen/search/classes_10.js
@@ -1,135 +1,135 @@
 var searchData=
 [
-  ['scanop_6364',['ScanOp',['../classtvm_1_1te_1_1ScanOp.html',1,'tvm::te']]],
-  ['scanopattrs_6365',['ScanopAttrs',['../structtvm_1_1relay_1_1ScanopAttrs.html',1,'tvm::relay']]],
-  ['scanopnode_6366',['ScanOpNode',['../classtvm_1_1te_1_1ScanOpNode.html',1,'tvm::te']]],
-  ['scatterelementsattrs_6367',['ScatterElementsAttrs',['../structtvm_1_1relay_1_1ScatterElementsAttrs.html',1,'tvm::relay']]],
-  ['scatterndattrs_6368',['ScatterNDAttrs',['../structtvm_1_1relay_1_1ScatterNDAttrs.html',1,'tvm::relay']]],
-  ['schedule_6369',['Schedule',['../classtvm_1_1te_1_1Schedule.html',1,'tvm::te::Schedule'],['../classtvm_1_1tir_1_1Schedule.html',1,'tvm::tir::Schedule']]],
-  ['schedulecontext_6370',['ScheduleContext',['../classtvm_1_1te_1_1ScheduleContext.html',1,'tvm::te']]],
-  ['schedulenode_6371',['ScheduleNode',['../classtvm_1_1te_1_1ScheduleNode.html',1,'tvm::te::ScheduleNode'],['../classtvm_1_1tir_1_1ScheduleNode.html',1,'tvm::tir::ScheduleNode']]],
-  ['schedulerule_6372',['ScheduleRule',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html',1,'tvm::meta_schedule']]],
-  ['schedulerulenode_6373',['ScheduleRuleNode',['../classtvm_1_1meta__schedule_1_1ScheduleRuleNode.html',1,'tvm::meta_schedule']]],
-  ['schedulestate_6374',['ScheduleState',['../classtvm_1_1tir_1_1ScheduleState.html',1,'tvm::tir']]],
-  ['schedulestatenode_6375',['ScheduleStateNode',['../classtvm_1_1tir_1_1ScheduleStateNode.html',1,'tvm::tir']]],
-  ['scopedoc_6376',['ScopeDoc',['../classtvm_1_1script_1_1printer_1_1ScopeDoc.html',1,'tvm::script::printer']]],
-  ['scopedocnode_6377',['ScopeDocNode',['../classtvm_1_1script_1_1printer_1_1ScopeDocNode.html',1,'tvm::script::printer']]],
-  ['scopedtimer_6378',['ScopedTimer',['../classtvm_1_1meta__schedule_1_1ScopedTimer.html',1,'tvm::meta_schedule']]],
-  ['searchcallback_6379',['SearchCallback',['../classtvm_1_1auto__scheduler_1_1SearchCallback.html',1,'tvm::auto_scheduler']]],
-  ['searchcallbacknode_6380',['SearchCallbackNode',['../classtvm_1_1auto__scheduler_1_1SearchCallbackNode.html',1,'tvm::auto_scheduler']]],
-  ['searchpolicy_6381',['SearchPolicy',['../classtvm_1_1auto__scheduler_1_1SearchPolicy.html',1,'tvm::auto_scheduler']]],
-  ['searchpolicykey_6382',['SearchPolicyKey',['../structtvm_1_1auto__scheduler_1_1SearchPolicyKey.html',1,'tvm::auto_scheduler']]],
-  ['searchpolicynode_6383',['SearchPolicyNode',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html',1,'tvm::auto_scheduler']]],
-  ['searchsortedattrs_6384',['SearchSortedAttrs',['../structtvm_1_1relay_1_1SearchSortedAttrs.html',1,'tvm::relay']]],
-  ['searchstrategy_6385',['SearchStrategy',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html',1,'tvm::meta_schedule']]],
-  ['searchstrategynode_6386',['SearchStrategyNode',['../classtvm_1_1meta__schedule_1_1SearchStrategyNode.html',1,'tvm::meta_schedule']]],
-  ['searchtask_6387',['SearchTask',['../classtvm_1_1auto__scheduler_1_1SearchTask.html',1,'tvm::auto_scheduler']]],
-  ['searchtasknode_6388',['SearchTaskNode',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html',1,'tvm::auto_scheduler']]],
-  ['select_6389',['Select',['../classtvm_1_1tir_1_1Select.html',1,'tvm::tir']]],
-  ['selectnode_6390',['SelectNode',['../classtvm_1_1tir_1_1SelectNode.html',1,'tvm::tir']]],
-  ['selectsequalreduce_6391',['SelectSEqualReduce',['../structtvm_1_1detail_1_1SelectSEqualReduce.html',1,'tvm::detail']]],
-  ['selectsequalreduce_3c_20t_2c_20traitname_2c_20false_20_3e_6392',['SelectSEqualReduce&lt; T, TraitName, false &gt;',['../structtvm_1_1detail_1_1SelectSEqualReduce_3_01T_00_01TraitName_00_01false_01_4.html',1,'tvm::detail']]],
-  ['selectshashreduce_6393',['SelectSHashReduce',['../structtvm_1_1detail_1_1SelectSHashReduce.html',1,'tvm::detail']]],
-  ['selectshashreduce_3c_20t_2c_20traitname_2c_20false_20_3e_6394',['SelectSHashReduce&lt; T, TraitName, false &gt;',['../structtvm_1_1detail_1_1SelectSHashReduce_3_01T_00_01TraitName_00_01false_01_4.html',1,'tvm::detail']]],
-  ['selectvisitattrs_6395',['SelectVisitAttrs',['../structtvm_1_1detail_1_1SelectVisitAttrs.html',1,'tvm::detail']]],
-  ['selectvisitattrs_3c_20t_2c_20traitname_2c_20false_20_3e_6396',['SelectVisitAttrs&lt; T, TraitName, false &gt;',['../structtvm_1_1detail_1_1SelectVisitAttrs_3_01T_00_01TraitName_00_01false_01_4.html',1,'tvm::detail']]],
-  ['seqstmt_6397',['SeqStmt',['../classtvm_1_1tir_1_1SeqStmt.html',1,'tvm::tir']]],
-  ['seqstmtnode_6398',['SeqStmtNode',['../classtvm_1_1tir_1_1SeqStmtNode.html',1,'tvm::tir']]],
-  ['sequalhandlerdefault_6399',['SEqualHandlerDefault',['../classtvm_1_1SEqualHandlerDefault.html',1,'tvm']]],
-  ['sequalreducer_6400',['SEqualReducer',['../classtvm_1_1SEqualReducer.html',1,'tvm']]],
-  ['sequencemaskattrs_6401',['SequenceMaskAttrs',['../structtvm_1_1relay_1_1SequenceMaskAttrs.html',1,'tvm::relay']]],
-  ['sequential_6402',['Sequential',['../classtvm_1_1transform_1_1Sequential.html',1,'tvm::transform']]],
-  ['sequentialnode_6403',['SequentialNode',['../classtvm_1_1transform_1_1SequentialNode.html',1,'tvm::transform']]],
-  ['sequentialspan_6404',['SequentialSpan',['../classtvm_1_1SequentialSpan.html',1,'tvm']]],
-  ['sequentialspannode_6405',['SequentialSpanNode',['../classtvm_1_1SequentialSpanNode.html',1,'tvm']]],
-  ['session_6406',['Session',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html',1,'tvm::runtime::micro_rpc']]],
-  ['sessionheader_6407',['SessionHeader',['../structtvm_1_1runtime_1_1micro__rpc_1_1SessionHeader.html',1,'tvm::runtime::micro_rpc']]],
-  ['shapefuncattrs_6408',['ShapeFuncAttrs',['../structtvm_1_1relay_1_1ShapeFuncAttrs.html',1,'tvm::relay']]],
-  ['shapeofattrs_6409',['ShapeOfAttrs',['../structtvm_1_1relay_1_1ShapeOfAttrs.html',1,'tvm::relay']]],
-  ['shapepattern_6410',['ShapePattern',['../classtvm_1_1relay_1_1ShapePattern.html',1,'tvm::relay']]],
-  ['shapepatternnode_6411',['ShapePatternNode',['../classtvm_1_1relay_1_1ShapePatternNode.html',1,'tvm::relay']]],
-  ['shapetuple_6412',['ShapeTuple',['../classtvm_1_1runtime_1_1ShapeTuple.html',1,'tvm::runtime']]],
-  ['shapetupleobj_6413',['ShapeTupleObj',['../classtvm_1_1runtime_1_1ShapeTupleObj.html',1,'tvm::runtime']]],
-  ['shashhandlerdefault_6414',['SHashHandlerDefault',['../classtvm_1_1SHashHandlerDefault.html',1,'tvm']]],
-  ['shashreducer_6415',['SHashReducer',['../classtvm_1_1SHashReducer.html',1,'tvm']]],
-  ['shuffle_6416',['Shuffle',['../classtvm_1_1tir_1_1Shuffle.html',1,'tvm::tir']]],
-  ['shufflenode_6417',['ShuffleNode',['../classtvm_1_1tir_1_1ShuffleNode.html',1,'tvm::tir']]],
-  ['sibuilder_6418',['SIBuilder',['../classtvm_1_1SIBuilder.html',1,'tvm']]],
-  ['signatureprinter_6419',['SignaturePrinter',['../structtvm_1_1runtime_1_1SignaturePrinter.html',1,'tvm::runtime']]],
-  ['simpleobjallocator_6420',['SimpleObjAllocator',['../classtvm_1_1runtime_1_1SimpleObjAllocator.html',1,'tvm::runtime']]],
-  ['simulatedquantizeattrs_6421',['SimulatedQuantizeAttrs',['../structtvm_1_1relay_1_1qnn_1_1SimulatedQuantizeAttrs.html',1,'tvm::relay::qnn']]],
-  ['singleton_6422',['Singleton',['../classtvm_1_1te_1_1Singleton.html',1,'tvm::te']]],
-  ['singletonnode_6423',['SingletonNode',['../classtvm_1_1te_1_1SingletonNode.html',1,'tvm::te']]],
-  ['sizevar_6424',['SizeVar',['../classtvm_1_1tir_1_1SizeVar.html',1,'tvm::tir']]],
-  ['sizevarnode_6425',['SizeVarNode',['../classtvm_1_1tir_1_1SizeVarNode.html',1,'tvm::tir']]],
-  ['slice_6426',['Slice',['../classtvm_1_1te_1_1Tensor_1_1Slice.html',1,'tvm::te::Tensor']]],
-  ['slicedoc_6427',['SliceDoc',['../classtvm_1_1script_1_1printer_1_1SliceDoc.html',1,'tvm::script::printer']]],
-  ['slicedocnode_6428',['SliceDocNode',['../classtvm_1_1script_1_1printer_1_1SliceDocNode.html',1,'tvm::script::printer']]],
-  ['slicelikeattrs_6429',['SliceLikeAttrs',['../structtvm_1_1relay_1_1SliceLikeAttrs.html',1,'tvm::relay']]],
-  ['slidingwindowattrs_6430',['SlidingWindowAttrs',['../structtvm_1_1relay_1_1SlidingWindowAttrs.html',1,'tvm::relay']]],
-  ['smallmapnode_6431',['SmallMapNode',['../classtvm_1_1runtime_1_1SmallMapNode.html',1,'tvm::runtime']]],
-  ['softmaxattrs_6432',['SoftmaxAttrs',['../structtvm_1_1relay_1_1SoftmaxAttrs.html',1,'tvm::relay']]],
-  ['source_6433',['Source',['../classtvm_1_1Source.html',1,'tvm']]],
-  ['sourcemap_6434',['SourceMap',['../classtvm_1_1SourceMap.html',1,'tvm']]],
-  ['sourcemapnode_6435',['SourceMapNode',['../classtvm_1_1SourceMapNode.html',1,'tvm']]],
-  ['sourcename_6436',['SourceName',['../classtvm_1_1SourceName.html',1,'tvm']]],
-  ['sourcenamenode_6437',['SourceNameNode',['../classtvm_1_1SourceNameNode.html',1,'tvm']]],
-  ['sourcenode_6438',['SourceNode',['../classtvm_1_1SourceNode.html',1,'tvm']]],
-  ['spacegenerator_6439',['SpaceGenerator',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html',1,'tvm::meta_schedule']]],
-  ['spacegeneratornode_6440',['SpaceGeneratorNode',['../classtvm_1_1meta__schedule_1_1SpaceGeneratorNode.html',1,'tvm::meta_schedule']]],
-  ['spacetobatchndattrs_6441',['SpaceToBatchNDAttrs',['../structtvm_1_1relay_1_1SpaceToBatchNDAttrs.html',1,'tvm::relay']]],
-  ['span_6442',['Span',['../classtvm_1_1Span.html',1,'tvm::Span'],['../classtvm_1_1support_1_1Span.html',1,'tvm::support::Span&lt; T, W &gt;']]],
-  ['spannode_6443',['SpanNode',['../classtvm_1_1SpanNode.html',1,'tvm']]],
-  ['sparseconv2dattrs_6444',['SparseConv2DAttrs',['../structtvm_1_1relay_1_1SparseConv2DAttrs.html',1,'tvm::relay']]],
-  ['sparsedenseattrs_6445',['SparseDenseAttrs',['../structtvm_1_1relay_1_1SparseDenseAttrs.html',1,'tvm::relay']]],
-  ['sparsetodenseattrs_6446',['SparseToDenseAttrs',['../structtvm_1_1relay_1_1SparseToDenseAttrs.html',1,'tvm::relay']]],
-  ['sparsetransposeattrs_6447',['SparseTransposeAttrs',['../structtvm_1_1relay_1_1SparseTransposeAttrs.html',1,'tvm::relay']]],
-  ['specializedcondition_6448',['SpecializedCondition',['../classtvm_1_1te_1_1SpecializedCondition.html',1,'tvm::te']]],
-  ['specializedconditionnode_6449',['SpecializedConditionNode',['../classtvm_1_1te_1_1SpecializedConditionNode.html',1,'tvm::te']]],
-  ['split_6450',['Split',['../classtvm_1_1te_1_1Split.html',1,'tvm::te']]],
-  ['splitattrs_6451',['SplitAttrs',['../structtvm_1_1relay_1_1SplitAttrs.html',1,'tvm::relay']]],
-  ['splitnode_6452',['SplitNode',['../classtvm_1_1te_1_1SplitNode.html',1,'tvm::te']]],
-  ['splitstep_6453',['SplitStep',['../classtvm_1_1auto__scheduler_1_1SplitStep.html',1,'tvm::auto_scheduler']]],
-  ['splitstepnode_6454',['SplitStepNode',['../classtvm_1_1auto__scheduler_1_1SplitStepNode.html',1,'tvm::auto_scheduler']]],
-  ['squeezeattrs_6455',['SqueezeAttrs',['../structtvm_1_1relay_1_1SqueezeAttrs.html',1,'tvm::relay']]],
-  ['sreftreecreator_6456',['SRefTreeCreator',['../classtvm_1_1tir_1_1SRefTreeCreator.html',1,'tvm::tir']]],
-  ['stackattrs_6457',['StackAttrs',['../structtvm_1_1relay_1_1StackAttrs.html',1,'tvm::relay']]],
-  ['stage_6458',['Stage',['../classtvm_1_1auto__scheduler_1_1Stage.html',1,'tvm::auto_scheduler::Stage'],['../classtvm_1_1te_1_1Stage.html',1,'tvm::te::Stage']]],
-  ['stageattributes_6459',['StageAttributes',['../structtvm_1_1auto__scheduler_1_1StageAttributes.html',1,'tvm::auto_scheduler']]],
-  ['stagenode_6460',['StageNode',['../classtvm_1_1auto__scheduler_1_1StageNode.html',1,'tvm::auto_scheduler::StageNode'],['../classtvm_1_1te_1_1StageNode.html',1,'tvm::te::StageNode']]],
-  ['state_6461',['State',['../classtvm_1_1auto__scheduler_1_1State.html',1,'tvm::auto_scheduler']]],
-  ['statenode_6462',['StateNode',['../classtvm_1_1auto__scheduler_1_1StateNode.html',1,'tvm::auto_scheduler']]],
-  ['step_6463',['Step',['../classtvm_1_1auto__scheduler_1_1Step.html',1,'tvm::auto_scheduler']]],
-  ['stepnode_6464',['StepNode',['../classtvm_1_1auto__scheduler_1_1StepNode.html',1,'tvm::auto_scheduler']]],
-  ['stftattrs_6465',['StftAttrs',['../structtvm_1_1relay_1_1StftAttrs.html',1,'tvm::relay']]],
-  ['stmt_6466',['Stmt',['../classtvm_1_1tir_1_1Stmt.html',1,'tvm::tir']]],
-  ['stmtblockdoc_6467',['StmtBlockDoc',['../classtvm_1_1script_1_1printer_1_1StmtBlockDoc.html',1,'tvm::script::printer']]],
-  ['stmtblockdocnode_6468',['StmtBlockDocNode',['../classtvm_1_1script_1_1printer_1_1StmtBlockDocNode.html',1,'tvm::script::printer']]],
-  ['stmtdoc_6469',['StmtDoc',['../classtvm_1_1script_1_1printer_1_1StmtDoc.html',1,'tvm::script::printer']]],
-  ['stmtdocnode_6470',['StmtDocNode',['../classtvm_1_1script_1_1printer_1_1StmtDocNode.html',1,'tvm::script::printer']]],
-  ['stmtexprmutator_6471',['StmtExprMutator',['../classtvm_1_1tir_1_1StmtExprMutator.html',1,'tvm::tir']]],
-  ['stmtexprvisitor_6472',['StmtExprVisitor',['../classtvm_1_1tir_1_1StmtExprVisitor.html',1,'tvm::tir']]],
-  ['stmtfunctor_6473',['StmtFunctor',['../classtvm_1_1tir_1_1StmtFunctor.html',1,'tvm::tir']]],
-  ['stmtfunctor_3c_20r_28const_20stmt_20_26n_2c_20args_2e_2e_2e_20args_29_3e_6474',['StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;',['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html',1,'tvm::tir']]],
-  ['stmtfunctor_3c_20stmt_28const_20stmt_20_26_29_3e_6475',['StmtFunctor&lt; Stmt(const Stmt &amp;)&gt;',['../classtvm_1_1tir_1_1StmtFunctor.html',1,'tvm::tir']]],
-  ['stmtfunctor_3c_20void_28const_20stmt_20_26_29_3e_6476',['StmtFunctor&lt; void(const Stmt &amp;)&gt;',['../classtvm_1_1tir_1_1StmtFunctor.html',1,'tvm::tir']]],
-  ['stmtmutator_6477',['StmtMutator',['../classtvm_1_1tir_1_1StmtMutator.html',1,'tvm::tir']]],
-  ['stmtnode_6478',['StmtNode',['../classtvm_1_1tir_1_1StmtNode.html',1,'tvm::tir']]],
-  ['stmtsref_6479',['StmtSRef',['../classtvm_1_1tir_1_1StmtSRef.html',1,'tvm::tir']]],
-  ['stmtsrefnode_6480',['StmtSRefNode',['../classtvm_1_1tir_1_1StmtSRefNode.html',1,'tvm::tir']]],
-  ['stmtvisitor_6481',['StmtVisitor',['../classtvm_1_1tir_1_1StmtVisitor.html',1,'tvm::tir']]],
-  ['storage_6482',['Storage',['../classtvm_1_1runtime_1_1vm_1_1Storage.html',1,'tvm::runtime::vm']]],
-  ['storagealignstep_6483',['StorageAlignStep',['../classtvm_1_1auto__scheduler_1_1StorageAlignStep.html',1,'tvm::auto_scheduler']]],
-  ['storagealignstepnode_6484',['StorageAlignStepNode',['../classtvm_1_1auto__scheduler_1_1StorageAlignStepNode.html',1,'tvm::auto_scheduler']]],
-  ['storageobj_6485',['StorageObj',['../classtvm_1_1runtime_1_1vm_1_1StorageObj.html',1,'tvm::runtime::vm']]],
-  ['stridedsliceattrs_6486',['StridedSliceAttrs',['../structtvm_1_1relay_1_1StridedSliceAttrs.html',1,'tvm::relay']]],
-  ['string_6487',['String',['../classtvm_1_1runtime_1_1String.html',1,'tvm::runtime']]],
-  ['stringimm_6488',['StringImm',['../classtvm_1_1tir_1_1StringImm.html',1,'tvm::tir']]],
-  ['stringimmnode_6489',['StringImmNode',['../classtvm_1_1tir_1_1StringImmNode.html',1,'tvm::tir']]],
-  ['stringobj_6490',['StringObj',['../classtvm_1_1runtime_1_1StringObj.html',1,'tvm::runtime']]],
-  ['structuralequal_6491',['StructuralEqual',['../classtvm_1_1StructuralEqual.html',1,'tvm']]],
-  ['structuralhash_6492',['StructuralHash',['../classtvm_1_1StructuralHash.html',1,'tvm']]],
-  ['sub_6493',['Sub',['../classtvm_1_1tir_1_1Sub.html',1,'tvm::tir']]],
-  ['subnode_6494',['SubNode',['../classtvm_1_1tir_1_1SubNode.html',1,'tvm::tir']]],
-  ['subpixelattrs_6495',['SubPixelAttrs',['../structtvm_1_1relay_1_1SubPixelAttrs.html',1,'tvm::relay']]]
+  ['scanop_6366',['ScanOp',['../classtvm_1_1te_1_1ScanOp.html',1,'tvm::te']]],
+  ['scanopattrs_6367',['ScanopAttrs',['../structtvm_1_1relay_1_1ScanopAttrs.html',1,'tvm::relay']]],
+  ['scanopnode_6368',['ScanOpNode',['../classtvm_1_1te_1_1ScanOpNode.html',1,'tvm::te']]],
+  ['scatterelementsattrs_6369',['ScatterElementsAttrs',['../structtvm_1_1relay_1_1ScatterElementsAttrs.html',1,'tvm::relay']]],
+  ['scatterndattrs_6370',['ScatterNDAttrs',['../structtvm_1_1relay_1_1ScatterNDAttrs.html',1,'tvm::relay']]],
+  ['schedule_6371',['Schedule',['../classtvm_1_1te_1_1Schedule.html',1,'tvm::te::Schedule'],['../classtvm_1_1tir_1_1Schedule.html',1,'tvm::tir::Schedule']]],
+  ['schedulecontext_6372',['ScheduleContext',['../classtvm_1_1te_1_1ScheduleContext.html',1,'tvm::te']]],
+  ['schedulenode_6373',['ScheduleNode',['../classtvm_1_1te_1_1ScheduleNode.html',1,'tvm::te::ScheduleNode'],['../classtvm_1_1tir_1_1ScheduleNode.html',1,'tvm::tir::ScheduleNode']]],
+  ['schedulerule_6374',['ScheduleRule',['../classtvm_1_1meta__schedule_1_1ScheduleRule.html',1,'tvm::meta_schedule']]],
+  ['schedulerulenode_6375',['ScheduleRuleNode',['../classtvm_1_1meta__schedule_1_1ScheduleRuleNode.html',1,'tvm::meta_schedule']]],
+  ['schedulestate_6376',['ScheduleState',['../classtvm_1_1tir_1_1ScheduleState.html',1,'tvm::tir']]],
+  ['schedulestatenode_6377',['ScheduleStateNode',['../classtvm_1_1tir_1_1ScheduleStateNode.html',1,'tvm::tir']]],
+  ['scopedoc_6378',['ScopeDoc',['../classtvm_1_1script_1_1printer_1_1ScopeDoc.html',1,'tvm::script::printer']]],
+  ['scopedocnode_6379',['ScopeDocNode',['../classtvm_1_1script_1_1printer_1_1ScopeDocNode.html',1,'tvm::script::printer']]],
+  ['scopedtimer_6380',['ScopedTimer',['../classtvm_1_1meta__schedule_1_1ScopedTimer.html',1,'tvm::meta_schedule']]],
+  ['searchcallback_6381',['SearchCallback',['../classtvm_1_1auto__scheduler_1_1SearchCallback.html',1,'tvm::auto_scheduler']]],
+  ['searchcallbacknode_6382',['SearchCallbackNode',['../classtvm_1_1auto__scheduler_1_1SearchCallbackNode.html',1,'tvm::auto_scheduler']]],
+  ['searchpolicy_6383',['SearchPolicy',['../classtvm_1_1auto__scheduler_1_1SearchPolicy.html',1,'tvm::auto_scheduler']]],
+  ['searchpolicykey_6384',['SearchPolicyKey',['../structtvm_1_1auto__scheduler_1_1SearchPolicyKey.html',1,'tvm::auto_scheduler']]],
+  ['searchpolicynode_6385',['SearchPolicyNode',['../classtvm_1_1auto__scheduler_1_1SearchPolicyNode.html',1,'tvm::auto_scheduler']]],
+  ['searchsortedattrs_6386',['SearchSortedAttrs',['../structtvm_1_1relay_1_1SearchSortedAttrs.html',1,'tvm::relay']]],
+  ['searchstrategy_6387',['SearchStrategy',['../classtvm_1_1meta__schedule_1_1SearchStrategy.html',1,'tvm::meta_schedule']]],
+  ['searchstrategynode_6388',['SearchStrategyNode',['../classtvm_1_1meta__schedule_1_1SearchStrategyNode.html',1,'tvm::meta_schedule']]],
+  ['searchtask_6389',['SearchTask',['../classtvm_1_1auto__scheduler_1_1SearchTask.html',1,'tvm::auto_scheduler']]],
+  ['searchtasknode_6390',['SearchTaskNode',['../classtvm_1_1auto__scheduler_1_1SearchTaskNode.html',1,'tvm::auto_scheduler']]],
+  ['select_6391',['Select',['../classtvm_1_1tir_1_1Select.html',1,'tvm::tir']]],
+  ['selectnode_6392',['SelectNode',['../classtvm_1_1tir_1_1SelectNode.html',1,'tvm::tir']]],
+  ['selectsequalreduce_6393',['SelectSEqualReduce',['../structtvm_1_1detail_1_1SelectSEqualReduce.html',1,'tvm::detail']]],
+  ['selectsequalreduce_3c_20t_2c_20traitname_2c_20false_20_3e_6394',['SelectSEqualReduce&lt; T, TraitName, false &gt;',['../structtvm_1_1detail_1_1SelectSEqualReduce_3_01T_00_01TraitName_00_01false_01_4.html',1,'tvm::detail']]],
+  ['selectshashreduce_6395',['SelectSHashReduce',['../structtvm_1_1detail_1_1SelectSHashReduce.html',1,'tvm::detail']]],
+  ['selectshashreduce_3c_20t_2c_20traitname_2c_20false_20_3e_6396',['SelectSHashReduce&lt; T, TraitName, false &gt;',['../structtvm_1_1detail_1_1SelectSHashReduce_3_01T_00_01TraitName_00_01false_01_4.html',1,'tvm::detail']]],
+  ['selectvisitattrs_6397',['SelectVisitAttrs',['../structtvm_1_1detail_1_1SelectVisitAttrs.html',1,'tvm::detail']]],
+  ['selectvisitattrs_3c_20t_2c_20traitname_2c_20false_20_3e_6398',['SelectVisitAttrs&lt; T, TraitName, false &gt;',['../structtvm_1_1detail_1_1SelectVisitAttrs_3_01T_00_01TraitName_00_01false_01_4.html',1,'tvm::detail']]],
+  ['seqstmt_6399',['SeqStmt',['../classtvm_1_1tir_1_1SeqStmt.html',1,'tvm::tir']]],
+  ['seqstmtnode_6400',['SeqStmtNode',['../classtvm_1_1tir_1_1SeqStmtNode.html',1,'tvm::tir']]],
+  ['sequalhandlerdefault_6401',['SEqualHandlerDefault',['../classtvm_1_1SEqualHandlerDefault.html',1,'tvm']]],
+  ['sequalreducer_6402',['SEqualReducer',['../classtvm_1_1SEqualReducer.html',1,'tvm']]],
+  ['sequencemaskattrs_6403',['SequenceMaskAttrs',['../structtvm_1_1relay_1_1SequenceMaskAttrs.html',1,'tvm::relay']]],
+  ['sequential_6404',['Sequential',['../classtvm_1_1transform_1_1Sequential.html',1,'tvm::transform']]],
+  ['sequentialnode_6405',['SequentialNode',['../classtvm_1_1transform_1_1SequentialNode.html',1,'tvm::transform']]],
+  ['sequentialspan_6406',['SequentialSpan',['../classtvm_1_1SequentialSpan.html',1,'tvm']]],
+  ['sequentialspannode_6407',['SequentialSpanNode',['../classtvm_1_1SequentialSpanNode.html',1,'tvm']]],
+  ['session_6408',['Session',['../classtvm_1_1runtime_1_1micro__rpc_1_1Session.html',1,'tvm::runtime::micro_rpc']]],
+  ['sessionheader_6409',['SessionHeader',['../structtvm_1_1runtime_1_1micro__rpc_1_1SessionHeader.html',1,'tvm::runtime::micro_rpc']]],
+  ['shapefuncattrs_6410',['ShapeFuncAttrs',['../structtvm_1_1relay_1_1ShapeFuncAttrs.html',1,'tvm::relay']]],
+  ['shapeofattrs_6411',['ShapeOfAttrs',['../structtvm_1_1relay_1_1ShapeOfAttrs.html',1,'tvm::relay']]],
+  ['shapepattern_6412',['ShapePattern',['../classtvm_1_1relay_1_1ShapePattern.html',1,'tvm::relay']]],
+  ['shapepatternnode_6413',['ShapePatternNode',['../classtvm_1_1relay_1_1ShapePatternNode.html',1,'tvm::relay']]],
+  ['shapetuple_6414',['ShapeTuple',['../classtvm_1_1runtime_1_1ShapeTuple.html',1,'tvm::runtime']]],
+  ['shapetupleobj_6415',['ShapeTupleObj',['../classtvm_1_1runtime_1_1ShapeTupleObj.html',1,'tvm::runtime']]],
+  ['shashhandlerdefault_6416',['SHashHandlerDefault',['../classtvm_1_1SHashHandlerDefault.html',1,'tvm']]],
+  ['shashreducer_6417',['SHashReducer',['../classtvm_1_1SHashReducer.html',1,'tvm']]],
+  ['shuffle_6418',['Shuffle',['../classtvm_1_1tir_1_1Shuffle.html',1,'tvm::tir']]],
+  ['shufflenode_6419',['ShuffleNode',['../classtvm_1_1tir_1_1ShuffleNode.html',1,'tvm::tir']]],
+  ['sibuilder_6420',['SIBuilder',['../classtvm_1_1SIBuilder.html',1,'tvm']]],
+  ['signatureprinter_6421',['SignaturePrinter',['../structtvm_1_1runtime_1_1SignaturePrinter.html',1,'tvm::runtime']]],
+  ['simpleobjallocator_6422',['SimpleObjAllocator',['../classtvm_1_1runtime_1_1SimpleObjAllocator.html',1,'tvm::runtime']]],
+  ['simulatedquantizeattrs_6423',['SimulatedQuantizeAttrs',['../structtvm_1_1relay_1_1qnn_1_1SimulatedQuantizeAttrs.html',1,'tvm::relay::qnn']]],
+  ['singleton_6424',['Singleton',['../classtvm_1_1te_1_1Singleton.html',1,'tvm::te']]],
+  ['singletonnode_6425',['SingletonNode',['../classtvm_1_1te_1_1SingletonNode.html',1,'tvm::te']]],
+  ['sizevar_6426',['SizeVar',['../classtvm_1_1tir_1_1SizeVar.html',1,'tvm::tir']]],
+  ['sizevarnode_6427',['SizeVarNode',['../classtvm_1_1tir_1_1SizeVarNode.html',1,'tvm::tir']]],
+  ['slice_6428',['Slice',['../classtvm_1_1te_1_1Tensor_1_1Slice.html',1,'tvm::te::Tensor']]],
+  ['slicedoc_6429',['SliceDoc',['../classtvm_1_1script_1_1printer_1_1SliceDoc.html',1,'tvm::script::printer']]],
+  ['slicedocnode_6430',['SliceDocNode',['../classtvm_1_1script_1_1printer_1_1SliceDocNode.html',1,'tvm::script::printer']]],
+  ['slicelikeattrs_6431',['SliceLikeAttrs',['../structtvm_1_1relay_1_1SliceLikeAttrs.html',1,'tvm::relay']]],
+  ['slidingwindowattrs_6432',['SlidingWindowAttrs',['../structtvm_1_1relay_1_1SlidingWindowAttrs.html',1,'tvm::relay']]],
+  ['smallmapnode_6433',['SmallMapNode',['../classtvm_1_1runtime_1_1SmallMapNode.html',1,'tvm::runtime']]],
+  ['softmaxattrs_6434',['SoftmaxAttrs',['../structtvm_1_1relay_1_1SoftmaxAttrs.html',1,'tvm::relay']]],
+  ['source_6435',['Source',['../classtvm_1_1Source.html',1,'tvm']]],
+  ['sourcemap_6436',['SourceMap',['../classtvm_1_1SourceMap.html',1,'tvm']]],
+  ['sourcemapnode_6437',['SourceMapNode',['../classtvm_1_1SourceMapNode.html',1,'tvm']]],
+  ['sourcename_6438',['SourceName',['../classtvm_1_1SourceName.html',1,'tvm']]],
+  ['sourcenamenode_6439',['SourceNameNode',['../classtvm_1_1SourceNameNode.html',1,'tvm']]],
+  ['sourcenode_6440',['SourceNode',['../classtvm_1_1SourceNode.html',1,'tvm']]],
+  ['spacegenerator_6441',['SpaceGenerator',['../classtvm_1_1meta__schedule_1_1SpaceGenerator.html',1,'tvm::meta_schedule']]],
+  ['spacegeneratornode_6442',['SpaceGeneratorNode',['../classtvm_1_1meta__schedule_1_1SpaceGeneratorNode.html',1,'tvm::meta_schedule']]],
+  ['spacetobatchndattrs_6443',['SpaceToBatchNDAttrs',['../structtvm_1_1relay_1_1SpaceToBatchNDAttrs.html',1,'tvm::relay']]],
+  ['span_6444',['Span',['../classtvm_1_1Span.html',1,'tvm::Span'],['../classtvm_1_1support_1_1Span.html',1,'tvm::support::Span&lt; T, W &gt;']]],
+  ['spannode_6445',['SpanNode',['../classtvm_1_1SpanNode.html',1,'tvm']]],
+  ['sparseconv2dattrs_6446',['SparseConv2DAttrs',['../structtvm_1_1relay_1_1SparseConv2DAttrs.html',1,'tvm::relay']]],
+  ['sparsedenseattrs_6447',['SparseDenseAttrs',['../structtvm_1_1relay_1_1SparseDenseAttrs.html',1,'tvm::relay']]],
+  ['sparsetodenseattrs_6448',['SparseToDenseAttrs',['../structtvm_1_1relay_1_1SparseToDenseAttrs.html',1,'tvm::relay']]],
+  ['sparsetransposeattrs_6449',['SparseTransposeAttrs',['../structtvm_1_1relay_1_1SparseTransposeAttrs.html',1,'tvm::relay']]],
+  ['specializedcondition_6450',['SpecializedCondition',['../classtvm_1_1te_1_1SpecializedCondition.html',1,'tvm::te']]],
+  ['specializedconditionnode_6451',['SpecializedConditionNode',['../classtvm_1_1te_1_1SpecializedConditionNode.html',1,'tvm::te']]],
+  ['split_6452',['Split',['../classtvm_1_1te_1_1Split.html',1,'tvm::te']]],
+  ['splitattrs_6453',['SplitAttrs',['../structtvm_1_1relay_1_1SplitAttrs.html',1,'tvm::relay']]],
+  ['splitnode_6454',['SplitNode',['../classtvm_1_1te_1_1SplitNode.html',1,'tvm::te']]],
+  ['splitstep_6455',['SplitStep',['../classtvm_1_1auto__scheduler_1_1SplitStep.html',1,'tvm::auto_scheduler']]],
+  ['splitstepnode_6456',['SplitStepNode',['../classtvm_1_1auto__scheduler_1_1SplitStepNode.html',1,'tvm::auto_scheduler']]],
+  ['squeezeattrs_6457',['SqueezeAttrs',['../structtvm_1_1relay_1_1SqueezeAttrs.html',1,'tvm::relay']]],
+  ['sreftreecreator_6458',['SRefTreeCreator',['../classtvm_1_1tir_1_1SRefTreeCreator.html',1,'tvm::tir']]],
+  ['stackattrs_6459',['StackAttrs',['../structtvm_1_1relay_1_1StackAttrs.html',1,'tvm::relay']]],
+  ['stage_6460',['Stage',['../classtvm_1_1auto__scheduler_1_1Stage.html',1,'tvm::auto_scheduler::Stage'],['../classtvm_1_1te_1_1Stage.html',1,'tvm::te::Stage']]],
+  ['stageattributes_6461',['StageAttributes',['../structtvm_1_1auto__scheduler_1_1StageAttributes.html',1,'tvm::auto_scheduler']]],
+  ['stagenode_6462',['StageNode',['../classtvm_1_1auto__scheduler_1_1StageNode.html',1,'tvm::auto_scheduler::StageNode'],['../classtvm_1_1te_1_1StageNode.html',1,'tvm::te::StageNode']]],
+  ['state_6463',['State',['../classtvm_1_1auto__scheduler_1_1State.html',1,'tvm::auto_scheduler']]],
+  ['statenode_6464',['StateNode',['../classtvm_1_1auto__scheduler_1_1StateNode.html',1,'tvm::auto_scheduler']]],
+  ['step_6465',['Step',['../classtvm_1_1auto__scheduler_1_1Step.html',1,'tvm::auto_scheduler']]],
+  ['stepnode_6466',['StepNode',['../classtvm_1_1auto__scheduler_1_1StepNode.html',1,'tvm::auto_scheduler']]],
+  ['stftattrs_6467',['StftAttrs',['../structtvm_1_1relay_1_1StftAttrs.html',1,'tvm::relay']]],
+  ['stmt_6468',['Stmt',['../classtvm_1_1tir_1_1Stmt.html',1,'tvm::tir']]],
+  ['stmtblockdoc_6469',['StmtBlockDoc',['../classtvm_1_1script_1_1printer_1_1StmtBlockDoc.html',1,'tvm::script::printer']]],
+  ['stmtblockdocnode_6470',['StmtBlockDocNode',['../classtvm_1_1script_1_1printer_1_1StmtBlockDocNode.html',1,'tvm::script::printer']]],
+  ['stmtdoc_6471',['StmtDoc',['../classtvm_1_1script_1_1printer_1_1StmtDoc.html',1,'tvm::script::printer']]],
+  ['stmtdocnode_6472',['StmtDocNode',['../classtvm_1_1script_1_1printer_1_1StmtDocNode.html',1,'tvm::script::printer']]],
+  ['stmtexprmutator_6473',['StmtExprMutator',['../classtvm_1_1tir_1_1StmtExprMutator.html',1,'tvm::tir']]],
+  ['stmtexprvisitor_6474',['StmtExprVisitor',['../classtvm_1_1tir_1_1StmtExprVisitor.html',1,'tvm::tir']]],
+  ['stmtfunctor_6475',['StmtFunctor',['../classtvm_1_1tir_1_1StmtFunctor.html',1,'tvm::tir']]],
+  ['stmtfunctor_3c_20r_28const_20stmt_20_26n_2c_20args_2e_2e_2e_20args_29_3e_6476',['StmtFunctor&lt; R(const Stmt &amp;n, Args... args)&gt;',['../classtvm_1_1tir_1_1StmtFunctor_3_01R_07const_01Stmt_01_6n_00_01Args_8_8_8_01args_08_4.html',1,'tvm::tir']]],
+  ['stmtfunctor_3c_20stmt_28const_20stmt_20_26_29_3e_6477',['StmtFunctor&lt; Stmt(const Stmt &amp;)&gt;',['../classtvm_1_1tir_1_1StmtFunctor.html',1,'tvm::tir']]],
+  ['stmtfunctor_3c_20void_28const_20stmt_20_26_29_3e_6478',['StmtFunctor&lt; void(const Stmt &amp;)&gt;',['../classtvm_1_1tir_1_1StmtFunctor.html',1,'tvm::tir']]],
+  ['stmtmutator_6479',['StmtMutator',['../classtvm_1_1tir_1_1StmtMutator.html',1,'tvm::tir']]],
+  ['stmtnode_6480',['StmtNode',['../classtvm_1_1tir_1_1StmtNode.html',1,'tvm::tir']]],
+  ['stmtsref_6481',['StmtSRef',['../classtvm_1_1tir_1_1StmtSRef.html',1,'tvm::tir']]],
+  ['stmtsrefnode_6482',['StmtSRefNode',['../classtvm_1_1tir_1_1StmtSRefNode.html',1,'tvm::tir']]],
+  ['stmtvisitor_6483',['StmtVisitor',['../classtvm_1_1tir_1_1StmtVisitor.html',1,'tvm::tir']]],
+  ['storage_6484',['Storage',['../classtvm_1_1runtime_1_1vm_1_1Storage.html',1,'tvm::runtime::vm']]],
+  ['storagealignstep_6485',['StorageAlignStep',['../classtvm_1_1auto__scheduler_1_1StorageAlignStep.html',1,'tvm::auto_scheduler']]],
+  ['storagealignstepnode_6486',['StorageAlignStepNode',['../classtvm_1_1auto__scheduler_1_1StorageAlignStepNode.html',1,'tvm::auto_scheduler']]],
+  ['storageobj_6487',['StorageObj',['../classtvm_1_1runtime_1_1vm_1_1StorageObj.html',1,'tvm::runtime::vm']]],
+  ['stridedsliceattrs_6488',['StridedSliceAttrs',['../structtvm_1_1relay_1_1StridedSliceAttrs.html',1,'tvm::relay']]],
+  ['string_6489',['String',['../classtvm_1_1runtime_1_1String.html',1,'tvm::runtime']]],
+  ['stringimm_6490',['StringImm',['../classtvm_1_1tir_1_1StringImm.html',1,'tvm::tir']]],
+  ['stringimmnode_6491',['StringImmNode',['../classtvm_1_1tir_1_1StringImmNode.html',1,'tvm::tir']]],
+  ['stringobj_6492',['StringObj',['../classtvm_1_1runtime_1_1StringObj.html',1,'tvm::runtime']]],
+  ['structuralequal_6493',['StructuralEqual',['../classtvm_1_1StructuralEqual.html',1,'tvm']]],
+  ['structuralhash_6494',['StructuralHash',['../classtvm_1_1StructuralHash.html',1,'tvm']]],
+  ['sub_6495',['Sub',['../classtvm_1_1tir_1_1Sub.html',1,'tvm::tir']]],
+  ['subnode_6496',['SubNode',['../classtvm_1_1tir_1_1SubNode.html',1,'tvm::tir']]],
+  ['subpixelattrs_6497',['SubPixelAttrs',['../structtvm_1_1relay_1_1SubPixelAttrs.html',1,'tvm::relay']]]
 ];
diff --git a/docs/reference/api/doxygen/search/classes_11.js b/docs/reference/api/doxygen/search/classes_11.js
index 75d8379a98..964c9b4c0e 100644
--- a/docs/reference/api/doxygen/search/classes_11.js
+++ b/docs/reference/api/doxygen/search/classes_11.js
@@ -1,161 +1,161 @@
 var searchData=
 [
-  ['takeattrs_6496',['TakeAttrs',['../structtvm_1_1relay_1_1TakeAttrs.html',1,'tvm::relay']]],
-  ['target_6497',['Target',['../classtvm_1_1Target.html',1,'tvm']]],
-  ['targetkind_6498',['TargetKind',['../classtvm_1_1TargetKind.html',1,'tvm']]],
-  ['targetkindattrmap_6499',['TargetKindAttrMap',['../classtvm_1_1TargetKindAttrMap.html',1,'tvm']]],
-  ['targetkindnode_6500',['TargetKindNode',['../classtvm_1_1TargetKindNode.html',1,'tvm']]],
-  ['targetkindregentry_6501',['TargetKindRegEntry',['../classtvm_1_1TargetKindRegEntry.html',1,'tvm']]],
-  ['targetnode_6502',['TargetNode',['../classtvm_1_1TargetNode.html',1,'tvm']]],
-  ['targettag_6503',['TargetTag',['../classtvm_1_1TargetTag.html',1,'tvm']]],
-  ['targettagnode_6504',['TargetTagNode',['../classtvm_1_1TargetTagNode.html',1,'tvm']]],
-  ['targettagregentry_6505',['TargetTagRegEntry',['../classtvm_1_1TargetTagRegEntry.html',1,'tvm']]],
-  ['taskrecord_6506',['TaskRecord',['../classtvm_1_1meta__schedule_1_1TaskRecord.html',1,'tvm::meta_schedule']]],
-  ['taskrecordnode_6507',['TaskRecordNode',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html',1,'tvm::meta_schedule']]],
-  ['taskscheduler_6508',['TaskScheduler',['../classtvm_1_1meta__schedule_1_1TaskScheduler.html',1,'tvm::meta_schedule']]],
-  ['taskschedulernode_6509',['TaskSchedulerNode',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html',1,'tvm::meta_schedule']]],
-  ['tempexpr_6510',['TempExpr',['../classtvm_1_1relay_1_1TempExpr.html',1,'tvm::relay']]],
-  ['tempexprnode_6511',['TempExprNode',['../classtvm_1_1relay_1_1TempExprNode.html',1,'tvm::relay']]],
-  ['tensor_6512',['Tensor',['../classtvm_1_1te_1_1Tensor.html',1,'tvm::te']]],
-  ['tensoraffinetype_6513',['TensorAffineType',['../classtvm_1_1TensorAffineType.html',1,'tvm']]],
-  ['tensoraffinetypenode_6514',['TensorAffineTypeNode',['../classtvm_1_1TensorAffineTypeNode.html',1,'tvm']]],
-  ['tensorcomputeop_6515',['TensorComputeOp',['../classtvm_1_1te_1_1TensorComputeOp.html',1,'tvm::te']]],
-  ['tensorcomputeopnode_6516',['TensorComputeOpNode',['../classtvm_1_1te_1_1TensorComputeOpNode.html',1,'tvm::te']]],
-  ['tensordom_6517',['TensorDom',['../structtvm_1_1te_1_1TensorDom.html',1,'tvm::te']]],
-  ['tensorinfo_6518',['TensorInfo',['../classtvm_1_1meta__schedule_1_1TensorInfo.html',1,'tvm::meta_schedule::TensorInfo'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfo.html',1,'tvm::runtime::metadata::TensorInfo']]],
-  ['tensorinfonode_6519',['TensorInfoNode',['../classtvm_1_1meta__schedule_1_1TensorInfoNode.html',1,'tvm::meta_schedule::TensorInfoNode'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfoNode.html',1,'tvm::runtime::metadata::TensorInfoNode']]],
-  ['tensorintrin_6520',['TensorIntrin',['../classtvm_1_1te_1_1TensorIntrin.html',1,'tvm::te::TensorIntrin'],['../classtvm_1_1tir_1_1TensorIntrin.html',1,'tvm::tir::TensorIntrin']]],
-  ['tensorintrincall_6521',['TensorIntrinCall',['../classtvm_1_1te_1_1TensorIntrinCall.html',1,'tvm::te']]],
-  ['tensorintrincallnode_6522',['TensorIntrinCallNode',['../classtvm_1_1te_1_1TensorIntrinCallNode.html',1,'tvm::te']]],
-  ['tensorintrinnode_6523',['TensorIntrinNode',['../classtvm_1_1te_1_1TensorIntrinNode.html',1,'tvm::te::TensorIntrinNode'],['../classtvm_1_1tir_1_1TensorIntrinNode.html',1,'tvm::tir::TensorIntrinNode']]],
-  ['tensornode_6524',['TensorNode',['../classtvm_1_1te_1_1TensorNode.html',1,'tvm::te']]],
-  ['tensortype_6525',['TensorType',['../classtvm_1_1TensorType.html',1,'tvm']]],
-  ['tensortypenode_6526',['TensorTypeNode',['../classtvm_1_1TensorTypeNode.html',1,'tvm']]],
-  ['thenframe_6527',['ThenFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ThenFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['thenframenode_6528',['ThenFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ThenFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['threadgroup_6529',['ThreadGroup',['../classtvm_1_1runtime_1_1threading_1_1ThreadGroup.html',1,'tvm::runtime::threading']]],
-  ['threefrygenerateattrs_6530',['ThreefryGenerateAttrs',['../structtvm_1_1relay_1_1ThreefryGenerateAttrs.html',1,'tvm::relay']]],
-  ['tileattrs_6531',['TileAttrs',['../structtvm_1_1relay_1_1TileAttrs.html',1,'tvm::relay']]],
-  ['timer_6532',['Timer',['../classtvm_1_1runtime_1_1Timer.html',1,'tvm::runtime']]],
-  ['timernode_6533',['TimerNode',['../classtvm_1_1runtime_1_1TimerNode.html',1,'tvm::runtime']]],
-  ['tirframe_6534',['TIRFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['tirframenode_6535',['TIRFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['topkattrs_6536',['TopKAttrs',['../structtvm_1_1relay_1_1TopKAttrs.html',1,'tvm::relay']]],
-  ['trace_6537',['Trace',['../classtvm_1_1tir_1_1Trace.html',1,'tvm::tir']]],
-  ['tracenode_6538',['TraceNode',['../classtvm_1_1tir_1_1TraceNode.html',1,'tvm::tir']]],
-  ['transform_6539',['Transform',['../classtvm_1_1te_1_1Transform.html',1,'tvm::te']]],
-  ['transformnode_6540',['TransformNode',['../classtvm_1_1te_1_1TransformNode.html',1,'tvm::te']]],
-  ['transitivecomparisonanalyzer_6541',['TransitiveComparisonAnalyzer',['../classtvm_1_1arith_1_1TransitiveComparisonAnalyzer.html',1,'tvm::arith']]],
-  ['transposeattrs_6542',['TransposeAttrs',['../structtvm_1_1relay_1_1TransposeAttrs.html',1,'tvm::relay']]],
-  ['triluattrs_6543',['TriluAttrs',['../structtvm_1_1relay_1_1TriluAttrs.html',1,'tvm::relay']]],
-  ['tunecontext_6544',['TuneContext',['../classtvm_1_1meta__schedule_1_1TuneContext.html',1,'tvm::meta_schedule']]],
-  ['tunecontextnode_6545',['TuneContextNode',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html',1,'tvm::meta_schedule']]],
-  ['tuningoptions_6546',['TuningOptions',['../classtvm_1_1auto__scheduler_1_1TuningOptions.html',1,'tvm::auto_scheduler']]],
-  ['tuningoptionsnode_6547',['TuningOptionsNode',['../classtvm_1_1auto__scheduler_1_1TuningOptionsNode.html',1,'tvm::auto_scheduler']]],
-  ['tuningrecord_6548',['TuningRecord',['../classtvm_1_1meta__schedule_1_1TuningRecord.html',1,'tvm::meta_schedule']]],
-  ['tuningrecordnode_6549',['TuningRecordNode',['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html',1,'tvm::meta_schedule']]],
-  ['tuple_6550',['Tuple',['../classtvm_1_1relay_1_1Tuple.html',1,'tvm::relay']]],
-  ['tupleaffinetype_6551',['TupleAffineType',['../classtvm_1_1TupleAffineType.html',1,'tvm']]],
-  ['tupleaffinetypenode_6552',['TupleAffineTypeNode',['../classtvm_1_1TupleAffineTypeNode.html',1,'tvm']]],
-  ['tupledoc_6553',['TupleDoc',['../classtvm_1_1script_1_1printer_1_1TupleDoc.html',1,'tvm::script::printer']]],
-  ['tupledocnode_6554',['TupleDocNode',['../classtvm_1_1script_1_1printer_1_1TupleDocNode.html',1,'tvm::script::printer']]],
-  ['tuplegetitem_6555',['TupleGetItem',['../classtvm_1_1relay_1_1TupleGetItem.html',1,'tvm::relay']]],
-  ['tuplegetitemnode_6556',['TupleGetItemNode',['../classtvm_1_1relay_1_1TupleGetItemNode.html',1,'tvm::relay']]],
-  ['tuplegetitempattern_6557',['TupleGetItemPattern',['../classtvm_1_1relay_1_1TupleGetItemPattern.html',1,'tvm::relay']]],
-  ['tuplegetitempatternnode_6558',['TupleGetItemPatternNode',['../classtvm_1_1relay_1_1TupleGetItemPatternNode.html',1,'tvm::relay']]],
-  ['tuplenode_6559',['TupleNode',['../classtvm_1_1relay_1_1TupleNode.html',1,'tvm::relay']]],
-  ['tuplepattern_6560',['TuplePattern',['../classtvm_1_1relay_1_1TuplePattern.html',1,'tvm::relay']]],
-  ['tuplepatternnode_6561',['TuplePatternNode',['../classtvm_1_1relay_1_1TuplePatternNode.html',1,'tvm::relay']]],
-  ['tupletype_6562',['TupleType',['../classtvm_1_1TupleType.html',1,'tvm']]],
-  ['tupletypenode_6563',['TupleTypeNode',['../classtvm_1_1TupleTypeNode.html',1,'tvm']]],
-  ['tvm_5fworkspace_5ft_6564',['tvm_workspace_t',['../structtvm__workspace__t.html',1,'']]],
-  ['tvmaotexecutor_6565',['TVMAotExecutor',['../structTVMAotExecutor.html',1,'']]],
-  ['tvmargs_6566',['TVMArgs',['../classtvm_1_1runtime_1_1TVMArgs.html',1,'tvm::runtime::TVMArgs'],['../structTVMArgs.html',1,'TVMArgs']]],
-  ['tvmargssetter_6567',['TVMArgsSetter',['../classtvm_1_1runtime_1_1TVMArgsSetter.html',1,'tvm::runtime']]],
-  ['tvmargssetterapply_6568',['TVMArgsSetterApply',['../structtvm_1_1runtime_1_1TVMArgsSetterApply.html',1,'tvm::runtime']]],
-  ['tvmargvalue_6569',['TVMArgValue',['../classtvm_1_1runtime_1_1TVMArgValue.html',1,'tvm::runtime']]],
-  ['tvmbytearray_6570',['TVMByteArray',['../structTVMByteArray.html',1,'']]],
-  ['tvmconstantinfo_6571',['TVMConstantInfo',['../structTVMConstantInfo.html',1,'']]],
-  ['tvmfuncregistry_6572',['TVMFuncRegistry',['../structTVMFuncRegistry.html',1,'']]],
-  ['tvmgraphexecutorgraphattr_6573',['TVMGraphExecutorGraphAttr',['../structTVMGraphExecutorGraphAttr.html',1,'']]],
-  ['tvmmetadata_6574',['TVMMetadata',['../structTVMMetadata.html',1,'']]],
-  ['tvmmodule_6575',['TVMModule',['../structTVMModule.html',1,'']]],
-  ['tvmmovableargvalue_5f_6576',['TVMMovableArgValue_',['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html',1,'tvm::runtime']]],
-  ['tvmmovableargvaluewithcontext_5f_6577',['TVMMovableArgValueWithContext_',['../classtvm_1_1runtime_1_1TVMMovableArgValueWithContext__.html',1,'tvm::runtime']]],
-  ['tvmmutablefuncregistry_6578',['TVMMutableFuncRegistry',['../structTVMMutableFuncRegistry.html',1,'']]],
-  ['tvmopparam_6579',['TVMOpParam',['../structTVMOpParam.html',1,'']]],
-  ['tvmpackedfunc_6580',['TVMPackedFunc',['../structTVMPackedFunc.html',1,'']]],
-  ['tvmparallelgroupenv_6581',['TVMParallelGroupEnv',['../structTVMParallelGroupEnv.html',1,'']]],
-  ['tvmpodvalue_5f_6582',['TVMPODValue_',['../classtvm_1_1runtime_1_1TVMPODValue__.html',1,'tvm::runtime']]],
-  ['tvmretvalue_6583',['TVMRetValue',['../classtvm_1_1runtime_1_1TVMRetValue.html',1,'tvm::runtime']]],
-  ['tvmscriptprinter_6584',['TVMScriptPrinter',['../classtvm_1_1TVMScriptPrinter.html',1,'tvm']]],
-  ['tvmtensorinfo_6585',['TVMTensorInfo',['../structTVMTensorInfo.html',1,'']]],
-  ['tvmvalue_6586',['TVMValue',['../unionTVMValue.html',1,'']]],
-  ['type_6587',['Type',['../classtvm_1_1Type.html',1,'tvm']]],
-  ['typecall_6588',['TypeCall',['../classtvm_1_1TypeCall.html',1,'tvm']]],
-  ['typecallnode_6589',['TypeCallNode',['../classtvm_1_1TypeCallNode.html',1,'tvm']]],
-  ['typeconstraint_6590',['TypeConstraint',['../classtvm_1_1TypeConstraint.html',1,'tvm']]],
-  ['typeconstraintnode_6591',['TypeConstraintNode',['../classtvm_1_1TypeConstraintNode.html',1,'tvm']]],
-  ['typedata_6592',['TypeData',['../classtvm_1_1TypeData.html',1,'tvm']]],
-  ['typedatanode_6593',['TypeDataNode',['../classtvm_1_1TypeDataNode.html',1,'tvm']]],
-  ['typedenvfunc_6594',['TypedEnvFunc',['../classtvm_1_1TypedEnvFunc.html',1,'tvm']]],
-  ['typedenvfunc_3c_20bool_28const_20array_3c_20type_20_3e_20_26args_2c_20int_20num_5finputs_2c_20const_20attrs_20_26attrs_2c_20const_20typereporter_20_26reporter_29_3e_6595',['TypedEnvFunc&lt; bool(const Array&lt; Type &gt; &amp;args, int num_inputs, const Attrs &amp;attrs, const TypeReporter &amp;reporter)&gt;',['../classtvm_1_1TypedEnvFunc.html',1,'tvm']]],
-  ['typedenvfunc_3c_20r_28args_2e_2e_2e_29_3e_6596',['TypedEnvFunc&lt; R(Args...)&gt;',['../classtvm_1_1TypedEnvFunc_3_01R_07Args_8_8_8_08_4.html',1,'tvm']]],
-  ['typedpackedfunc_6597',['TypedPackedFunc',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20array_3c_20objectref_20_3e_28objectref_20json_5fattrs_29_3e_6598',['TypedPackedFunc&lt; Array&lt; ObjectRef &gt;(ObjectRef json_attrs)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20array_3c_20objectref_20_3e_28schedule_20sch_2c_20const_20array_3c_20objectref_20_3e_20_26inputs_2c_20const_20array_3c_20objectref_20_3e_20_26attrs_2c_20const_20optional_3c_20objectref_20_3e_20_26decision_29_3e_6599',['TypedPackedFunc&lt; Array&lt; ObjectRef &gt;(Schedule sch, const Array&lt; ObjectRef &gt; &amp;inputs, const Array&lt; ObjectRef &gt; &amp;attrs, const Optional&lt; ObjectRef &gt; &amp;decision)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html' [...]
-  ['typedpackedfunc_3c_20array_3c_20runnerresult_20_3e_28int_29_3e_6600',['TypedPackedFunc&lt; Array&lt; RunnerResult &gt;(int)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20array_3c_20te_3a_3atensor_20_3e_28const_20attrs_20_26attrs_2c_20const_20array_3c_20te_3a_3atensor_20_3e_20_26inputs_2c_20const_20type_20_26out_5ftype_29_3e_6601',['TypedPackedFunc&lt; Array&lt; te::Tensor &gt;(const Attrs &amp;attrs, const Array&lt; te::Tensor &gt; &amp;inputs, const Type &amp;out_type)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20array_3c_20tuningrecord_20_3e_28_29_3e_6602',['TypedPackedFunc&lt; Array&lt; TuningRecord &gt;()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20array_3c_20tuningrecord_20_3e_28const_20workload_20_26_2c_20int_29_3e_6603',['TypedPackedFunc&lt; Array&lt; TuningRecord &gt;(const Workload &amp;, int)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20array_3c_20tvm_3a_3aruntime_3a_3andarray_20_3e_28const_20tunecontext_20_26context_2c_20const_20array_3c_20measurecandidate_20_3e_20_26candidates_29_3e_6604',['TypedPackedFunc&lt; Array&lt; tvm::runtime::NDArray &gt;(const TuneContext &amp;context, const Array&lt; MeasureCandidate &gt; &amp;candidates)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20bool_28_29_3e_6605',['TypedPackedFunc&lt; bool()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20bool_28const_20irmodule_20_26_29_3e_6606',['TypedPackedFunc&lt; bool(const IRModule &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20int_28_29_3e_6607',['TypedPackedFunc&lt; int()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20int64_5ft_28_29_3e_6608',['TypedPackedFunc&lt; int64_t()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20objectref_28array_3c_20objectref_20_3e_20attrs_29_3e_6609',['TypedPackedFunc&lt; ObjectRef(Array&lt; ObjectRef &gt; attrs)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20optional_3c_20irmodule_20_3e_28const_20irmodule_20_26_2c_20const_20target_20_26_2c_20const_20string_20_26_29_3e_6610',['TypedPackedFunc&lt; Optional&lt; IRModule &gt;(const IRModule &amp;, const Target &amp;, const String &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20optional_3c_20tir_3a_3aschedule_20_3e_28const_20irmodule_20_26_2c_20const_20target_20_26_2c_20const_20string_20_26_29_3e_6611',['TypedPackedFunc&lt; Optional&lt; tir::Schedule &gt;(const IRModule &amp;, const Target &amp;, const String &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20optional_3c_20tuningrecord_20_3e_28const_20irmodule_20_26_2c_20const_20target_20_26_2c_20const_20string_20_26_29_3e_6612',['TypedPackedFunc&lt; Optional&lt; TuningRecord &gt;(const IRModule &amp;, const Target &amp;, const String &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20r_28args_2e_2e_2e_29_3e_6613',['TypedPackedFunc&lt; R(Args...)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20runnerresult_28_29_3e_6614',['TypedPackedFunc&lt; RunnerResult()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20string_28_29_3e_6615',['TypedPackedFunc&lt; String()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20string_28const_20array_3c_20objectref_20_3e_20_26inputs_2c_20const_20array_3c_20objectref_20_3e_20_26attrs_2c_20const_20optional_3c_20objectref_20_3e_20_26decision_2c_20const_20array_3c_20string_20_3e_20_26outputs_29_3e_6616',['TypedPackedFunc&lt; String(const Array&lt; ObjectRef &gt; &amp;inputs, const Array&lt; ObjectRef &gt; &amp;attrs, const Optional&lt; ObjectRef &gt; &amp;decision, const Array&lt; String &gt; &amp;outputs)&gt;',['../classtvm_1_1runtime_1_1T [...]
-  ['typedpackedfunc_3c_20targetjson_28targetjson_29_3e_6617',['TypedPackedFunc&lt; TargetJSON(TargetJSON)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20te_3a_3aschedule_28const_20attrs_20_26attrs_2c_20const_20array_3c_20te_3a_3atensor_20_3e_20_26outs_2c_20const_20target_20_26target_29_3e_6618',['TypedPackedFunc&lt; te::Schedule(const Attrs &amp;attrs, const Array&lt; te::Tensor &gt; &amp;outs, const Target &amp;target)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20tvm_3a_3atir_3a_3astmt_28array_3c_20tvm_3a_3atir_3a_3avar_20_3e_20loop_5fvars_2c_20array_3c_20range_20_3e_20loop_5fextents_2c_20tvm_3a_3atir_3a_3astmt_20loop_5fbody_29_3e_6619',['TypedPackedFunc&lt; tvm::tir::Stmt(Array&lt; tvm::tir::Var &gt; loop_vars, Array&lt; Range &gt; loop_extents, tvm::tir::Stmt loop_body)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28_29_3e_6620',['TypedPackedFunc&lt; void()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28array_3c_20tunecontext_20_3e_20tasks_2c_20array_3c_20floatimm_20_3e_20task_5fweights_2c_20int_20max_5ftrials_5fglobal_2c_20int_20max_5ftrials_5fper_5ftask_2c_20int_20num_5ftrials_5fper_5fiter_2c_20builder_20builder_2c_20runner_20runner_2c_20array_3c_20measurecallback_20_3e_20measure_5fcallbacks_2c_20optional_3c_20database_20_3e_20database_2c_20optional_3c_20costmodel_20_3e_20cost_5fmodel_29_3e_6621',['TypedPackedFunc&lt; void(Array&lt; TuneContext &gt; tas [...]
-  ['typedpackedfunc_3c_20void_28const_20taskscheduler_20_26task_5fscheduler_2c_20int_20task_5fid_2c_20const_20array_3c_20measurecandidate_20_3e_20_26measure_5fcandidates_2c_20const_20array_3c_20builderresult_20_3e_20_26builds_2c_20const_20array_3c_20runnerresult_20_3e_20_26results_29_3e_6622',['TypedPackedFunc&lt; void(const TaskScheduler &amp;task_scheduler, int task_id, const Array&lt; MeasureCandidate &gt; &amp;measure_candidates, const Array&lt; BuilderResult &gt; &amp;builds, const  [...]
-  ['typedpackedfunc_3c_20void_28const_20tunecontext_20_26_2c_20const_20array_3c_20measurecandidate_20_3e_20_26_2c_20const_20array_3c_20runnerresult_20_3e_20_26_29_3e_6623',['TypedPackedFunc&lt; void(const TuneContext &amp;, const Array&lt; MeasureCandidate &gt; &amp;, const Array&lt; RunnerResult &gt; &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28const_20tunecontext_20_26_2c_20const_20array_3c_20measurecandidate_20_3e_20_26_2c_20void_20_2ap_5faddr_29_3e_6624',['TypedPackedFunc&lt; void(const TuneContext &amp;, const Array&lt; MeasureCandidate &gt; &amp;, void *p_addr)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28const_20tuningrecord_20_26_29_3e_6625',['TypedPackedFunc&lt; void(const TuningRecord &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28size_5ft_2c_20void_20_2a_29_3e_6626',['TypedPackedFunc&lt; void(size_t, void *)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28string_29_3e_6627',['TypedPackedFunc&lt; void(String)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20void_28tvm_3a_3adiagnosticcontext_20ctx_29_3e_6628',['TypedPackedFunc&lt; void(tvm::DiagnosticContext ctx)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typedpackedfunc_3c_20workload_28const_20irmodule_20_26_29_3e_6629',['TypedPackedFunc&lt; Workload(const IRModule &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
-  ['typefunctor_6630',['TypeFunctor',['../classtvm_1_1TypeFunctor.html',1,'tvm']]],
-  ['typefunctor_3c_20r_28const_20type_20_26n_2c_20args_2e_2e_2e_29_3e_6631',['TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm']]],
-  ['typefunctor_3c_20type_28const_20type_20_26n_29_3e_6632',['TypeFunctor&lt; Type(const Type &amp;n)&gt;',['../classtvm_1_1TypeFunctor.html',1,'tvm']]],
-  ['typefunctor_3c_20void_28const_20type_20_26n_29_3e_6633',['TypeFunctor&lt; void(const Type &amp;n)&gt;',['../classtvm_1_1TypeFunctor.html',1,'tvm']]],
-  ['typeindex_6634',['TypeIndex',['../structtvm_1_1runtime_1_1TypeIndex.html',1,'tvm::runtime']]],
-  ['typemutator_6635',['TypeMutator',['../classtvm_1_1TypeMutator.html',1,'tvm']]],
-  ['typename_6636',['TypeName',['../structtvm_1_1detail_1_1TypeName.html',1,'tvm::detail']]],
-  ['typename_3c_20bool_20_3e_6637',['TypeName&lt; bool &gt;',['../structtvm_1_1detail_1_1TypeName_3_01bool_01_4.html',1,'tvm::detail']]],
-  ['typename_3c_20datatype_20_3e_6638',['TypeName&lt; DataType &gt;',['../structtvm_1_1detail_1_1TypeName_3_01DataType_01_4.html',1,'tvm::detail']]],
-  ['typename_3c_20double_20_3e_6639',['TypeName&lt; double &gt;',['../structtvm_1_1detail_1_1TypeName_3_01double_01_4.html',1,'tvm::detail']]],
-  ['typename_3c_20int_20_3e_6640',['TypeName&lt; int &gt;',['../structtvm_1_1detail_1_1TypeName_3_01int_01_4.html',1,'tvm::detail']]],
-  ['typename_3c_20int64_5ft_20_3e_6641',['TypeName&lt; int64_t &gt;',['../structtvm_1_1detail_1_1TypeName_3_01int64__t_01_4.html',1,'tvm::detail']]],
-  ['typename_3c_20uint64_5ft_20_3e_6642',['TypeName&lt; uint64_t &gt;',['../structtvm_1_1detail_1_1TypeName_3_01uint64__t_01_4.html',1,'tvm::detail']]],
-  ['typename_3c_20void_20_2a_20_3e_6643',['TypeName&lt; void * &gt;',['../structtvm_1_1detail_1_1TypeName_3_01void_01_5_01_4.html',1,'tvm::detail']]],
-  ['typenode_6644',['TypeNode',['../classtvm_1_1TypeNode.html',1,'tvm']]],
-  ['typepattern_6645',['TypePattern',['../classtvm_1_1relay_1_1TypePattern.html',1,'tvm::relay']]],
-  ['typepatternnode_6646',['TypePatternNode',['../classtvm_1_1relay_1_1TypePatternNode.html',1,'tvm::relay']]],
-  ['typerelation_6647',['TypeRelation',['../classtvm_1_1TypeRelation.html',1,'tvm']]],
-  ['typerelationnode_6648',['TypeRelationNode',['../classtvm_1_1TypeRelationNode.html',1,'tvm']]],
-  ['typereporter_6649',['TypeReporter',['../classtvm_1_1TypeReporter.html',1,'tvm']]],
-  ['typereporternode_6650',['TypeReporterNode',['../classtvm_1_1TypeReporterNode.html',1,'tvm']]],
-  ['typevar_6651',['TypeVar',['../classtvm_1_1TypeVar.html',1,'tvm']]],
-  ['typevarnode_6652',['TypeVarNode',['../classtvm_1_1TypeVarNode.html',1,'tvm']]],
-  ['typevisitor_6653',['TypeVisitor',['../classtvm_1_1TypeVisitor.html',1,'tvm']]]
+  ['takeattrs_6498',['TakeAttrs',['../structtvm_1_1relay_1_1TakeAttrs.html',1,'tvm::relay']]],
+  ['target_6499',['Target',['../classtvm_1_1Target.html',1,'tvm']]],
+  ['targetkind_6500',['TargetKind',['../classtvm_1_1TargetKind.html',1,'tvm']]],
+  ['targetkindattrmap_6501',['TargetKindAttrMap',['../classtvm_1_1TargetKindAttrMap.html',1,'tvm']]],
+  ['targetkindnode_6502',['TargetKindNode',['../classtvm_1_1TargetKindNode.html',1,'tvm']]],
+  ['targetkindregentry_6503',['TargetKindRegEntry',['../classtvm_1_1TargetKindRegEntry.html',1,'tvm']]],
+  ['targetnode_6504',['TargetNode',['../classtvm_1_1TargetNode.html',1,'tvm']]],
+  ['targettag_6505',['TargetTag',['../classtvm_1_1TargetTag.html',1,'tvm']]],
+  ['targettagnode_6506',['TargetTagNode',['../classtvm_1_1TargetTagNode.html',1,'tvm']]],
+  ['targettagregentry_6507',['TargetTagRegEntry',['../classtvm_1_1TargetTagRegEntry.html',1,'tvm']]],
+  ['taskrecord_6508',['TaskRecord',['../classtvm_1_1meta__schedule_1_1TaskRecord.html',1,'tvm::meta_schedule']]],
+  ['taskrecordnode_6509',['TaskRecordNode',['../classtvm_1_1meta__schedule_1_1TaskRecordNode.html',1,'tvm::meta_schedule']]],
+  ['taskscheduler_6510',['TaskScheduler',['../classtvm_1_1meta__schedule_1_1TaskScheduler.html',1,'tvm::meta_schedule']]],
+  ['taskschedulernode_6511',['TaskSchedulerNode',['../classtvm_1_1meta__schedule_1_1TaskSchedulerNode.html',1,'tvm::meta_schedule']]],
+  ['tempexpr_6512',['TempExpr',['../classtvm_1_1relay_1_1TempExpr.html',1,'tvm::relay']]],
+  ['tempexprnode_6513',['TempExprNode',['../classtvm_1_1relay_1_1TempExprNode.html',1,'tvm::relay']]],
+  ['tensor_6514',['Tensor',['../classtvm_1_1te_1_1Tensor.html',1,'tvm::te']]],
+  ['tensoraffinetype_6515',['TensorAffineType',['../classtvm_1_1TensorAffineType.html',1,'tvm']]],
+  ['tensoraffinetypenode_6516',['TensorAffineTypeNode',['../classtvm_1_1TensorAffineTypeNode.html',1,'tvm']]],
+  ['tensorcomputeop_6517',['TensorComputeOp',['../classtvm_1_1te_1_1TensorComputeOp.html',1,'tvm::te']]],
+  ['tensorcomputeopnode_6518',['TensorComputeOpNode',['../classtvm_1_1te_1_1TensorComputeOpNode.html',1,'tvm::te']]],
+  ['tensordom_6519',['TensorDom',['../structtvm_1_1te_1_1TensorDom.html',1,'tvm::te']]],
+  ['tensorinfo_6520',['TensorInfo',['../classtvm_1_1meta__schedule_1_1TensorInfo.html',1,'tvm::meta_schedule::TensorInfo'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfo.html',1,'tvm::runtime::metadata::TensorInfo']]],
+  ['tensorinfonode_6521',['TensorInfoNode',['../classtvm_1_1meta__schedule_1_1TensorInfoNode.html',1,'tvm::meta_schedule::TensorInfoNode'],['../classtvm_1_1runtime_1_1metadata_1_1TensorInfoNode.html',1,'tvm::runtime::metadata::TensorInfoNode']]],
+  ['tensorintrin_6522',['TensorIntrin',['../classtvm_1_1te_1_1TensorIntrin.html',1,'tvm::te::TensorIntrin'],['../classtvm_1_1tir_1_1TensorIntrin.html',1,'tvm::tir::TensorIntrin']]],
+  ['tensorintrincall_6523',['TensorIntrinCall',['../classtvm_1_1te_1_1TensorIntrinCall.html',1,'tvm::te']]],
+  ['tensorintrincallnode_6524',['TensorIntrinCallNode',['../classtvm_1_1te_1_1TensorIntrinCallNode.html',1,'tvm::te']]],
+  ['tensorintrinnode_6525',['TensorIntrinNode',['../classtvm_1_1te_1_1TensorIntrinNode.html',1,'tvm::te::TensorIntrinNode'],['../classtvm_1_1tir_1_1TensorIntrinNode.html',1,'tvm::tir::TensorIntrinNode']]],
+  ['tensornode_6526',['TensorNode',['../classtvm_1_1te_1_1TensorNode.html',1,'tvm::te']]],
+  ['tensortype_6527',['TensorType',['../classtvm_1_1TensorType.html',1,'tvm']]],
+  ['tensortypenode_6528',['TensorTypeNode',['../classtvm_1_1TensorTypeNode.html',1,'tvm']]],
+  ['thenframe_6529',['ThenFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ThenFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['thenframenode_6530',['ThenFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ThenFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['threadgroup_6531',['ThreadGroup',['../classtvm_1_1runtime_1_1threading_1_1ThreadGroup.html',1,'tvm::runtime::threading']]],
+  ['threefrygenerateattrs_6532',['ThreefryGenerateAttrs',['../structtvm_1_1relay_1_1ThreefryGenerateAttrs.html',1,'tvm::relay']]],
+  ['tileattrs_6533',['TileAttrs',['../structtvm_1_1relay_1_1TileAttrs.html',1,'tvm::relay']]],
+  ['timer_6534',['Timer',['../classtvm_1_1runtime_1_1Timer.html',1,'tvm::runtime']]],
+  ['timernode_6535',['TimerNode',['../classtvm_1_1runtime_1_1TimerNode.html',1,'tvm::runtime']]],
+  ['tirframe_6536',['TIRFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['tirframenode_6537',['TIRFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1TIRFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['topkattrs_6538',['TopKAttrs',['../structtvm_1_1relay_1_1TopKAttrs.html',1,'tvm::relay']]],
+  ['trace_6539',['Trace',['../classtvm_1_1tir_1_1Trace.html',1,'tvm::tir']]],
+  ['tracenode_6540',['TraceNode',['../classtvm_1_1tir_1_1TraceNode.html',1,'tvm::tir']]],
+  ['transform_6541',['Transform',['../classtvm_1_1te_1_1Transform.html',1,'tvm::te']]],
+  ['transformnode_6542',['TransformNode',['../classtvm_1_1te_1_1TransformNode.html',1,'tvm::te']]],
+  ['transitivecomparisonanalyzer_6543',['TransitiveComparisonAnalyzer',['../classtvm_1_1arith_1_1TransitiveComparisonAnalyzer.html',1,'tvm::arith']]],
+  ['transposeattrs_6544',['TransposeAttrs',['../structtvm_1_1relay_1_1TransposeAttrs.html',1,'tvm::relay']]],
+  ['triluattrs_6545',['TriluAttrs',['../structtvm_1_1relay_1_1TriluAttrs.html',1,'tvm::relay']]],
+  ['tunecontext_6546',['TuneContext',['../classtvm_1_1meta__schedule_1_1TuneContext.html',1,'tvm::meta_schedule']]],
+  ['tunecontextnode_6547',['TuneContextNode',['../classtvm_1_1meta__schedule_1_1TuneContextNode.html',1,'tvm::meta_schedule']]],
+  ['tuningoptions_6548',['TuningOptions',['../classtvm_1_1auto__scheduler_1_1TuningOptions.html',1,'tvm::auto_scheduler']]],
+  ['tuningoptionsnode_6549',['TuningOptionsNode',['../classtvm_1_1auto__scheduler_1_1TuningOptionsNode.html',1,'tvm::auto_scheduler']]],
+  ['tuningrecord_6550',['TuningRecord',['../classtvm_1_1meta__schedule_1_1TuningRecord.html',1,'tvm::meta_schedule']]],
+  ['tuningrecordnode_6551',['TuningRecordNode',['../classtvm_1_1meta__schedule_1_1TuningRecordNode.html',1,'tvm::meta_schedule']]],
+  ['tuple_6552',['Tuple',['../classtvm_1_1relay_1_1Tuple.html',1,'tvm::relay']]],
+  ['tupleaffinetype_6553',['TupleAffineType',['../classtvm_1_1TupleAffineType.html',1,'tvm']]],
+  ['tupleaffinetypenode_6554',['TupleAffineTypeNode',['../classtvm_1_1TupleAffineTypeNode.html',1,'tvm']]],
+  ['tupledoc_6555',['TupleDoc',['../classtvm_1_1script_1_1printer_1_1TupleDoc.html',1,'tvm::script::printer']]],
+  ['tupledocnode_6556',['TupleDocNode',['../classtvm_1_1script_1_1printer_1_1TupleDocNode.html',1,'tvm::script::printer']]],
+  ['tuplegetitem_6557',['TupleGetItem',['../classtvm_1_1relay_1_1TupleGetItem.html',1,'tvm::relay']]],
+  ['tuplegetitemnode_6558',['TupleGetItemNode',['../classtvm_1_1relay_1_1TupleGetItemNode.html',1,'tvm::relay']]],
+  ['tuplegetitempattern_6559',['TupleGetItemPattern',['../classtvm_1_1relay_1_1TupleGetItemPattern.html',1,'tvm::relay']]],
+  ['tuplegetitempatternnode_6560',['TupleGetItemPatternNode',['../classtvm_1_1relay_1_1TupleGetItemPatternNode.html',1,'tvm::relay']]],
+  ['tuplenode_6561',['TupleNode',['../classtvm_1_1relay_1_1TupleNode.html',1,'tvm::relay']]],
+  ['tuplepattern_6562',['TuplePattern',['../classtvm_1_1relay_1_1TuplePattern.html',1,'tvm::relay']]],
+  ['tuplepatternnode_6563',['TuplePatternNode',['../classtvm_1_1relay_1_1TuplePatternNode.html',1,'tvm::relay']]],
+  ['tupletype_6564',['TupleType',['../classtvm_1_1TupleType.html',1,'tvm']]],
+  ['tupletypenode_6565',['TupleTypeNode',['../classtvm_1_1TupleTypeNode.html',1,'tvm']]],
+  ['tvm_5fworkspace_5ft_6566',['tvm_workspace_t',['../structtvm__workspace__t.html',1,'']]],
+  ['tvmaotexecutor_6567',['TVMAotExecutor',['../structTVMAotExecutor.html',1,'']]],
+  ['tvmargs_6568',['TVMArgs',['../classtvm_1_1runtime_1_1TVMArgs.html',1,'tvm::runtime::TVMArgs'],['../structTVMArgs.html',1,'TVMArgs']]],
+  ['tvmargssetter_6569',['TVMArgsSetter',['../classtvm_1_1runtime_1_1TVMArgsSetter.html',1,'tvm::runtime']]],
+  ['tvmargssetterapply_6570',['TVMArgsSetterApply',['../structtvm_1_1runtime_1_1TVMArgsSetterApply.html',1,'tvm::runtime']]],
+  ['tvmargvalue_6571',['TVMArgValue',['../classtvm_1_1runtime_1_1TVMArgValue.html',1,'tvm::runtime']]],
+  ['tvmbytearray_6572',['TVMByteArray',['../structTVMByteArray.html',1,'']]],
+  ['tvmconstantinfo_6573',['TVMConstantInfo',['../structTVMConstantInfo.html',1,'']]],
+  ['tvmfuncregistry_6574',['TVMFuncRegistry',['../structTVMFuncRegistry.html',1,'']]],
+  ['tvmgraphexecutorgraphattr_6575',['TVMGraphExecutorGraphAttr',['../structTVMGraphExecutorGraphAttr.html',1,'']]],
+  ['tvmmetadata_6576',['TVMMetadata',['../structTVMMetadata.html',1,'']]],
+  ['tvmmodule_6577',['TVMModule',['../structTVMModule.html',1,'']]],
+  ['tvmmovableargvalue_5f_6578',['TVMMovableArgValue_',['../classtvm_1_1runtime_1_1TVMMovableArgValue__.html',1,'tvm::runtime']]],
+  ['tvmmovableargvaluewithcontext_5f_6579',['TVMMovableArgValueWithContext_',['../classtvm_1_1runtime_1_1TVMMovableArgValueWithContext__.html',1,'tvm::runtime']]],
+  ['tvmmutablefuncregistry_6580',['TVMMutableFuncRegistry',['../structTVMMutableFuncRegistry.html',1,'']]],
+  ['tvmopparam_6581',['TVMOpParam',['../structTVMOpParam.html',1,'']]],
+  ['tvmpackedfunc_6582',['TVMPackedFunc',['../structTVMPackedFunc.html',1,'']]],
+  ['tvmparallelgroupenv_6583',['TVMParallelGroupEnv',['../structTVMParallelGroupEnv.html',1,'']]],
+  ['tvmpodvalue_5f_6584',['TVMPODValue_',['../classtvm_1_1runtime_1_1TVMPODValue__.html',1,'tvm::runtime']]],
+  ['tvmretvalue_6585',['TVMRetValue',['../classtvm_1_1runtime_1_1TVMRetValue.html',1,'tvm::runtime']]],
+  ['tvmscriptprinter_6586',['TVMScriptPrinter',['../classtvm_1_1TVMScriptPrinter.html',1,'tvm']]],
+  ['tvmtensorinfo_6587',['TVMTensorInfo',['../structTVMTensorInfo.html',1,'']]],
+  ['tvmvalue_6588',['TVMValue',['../unionTVMValue.html',1,'']]],
+  ['type_6589',['Type',['../classtvm_1_1Type.html',1,'tvm']]],
+  ['typecall_6590',['TypeCall',['../classtvm_1_1TypeCall.html',1,'tvm']]],
+  ['typecallnode_6591',['TypeCallNode',['../classtvm_1_1TypeCallNode.html',1,'tvm']]],
+  ['typeconstraint_6592',['TypeConstraint',['../classtvm_1_1TypeConstraint.html',1,'tvm']]],
+  ['typeconstraintnode_6593',['TypeConstraintNode',['../classtvm_1_1TypeConstraintNode.html',1,'tvm']]],
+  ['typedata_6594',['TypeData',['../classtvm_1_1TypeData.html',1,'tvm']]],
+  ['typedatanode_6595',['TypeDataNode',['../classtvm_1_1TypeDataNode.html',1,'tvm']]],
+  ['typedenvfunc_6596',['TypedEnvFunc',['../classtvm_1_1TypedEnvFunc.html',1,'tvm']]],
+  ['typedenvfunc_3c_20bool_28const_20array_3c_20type_20_3e_20_26args_2c_20int_20num_5finputs_2c_20const_20attrs_20_26attrs_2c_20const_20typereporter_20_26reporter_29_3e_6597',['TypedEnvFunc&lt; bool(const Array&lt; Type &gt; &amp;args, int num_inputs, const Attrs &amp;attrs, const TypeReporter &amp;reporter)&gt;',['../classtvm_1_1TypedEnvFunc.html',1,'tvm']]],
+  ['typedenvfunc_3c_20r_28args_2e_2e_2e_29_3e_6598',['TypedEnvFunc&lt; R(Args...)&gt;',['../classtvm_1_1TypedEnvFunc_3_01R_07Args_8_8_8_08_4.html',1,'tvm']]],
+  ['typedpackedfunc_6599',['TypedPackedFunc',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20array_3c_20objectref_20_3e_28objectref_20json_5fattrs_29_3e_6600',['TypedPackedFunc&lt; Array&lt; ObjectRef &gt;(ObjectRef json_attrs)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20array_3c_20objectref_20_3e_28schedule_20sch_2c_20const_20array_3c_20objectref_20_3e_20_26inputs_2c_20const_20array_3c_20objectref_20_3e_20_26attrs_2c_20const_20optional_3c_20objectref_20_3e_20_26decision_29_3e_6601',['TypedPackedFunc&lt; Array&lt; ObjectRef &gt;(Schedule sch, const Array&lt; ObjectRef &gt; &amp;inputs, const Array&lt; ObjectRef &gt; &amp;attrs, const Optional&lt; ObjectRef &gt; &amp;decision)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html' [...]
+  ['typedpackedfunc_3c_20array_3c_20runnerresult_20_3e_28int_29_3e_6602',['TypedPackedFunc&lt; Array&lt; RunnerResult &gt;(int)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20array_3c_20te_3a_3atensor_20_3e_28const_20attrs_20_26attrs_2c_20const_20array_3c_20te_3a_3atensor_20_3e_20_26inputs_2c_20const_20type_20_26out_5ftype_29_3e_6603',['TypedPackedFunc&lt; Array&lt; te::Tensor &gt;(const Attrs &amp;attrs, const Array&lt; te::Tensor &gt; &amp;inputs, const Type &amp;out_type)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20array_3c_20tuningrecord_20_3e_28_29_3e_6604',['TypedPackedFunc&lt; Array&lt; TuningRecord &gt;()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20array_3c_20tuningrecord_20_3e_28const_20workload_20_26_2c_20int_29_3e_6605',['TypedPackedFunc&lt; Array&lt; TuningRecord &gt;(const Workload &amp;, int)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20array_3c_20tvm_3a_3aruntime_3a_3andarray_20_3e_28const_20tunecontext_20_26context_2c_20const_20array_3c_20measurecandidate_20_3e_20_26candidates_29_3e_6606',['TypedPackedFunc&lt; Array&lt; tvm::runtime::NDArray &gt;(const TuneContext &amp;context, const Array&lt; MeasureCandidate &gt; &amp;candidates)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20bool_28_29_3e_6607',['TypedPackedFunc&lt; bool()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20bool_28const_20irmodule_20_26_29_3e_6608',['TypedPackedFunc&lt; bool(const IRModule &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20int_28_29_3e_6609',['TypedPackedFunc&lt; int()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20int64_5ft_28_29_3e_6610',['TypedPackedFunc&lt; int64_t()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20objectref_28array_3c_20objectref_20_3e_20attrs_29_3e_6611',['TypedPackedFunc&lt; ObjectRef(Array&lt; ObjectRef &gt; attrs)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20optional_3c_20irmodule_20_3e_28const_20irmodule_20_26_2c_20const_20target_20_26_2c_20const_20string_20_26_29_3e_6612',['TypedPackedFunc&lt; Optional&lt; IRModule &gt;(const IRModule &amp;, const Target &amp;, const String &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20optional_3c_20tir_3a_3aschedule_20_3e_28const_20irmodule_20_26_2c_20const_20target_20_26_2c_20const_20string_20_26_29_3e_6613',['TypedPackedFunc&lt; Optional&lt; tir::Schedule &gt;(const IRModule &amp;, const Target &amp;, const String &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20optional_3c_20tuningrecord_20_3e_28const_20irmodule_20_26_2c_20const_20target_20_26_2c_20const_20string_20_26_29_3e_6614',['TypedPackedFunc&lt; Optional&lt; TuningRecord &gt;(const IRModule &amp;, const Target &amp;, const String &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20r_28args_2e_2e_2e_29_3e_6615',['TypedPackedFunc&lt; R(Args...)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc_3_01R_07Args_8_8_8_08_4.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20runnerresult_28_29_3e_6616',['TypedPackedFunc&lt; RunnerResult()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20string_28_29_3e_6617',['TypedPackedFunc&lt; String()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20string_28const_20array_3c_20objectref_20_3e_20_26inputs_2c_20const_20array_3c_20objectref_20_3e_20_26attrs_2c_20const_20optional_3c_20objectref_20_3e_20_26decision_2c_20const_20array_3c_20string_20_3e_20_26outputs_29_3e_6618',['TypedPackedFunc&lt; String(const Array&lt; ObjectRef &gt; &amp;inputs, const Array&lt; ObjectRef &gt; &amp;attrs, const Optional&lt; ObjectRef &gt; &amp;decision, const Array&lt; String &gt; &amp;outputs)&gt;',['../classtvm_1_1runtime_1_1T [...]
+  ['typedpackedfunc_3c_20targetjson_28targetjson_29_3e_6619',['TypedPackedFunc&lt; TargetJSON(TargetJSON)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20te_3a_3aschedule_28const_20attrs_20_26attrs_2c_20const_20array_3c_20te_3a_3atensor_20_3e_20_26outs_2c_20const_20target_20_26target_29_3e_6620',['TypedPackedFunc&lt; te::Schedule(const Attrs &amp;attrs, const Array&lt; te::Tensor &gt; &amp;outs, const Target &amp;target)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20tvm_3a_3atir_3a_3astmt_28array_3c_20tvm_3a_3atir_3a_3avar_20_3e_20loop_5fvars_2c_20array_3c_20range_20_3e_20loop_5fextents_2c_20tvm_3a_3atir_3a_3astmt_20loop_5fbody_29_3e_6621',['TypedPackedFunc&lt; tvm::tir::Stmt(Array&lt; tvm::tir::Var &gt; loop_vars, Array&lt; Range &gt; loop_extents, tvm::tir::Stmt loop_body)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28_29_3e_6622',['TypedPackedFunc&lt; void()&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28array_3c_20tunecontext_20_3e_20tasks_2c_20array_3c_20floatimm_20_3e_20task_5fweights_2c_20int_20max_5ftrials_5fglobal_2c_20int_20max_5ftrials_5fper_5ftask_2c_20int_20num_5ftrials_5fper_5fiter_2c_20builder_20builder_2c_20runner_20runner_2c_20array_3c_20measurecallback_20_3e_20measure_5fcallbacks_2c_20optional_3c_20database_20_3e_20database_2c_20optional_3c_20costmodel_20_3e_20cost_5fmodel_29_3e_6623',['TypedPackedFunc&lt; void(Array&lt; TuneContext &gt; tas [...]
+  ['typedpackedfunc_3c_20void_28const_20taskscheduler_20_26task_5fscheduler_2c_20int_20task_5fid_2c_20const_20array_3c_20measurecandidate_20_3e_20_26measure_5fcandidates_2c_20const_20array_3c_20builderresult_20_3e_20_26builds_2c_20const_20array_3c_20runnerresult_20_3e_20_26results_29_3e_6624',['TypedPackedFunc&lt; void(const TaskScheduler &amp;task_scheduler, int task_id, const Array&lt; MeasureCandidate &gt; &amp;measure_candidates, const Array&lt; BuilderResult &gt; &amp;builds, const  [...]
+  ['typedpackedfunc_3c_20void_28const_20tunecontext_20_26_2c_20const_20array_3c_20measurecandidate_20_3e_20_26_2c_20const_20array_3c_20runnerresult_20_3e_20_26_29_3e_6625',['TypedPackedFunc&lt; void(const TuneContext &amp;, const Array&lt; MeasureCandidate &gt; &amp;, const Array&lt; RunnerResult &gt; &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28const_20tunecontext_20_26_2c_20const_20array_3c_20measurecandidate_20_3e_20_26_2c_20void_20_2ap_5faddr_29_3e_6626',['TypedPackedFunc&lt; void(const TuneContext &amp;, const Array&lt; MeasureCandidate &gt; &amp;, void *p_addr)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28const_20tuningrecord_20_26_29_3e_6627',['TypedPackedFunc&lt; void(const TuningRecord &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28size_5ft_2c_20void_20_2a_29_3e_6628',['TypedPackedFunc&lt; void(size_t, void *)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28string_29_3e_6629',['TypedPackedFunc&lt; void(String)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20void_28tvm_3a_3adiagnosticcontext_20ctx_29_3e_6630',['TypedPackedFunc&lt; void(tvm::DiagnosticContext ctx)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typedpackedfunc_3c_20workload_28const_20irmodule_20_26_29_3e_6631',['TypedPackedFunc&lt; Workload(const IRModule &amp;)&gt;',['../classtvm_1_1runtime_1_1TypedPackedFunc.html',1,'tvm::runtime']]],
+  ['typefunctor_6632',['TypeFunctor',['../classtvm_1_1TypeFunctor.html',1,'tvm']]],
+  ['typefunctor_3c_20r_28const_20type_20_26n_2c_20args_2e_2e_2e_29_3e_6633',['TypeFunctor&lt; R(const Type &amp;n, Args...)&gt;',['../classtvm_1_1TypeFunctor_3_01R_07const_01Type_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm']]],
+  ['typefunctor_3c_20type_28const_20type_20_26n_29_3e_6634',['TypeFunctor&lt; Type(const Type &amp;n)&gt;',['../classtvm_1_1TypeFunctor.html',1,'tvm']]],
+  ['typefunctor_3c_20void_28const_20type_20_26n_29_3e_6635',['TypeFunctor&lt; void(const Type &amp;n)&gt;',['../classtvm_1_1TypeFunctor.html',1,'tvm']]],
+  ['typeindex_6636',['TypeIndex',['../structtvm_1_1runtime_1_1TypeIndex.html',1,'tvm::runtime']]],
+  ['typemutator_6637',['TypeMutator',['../classtvm_1_1TypeMutator.html',1,'tvm']]],
+  ['typename_6638',['TypeName',['../structtvm_1_1detail_1_1TypeName.html',1,'tvm::detail']]],
+  ['typename_3c_20bool_20_3e_6639',['TypeName&lt; bool &gt;',['../structtvm_1_1detail_1_1TypeName_3_01bool_01_4.html',1,'tvm::detail']]],
+  ['typename_3c_20datatype_20_3e_6640',['TypeName&lt; DataType &gt;',['../structtvm_1_1detail_1_1TypeName_3_01DataType_01_4.html',1,'tvm::detail']]],
+  ['typename_3c_20double_20_3e_6641',['TypeName&lt; double &gt;',['../structtvm_1_1detail_1_1TypeName_3_01double_01_4.html',1,'tvm::detail']]],
+  ['typename_3c_20int_20_3e_6642',['TypeName&lt; int &gt;',['../structtvm_1_1detail_1_1TypeName_3_01int_01_4.html',1,'tvm::detail']]],
+  ['typename_3c_20int64_5ft_20_3e_6643',['TypeName&lt; int64_t &gt;',['../structtvm_1_1detail_1_1TypeName_3_01int64__t_01_4.html',1,'tvm::detail']]],
+  ['typename_3c_20uint64_5ft_20_3e_6644',['TypeName&lt; uint64_t &gt;',['../structtvm_1_1detail_1_1TypeName_3_01uint64__t_01_4.html',1,'tvm::detail']]],
+  ['typename_3c_20void_20_2a_20_3e_6645',['TypeName&lt; void * &gt;',['../structtvm_1_1detail_1_1TypeName_3_01void_01_5_01_4.html',1,'tvm::detail']]],
+  ['typenode_6646',['TypeNode',['../classtvm_1_1TypeNode.html',1,'tvm']]],
+  ['typepattern_6647',['TypePattern',['../classtvm_1_1relay_1_1TypePattern.html',1,'tvm::relay']]],
+  ['typepatternnode_6648',['TypePatternNode',['../classtvm_1_1relay_1_1TypePatternNode.html',1,'tvm::relay']]],
+  ['typerelation_6649',['TypeRelation',['../classtvm_1_1TypeRelation.html',1,'tvm']]],
+  ['typerelationnode_6650',['TypeRelationNode',['../classtvm_1_1TypeRelationNode.html',1,'tvm']]],
+  ['typereporter_6651',['TypeReporter',['../classtvm_1_1TypeReporter.html',1,'tvm']]],
+  ['typereporternode_6652',['TypeReporterNode',['../classtvm_1_1TypeReporterNode.html',1,'tvm']]],
+  ['typevar_6653',['TypeVar',['../classtvm_1_1TypeVar.html',1,'tvm']]],
+  ['typevarnode_6654',['TypeVarNode',['../classtvm_1_1TypeVarNode.html',1,'tvm']]],
+  ['typevisitor_6655',['TypeVisitor',['../classtvm_1_1TypeVisitor.html',1,'tvm']]]
 ];
diff --git a/docs/reference/api/doxygen/search/classes_12.js b/docs/reference/api/doxygen/search/classes_12.js
index 3fde024b80..48abcfddbf 100644
--- a/docs/reference/api/doxygen/search/classes_12.js
+++ b/docs/reference/api/doxygen/search/classes_12.js
@@ -1,10 +1,10 @@
 var searchData=
 [
-  ['unframer_6654',['Unframer',['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html',1,'tvm::runtime::micro_rpc']]],
-  ['uniformattrs_6655',['UniformAttrs',['../structtvm_1_1relay_1_1UniformAttrs.html',1,'tvm::relay']]],
-  ['uniqueattrs_6656',['UniqueAttrs',['../structtvm_1_1relay_1_1UniqueAttrs.html',1,'tvm::relay']]],
-  ['unknownattributeaccesspath_6657',['UnknownAttributeAccessPath',['../classtvm_1_1UnknownAttributeAccessPath.html',1,'tvm']]],
-  ['unknownattributeaccesspathnode_6658',['UnknownAttributeAccessPathNode',['../classtvm_1_1UnknownAttributeAccessPathNode.html',1,'tvm']]],
-  ['upsampling3dattrs_6659',['UpSampling3DAttrs',['../structtvm_1_1relay_1_1UpSampling3DAttrs.html',1,'tvm::relay']]],
-  ['upsamplingattrs_6660',['UpSamplingAttrs',['../structtvm_1_1relay_1_1UpSamplingAttrs.html',1,'tvm::relay']]]
+  ['unframer_6656',['Unframer',['../classtvm_1_1runtime_1_1micro__rpc_1_1Unframer.html',1,'tvm::runtime::micro_rpc']]],
+  ['uniformattrs_6657',['UniformAttrs',['../structtvm_1_1relay_1_1UniformAttrs.html',1,'tvm::relay']]],
+  ['uniqueattrs_6658',['UniqueAttrs',['../structtvm_1_1relay_1_1UniqueAttrs.html',1,'tvm::relay']]],
+  ['unknownattributeaccesspath_6659',['UnknownAttributeAccessPath',['../classtvm_1_1UnknownAttributeAccessPath.html',1,'tvm']]],
+  ['unknownattributeaccesspathnode_6660',['UnknownAttributeAccessPathNode',['../classtvm_1_1UnknownAttributeAccessPathNode.html',1,'tvm']]],
+  ['upsampling3dattrs_6661',['UpSampling3DAttrs',['../structtvm_1_1relay_1_1UpSampling3DAttrs.html',1,'tvm::relay']]],
+  ['upsamplingattrs_6662',['UpSamplingAttrs',['../structtvm_1_1relay_1_1UpSamplingAttrs.html',1,'tvm::relay']]]
 ];
diff --git a/docs/reference/api/doxygen/search/classes_13.js b/docs/reference/api/doxygen/search/classes_13.js
index 135c4b345e..192a15e9c5 100644
--- a/docs/reference/api/doxygen/search/classes_13.js
+++ b/docs/reference/api/doxygen/search/classes_13.js
@@ -1,20 +1,20 @@
 var searchData=
 [
-  ['v_5finfo_6661',['v_info',['../structtvm_1_1relay_1_1v__info.html',1,'tvm::relay']]],
-  ['valueconverter_6662',['ValueConverter',['../structtvm_1_1runtime_1_1Array_1_1ValueConverter.html',1,'tvm::runtime::Array']]],
-  ['valuetypeinfomaker_6663',['ValueTypeInfoMaker',['../structtvm_1_1detail_1_1ValueTypeInfoMaker.html',1,'tvm::detail']]],
-  ['var_6664',['Var',['../classtvm_1_1relay_1_1Var.html',1,'tvm::relay::Var'],['../classtvm_1_1tir_1_1Var.html',1,'tvm::tir::Var']]],
-  ['variableinfo_6665',['VariableInfo',['../structtvm_1_1script_1_1printer_1_1IRDocsifierNode_1_1VariableInfo.html',1,'tvm::script::printer::IRDocsifierNode']]],
-  ['varianceattrs_6666',['VarianceAttrs',['../structtvm_1_1relay_1_1VarianceAttrs.html',1,'tvm::relay']]],
-  ['varnode_6667',['VarNode',['../classtvm_1_1relay_1_1VarNode.html',1,'tvm::relay::VarNode'],['../classtvm_1_1tir_1_1VarNode.html',1,'tvm::tir::VarNode']]],
-  ['varpattern_6668',['VarPattern',['../classtvm_1_1relay_1_1VarPattern.html',1,'tvm::relay']]],
-  ['varpatternnode_6669',['VarPatternNode',['../classtvm_1_1relay_1_1VarPatternNode.html',1,'tvm::relay']]],
-  ['virtualdevice_6670',['VirtualDevice',['../classtvm_1_1VirtualDevice.html',1,'tvm']]],
-  ['virtualdevicecache_6671',['VirtualDeviceCache',['../classtvm_1_1VirtualDeviceCache.html',1,'tvm']]],
-  ['virtualdevicenode_6672',['VirtualDeviceNode',['../classtvm_1_1VirtualDeviceNode.html',1,'tvm']]],
-  ['virtualmachine_6673',['VirtualMachine',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html',1,'tvm::runtime::vm']]],
-  ['vmclosure_6674',['VMClosure',['../classtvm_1_1runtime_1_1vm_1_1VMClosure.html',1,'tvm::runtime::vm']]],
-  ['vmclosureobj_6675',['VMClosureObj',['../classtvm_1_1runtime_1_1vm_1_1VMClosureObj.html',1,'tvm::runtime::vm']]],
-  ['vmframe_6676',['VMFrame',['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html',1,'tvm::runtime::vm']]],
-  ['vmfunction_6677',['VMFunction',['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html',1,'tvm::runtime::vm']]]
+  ['v_5finfo_6663',['v_info',['../structtvm_1_1relay_1_1v__info.html',1,'tvm::relay']]],
+  ['valueconverter_6664',['ValueConverter',['../structtvm_1_1runtime_1_1Array_1_1ValueConverter.html',1,'tvm::runtime::Array']]],
+  ['valuetypeinfomaker_6665',['ValueTypeInfoMaker',['../structtvm_1_1detail_1_1ValueTypeInfoMaker.html',1,'tvm::detail']]],
+  ['var_6666',['Var',['../classtvm_1_1relay_1_1Var.html',1,'tvm::relay::Var'],['../classtvm_1_1tir_1_1Var.html',1,'tvm::tir::Var']]],
+  ['variableinfo_6667',['VariableInfo',['../structtvm_1_1script_1_1printer_1_1IRDocsifierNode_1_1VariableInfo.html',1,'tvm::script::printer::IRDocsifierNode']]],
+  ['varianceattrs_6668',['VarianceAttrs',['../structtvm_1_1relay_1_1VarianceAttrs.html',1,'tvm::relay']]],
+  ['varnode_6669',['VarNode',['../classtvm_1_1relay_1_1VarNode.html',1,'tvm::relay::VarNode'],['../classtvm_1_1tir_1_1VarNode.html',1,'tvm::tir::VarNode']]],
+  ['varpattern_6670',['VarPattern',['../classtvm_1_1relay_1_1VarPattern.html',1,'tvm::relay']]],
+  ['varpatternnode_6671',['VarPatternNode',['../classtvm_1_1relay_1_1VarPatternNode.html',1,'tvm::relay']]],
+  ['virtualdevice_6672',['VirtualDevice',['../classtvm_1_1VirtualDevice.html',1,'tvm']]],
+  ['virtualdevicecache_6673',['VirtualDeviceCache',['../classtvm_1_1VirtualDeviceCache.html',1,'tvm']]],
+  ['virtualdevicenode_6674',['VirtualDeviceNode',['../classtvm_1_1VirtualDeviceNode.html',1,'tvm']]],
+  ['virtualmachine_6675',['VirtualMachine',['../classtvm_1_1runtime_1_1vm_1_1VirtualMachine.html',1,'tvm::runtime::vm']]],
+  ['vmclosure_6676',['VMClosure',['../classtvm_1_1runtime_1_1vm_1_1VMClosure.html',1,'tvm::runtime::vm']]],
+  ['vmclosureobj_6677',['VMClosureObj',['../classtvm_1_1runtime_1_1vm_1_1VMClosureObj.html',1,'tvm::runtime::vm']]],
+  ['vmframe_6678',['VMFrame',['../structtvm_1_1runtime_1_1vm_1_1VMFrame.html',1,'tvm::runtime::vm']]],
+  ['vmfunction_6679',['VMFunction',['../structtvm_1_1runtime_1_1vm_1_1VMFunction.html',1,'tvm::runtime::vm']]]
 ];
diff --git a/docs/reference/api/doxygen/search/classes_14.js b/docs/reference/api/doxygen/search/classes_14.js
index b66509713f..46217e636b 100644
--- a/docs/reference/api/doxygen/search/classes_14.js
+++ b/docs/reference/api/doxygen/search/classes_14.js
@@ -1,21 +1,21 @@
 var searchData=
 [
-  ['while_6678',['While',['../classtvm_1_1tir_1_1While.html',1,'tvm::tir']]],
-  ['whiledoc_6679',['WhileDoc',['../classtvm_1_1script_1_1printer_1_1WhileDoc.html',1,'tvm::script::printer']]],
-  ['whiledocnode_6680',['WhileDocNode',['../classtvm_1_1script_1_1printer_1_1WhileDocNode.html',1,'tvm::script::printer']]],
-  ['whileframe_6681',['WhileFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1WhileFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['whileframenode_6682',['WhileFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1WhileFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['whilenode_6683',['WhileNode',['../classtvm_1_1tir_1_1WhileNode.html',1,'tvm::tir']]],
-  ['wildcardpattern_6684',['WildcardPattern',['../classtvm_1_1relay_1_1WildcardPattern.html',1,'tvm::relay']]],
-  ['wildcardpatternnode_6685',['WildcardPatternNode',['../classtvm_1_1relay_1_1WildcardPatternNode.html',1,'tvm::relay']]],
-  ['with_6686',['With',['../classtvm_1_1With.html',1,'tvm']]],
-  ['workload_6687',['Workload',['../classtvm_1_1meta__schedule_1_1Workload.html',1,'tvm::meta_schedule']]],
-  ['workloadequal_6688',['WorkloadEqual',['../structtvm_1_1meta__schedule_1_1WorkloadEqual.html',1,'tvm::meta_schedule']]],
-  ['workloadhash_6689',['WorkloadHash',['../structtvm_1_1meta__schedule_1_1WorkloadHash.html',1,'tvm::meta_schedule']]],
-  ['workloadnode_6690',['WorkloadNode',['../classtvm_1_1meta__schedule_1_1WorkloadNode.html',1,'tvm::meta_schedule']]],
-  ['workspacememorypools_6691',['WorkspaceMemoryPools',['../classtvm_1_1WorkspaceMemoryPools.html',1,'tvm']]],
-  ['workspacememorypoolsnode_6692',['WorkspaceMemoryPoolsNode',['../structtvm_1_1WorkspaceMemoryPoolsNode.html',1,'tvm']]],
-  ['workspacepoolinfo_6693',['WorkspacePoolInfo',['../classtvm_1_1WorkspacePoolInfo.html',1,'tvm']]],
-  ['workspacepoolinfonode_6694',['WorkspacePoolInfoNode',['../structtvm_1_1WorkspacePoolInfoNode.html',1,'tvm']]],
-  ['writestream_6695',['WriteStream',['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteStream.html',1,'tvm::runtime::micro_rpc']]]
+  ['while_6680',['While',['../classtvm_1_1tir_1_1While.html',1,'tvm::tir']]],
+  ['whiledoc_6681',['WhileDoc',['../classtvm_1_1script_1_1printer_1_1WhileDoc.html',1,'tvm::script::printer']]],
+  ['whiledocnode_6682',['WhileDocNode',['../classtvm_1_1script_1_1printer_1_1WhileDocNode.html',1,'tvm::script::printer']]],
+  ['whileframe_6683',['WhileFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1WhileFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['whileframenode_6684',['WhileFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1WhileFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['whilenode_6685',['WhileNode',['../classtvm_1_1tir_1_1WhileNode.html',1,'tvm::tir']]],
+  ['wildcardpattern_6686',['WildcardPattern',['../classtvm_1_1relay_1_1WildcardPattern.html',1,'tvm::relay']]],
+  ['wildcardpatternnode_6687',['WildcardPatternNode',['../classtvm_1_1relay_1_1WildcardPatternNode.html',1,'tvm::relay']]],
+  ['with_6688',['With',['../classtvm_1_1With.html',1,'tvm']]],
+  ['workload_6689',['Workload',['../classtvm_1_1meta__schedule_1_1Workload.html',1,'tvm::meta_schedule']]],
+  ['workloadequal_6690',['WorkloadEqual',['../structtvm_1_1meta__schedule_1_1WorkloadEqual.html',1,'tvm::meta_schedule']]],
+  ['workloadhash_6691',['WorkloadHash',['../structtvm_1_1meta__schedule_1_1WorkloadHash.html',1,'tvm::meta_schedule']]],
+  ['workloadnode_6692',['WorkloadNode',['../classtvm_1_1meta__schedule_1_1WorkloadNode.html',1,'tvm::meta_schedule']]],
+  ['workspacememorypools_6693',['WorkspaceMemoryPools',['../classtvm_1_1WorkspaceMemoryPools.html',1,'tvm']]],
+  ['workspacememorypoolsnode_6694',['WorkspaceMemoryPoolsNode',['../structtvm_1_1WorkspaceMemoryPoolsNode.html',1,'tvm']]],
+  ['workspacepoolinfo_6695',['WorkspacePoolInfo',['../classtvm_1_1WorkspacePoolInfo.html',1,'tvm']]],
+  ['workspacepoolinfonode_6696',['WorkspacePoolInfoNode',['../structtvm_1_1WorkspacePoolInfoNode.html',1,'tvm']]],
+  ['writestream_6697',['WriteStream',['../classtvm_1_1runtime_1_1micro__rpc_1_1WriteStream.html',1,'tvm::runtime::micro_rpc']]]
 ];
diff --git a/docs/reference/api/doxygen/search/classes_15.js b/docs/reference/api/doxygen/search/classes_15.js
index a4bfbdb425..4768112dc7 100644
--- a/docs/reference/api/doxygen/search/classes_15.js
+++ b/docs/reference/api/doxygen/search/classes_15.js
@@ -1,4 +1,4 @@
 var searchData=
 [
-  ['yoloreorgattrs_6696',['YoloReorgAttrs',['../structtvm_1_1relay_1_1YoloReorgAttrs.html',1,'tvm::relay']]]
+  ['yoloreorgattrs_6698',['YoloReorgAttrs',['../structtvm_1_1relay_1_1YoloReorgAttrs.html',1,'tvm::relay']]]
 ];
diff --git a/docs/reference/api/doxygen/search/classes_2.js b/docs/reference/api/doxygen/search/classes_2.js
index a777df5619..4ffd1f5547 100644
--- a/docs/reference/api/doxygen/search/classes_2.js
+++ b/docs/reference/api/doxygen/search/classes_2.js
@@ -1,91 +1,91 @@
 var searchData=
 [
-  ['cachereadstep_5647',['CacheReadStep',['../classtvm_1_1auto__scheduler_1_1CacheReadStep.html',1,'tvm::auto_scheduler']]],
-  ['cachereadstepnode_5648',['CacheReadStepNode',['../classtvm_1_1auto__scheduler_1_1CacheReadStepNode.html',1,'tvm::auto_scheduler']]],
-  ['cachewritestep_5649',['CacheWriteStep',['../classtvm_1_1auto__scheduler_1_1CacheWriteStep.html',1,'tvm::auto_scheduler']]],
-  ['cachewritestepnode_5650',['CacheWriteStepNode',['../classtvm_1_1auto__scheduler_1_1CacheWriteStepNode.html',1,'tvm::auto_scheduler']]],
-  ['call_5651',['Call',['../classtvm_1_1relay_1_1Call.html',1,'tvm::relay::Call'],['../classtvm_1_1tir_1_1Call.html',1,'tvm::tir::Call']]],
-  ['calldoc_5652',['CallDoc',['../classtvm_1_1script_1_1printer_1_1CallDoc.html',1,'tvm::script::printer']]],
-  ['calldocnode_5653',['CallDocNode',['../classtvm_1_1script_1_1printer_1_1CallDocNode.html',1,'tvm::script::printer']]],
-  ['callframe_5654',['CallFrame',['../structtvm_1_1runtime_1_1profiling_1_1CallFrame.html',1,'tvm::runtime::profiling']]],
-  ['callloweredattrs_5655',['CallLoweredAttrs',['../structtvm_1_1relay_1_1CallLoweredAttrs.html',1,'tvm::relay']]],
-  ['callnode_5656',['CallNode',['../classtvm_1_1relay_1_1CallNode.html',1,'tvm::relay::CallNode'],['../classtvm_1_1tir_1_1CallNode.html',1,'tvm::tir::CallNode']]],
-  ['callpattern_5657',['CallPattern',['../classtvm_1_1relay_1_1CallPattern.html',1,'tvm::relay']]],
-  ['callpatternnode_5658',['CallPatternNode',['../classtvm_1_1relay_1_1CallPatternNode.html',1,'tvm::relay']]],
-  ['canonicalsimplifier_5659',['CanonicalSimplifier',['../classtvm_1_1arith_1_1CanonicalSimplifier.html',1,'tvm::arith']]],
-  ['cast_5660',['Cast',['../classtvm_1_1tir_1_1Cast.html',1,'tvm::tir']]],
-  ['castattrs_5661',['CastAttrs',['../structtvm_1_1relay_1_1CastAttrs.html',1,'tvm::relay']]],
-  ['casthintattrs_5662',['CastHintAttrs',['../structtvm_1_1relay_1_1CastHintAttrs.html',1,'tvm::relay']]],
-  ['castnode_5663',['CastNode',['../classtvm_1_1tir_1_1CastNode.html',1,'tvm::tir']]],
-  ['classdoc_5664',['ClassDoc',['../classtvm_1_1script_1_1printer_1_1ClassDoc.html',1,'tvm::script::printer']]],
-  ['classdocnode_5665',['ClassDocNode',['../classtvm_1_1script_1_1printer_1_1ClassDocNode.html',1,'tvm::script::printer']]],
-  ['clause_5666',['Clause',['../classtvm_1_1relay_1_1Clause.html',1,'tvm::relay']]],
-  ['clausenode_5667',['ClauseNode',['../classtvm_1_1relay_1_1ClauseNode.html',1,'tvm::relay']]],
-  ['clipattrs_5668',['ClipAttrs',['../structtvm_1_1relay_1_1ClipAttrs.html',1,'tvm::relay']]],
-  ['closure_5669',['Closure',['../classtvm_1_1runtime_1_1Closure.html',1,'tvm::runtime']]],
-  ['closureobj_5670',['ClosureObj',['../classtvm_1_1runtime_1_1ClosureObj.html',1,'tvm::runtime']]],
-  ['cmpopnode_5671',['CmpOpNode',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
-  ['cmpopnode_3c_20eqnode_20_3e_5672',['CmpOpNode&lt; EQNode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
-  ['cmpopnode_3c_20genode_20_3e_5673',['CmpOpNode&lt; GENode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
-  ['cmpopnode_3c_20gtnode_20_3e_5674',['CmpOpNode&lt; GTNode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
-  ['cmpopnode_3c_20lenode_20_3e_5675',['CmpOpNode&lt; LENode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
-  ['cmpopnode_3c_20ltnode_20_3e_5676',['CmpOpNode&lt; LTNode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
-  ['cmpopnode_3c_20nenode_20_3e_5677',['CmpOpNode&lt; NENode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
-  ['commentdoc_5678',['CommentDoc',['../classtvm_1_1script_1_1printer_1_1CommentDoc.html',1,'tvm::script::printer']]],
-  ['commentdocnode_5679',['CommentDocNode',['../classtvm_1_1script_1_1printer_1_1CommentDocNode.html',1,'tvm::script::printer']]],
-  ['commreducer_5680',['CommReducer',['../classtvm_1_1tir_1_1CommReducer.html',1,'tvm::tir']]],
-  ['commreducernode_5681',['CommReducerNode',['../classtvm_1_1tir_1_1CommReducerNode.html',1,'tvm::tir']]],
-  ['compilationconfig_5682',['CompilationConfig',['../classtvm_1_1CompilationConfig.html',1,'tvm']]],
-  ['compilationconfignode_5683',['CompilationConfigNode',['../classtvm_1_1CompilationConfigNode.html',1,'tvm']]],
-  ['compileerror_5684',['CompileError',['../classtvm_1_1relay_1_1CompileError.html',1,'tvm::relay']]],
-  ['compilerattrs_5685',['CompilerAttrs',['../structtvm_1_1relay_1_1CompilerAttrs.html',1,'tvm::relay']]],
-  ['computeatstep_5686',['ComputeAtStep',['../classtvm_1_1auto__scheduler_1_1ComputeAtStep.html',1,'tvm::auto_scheduler']]],
-  ['computeatstepnode_5687',['ComputeAtStepNode',['../classtvm_1_1auto__scheduler_1_1ComputeAtStepNode.html',1,'tvm::auto_scheduler']]],
-  ['computedag_5688',['ComputeDAG',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html',1,'tvm::auto_scheduler']]],
-  ['computedagnode_5689',['ComputeDAGNode',['../classtvm_1_1auto__scheduler_1_1ComputeDAGNode.html',1,'tvm::auto_scheduler']]],
-  ['computeinlinestep_5690',['ComputeInlineStep',['../classtvm_1_1auto__scheduler_1_1ComputeInlineStep.html',1,'tvm::auto_scheduler']]],
-  ['computeinlinestepnode_5691',['ComputeInlineStepNode',['../classtvm_1_1auto__scheduler_1_1ComputeInlineStepNode.html',1,'tvm::auto_scheduler']]],
-  ['computeop_5692',['ComputeOp',['../classtvm_1_1te_1_1ComputeOp.html',1,'tvm::te']]],
-  ['computeopnode_5693',['ComputeOpNode',['../classtvm_1_1te_1_1ComputeOpNode.html',1,'tvm::te']]],
-  ['computerootstep_5694',['ComputeRootStep',['../classtvm_1_1auto__scheduler_1_1ComputeRootStep.html',1,'tvm::auto_scheduler']]],
-  ['computerootstepnode_5695',['ComputeRootStepNode',['../classtvm_1_1auto__scheduler_1_1ComputeRootStepNode.html',1,'tvm::auto_scheduler']]],
-  ['concatenateattrs_5696',['ConcatenateAttrs',['../structtvm_1_1relay_1_1ConcatenateAttrs.html',1,'tvm::relay']]],
-  ['constant_5697',['Constant',['../classtvm_1_1relay_1_1Constant.html',1,'tvm::relay']]],
-  ['constantinfo_5698',['ConstantInfo',['../classtvm_1_1ConstantInfo.html',1,'tvm']]],
-  ['constantinfometadata_5699',['ConstantInfoMetadata',['../classtvm_1_1runtime_1_1metadata_1_1ConstantInfoMetadata.html',1,'tvm::runtime::metadata']]],
-  ['constantinfometadatanode_5700',['ConstantInfoMetadataNode',['../classtvm_1_1runtime_1_1metadata_1_1ConstantInfoMetadataNode.html',1,'tvm::runtime::metadata']]],
-  ['constantinfonode_5701',['ConstantInfoNode',['../structtvm_1_1ConstantInfoNode.html',1,'tvm']]],
-  ['constantmemorypools_5702',['ConstantMemoryPools',['../classtvm_1_1ConstantMemoryPools.html',1,'tvm']]],
-  ['constantmemorypoolsnode_5703',['ConstantMemoryPoolsNode',['../structtvm_1_1ConstantMemoryPoolsNode.html',1,'tvm']]],
-  ['constantnode_5704',['ConstantNode',['../classtvm_1_1relay_1_1ConstantNode.html',1,'tvm::relay']]],
-  ['constantpattern_5705',['ConstantPattern',['../classtvm_1_1relay_1_1ConstantPattern.html',1,'tvm::relay']]],
-  ['constantpatternnode_5706',['ConstantPatternNode',['../classtvm_1_1relay_1_1ConstantPatternNode.html',1,'tvm::relay']]],
-  ['constantpoolinfo_5707',['ConstantPoolInfo',['../classtvm_1_1ConstantPoolInfo.html',1,'tvm']]],
-  ['constantpoolinfonode_5708',['ConstantPoolInfoNode',['../structtvm_1_1ConstantPoolInfoNode.html',1,'tvm']]],
-  ['constintbound_5709',['ConstIntBound',['../classtvm_1_1arith_1_1ConstIntBound.html',1,'tvm::arith']]],
-  ['constintboundanalyzer_5710',['ConstIntBoundAnalyzer',['../classtvm_1_1arith_1_1ConstIntBoundAnalyzer.html',1,'tvm::arith']]],
-  ['constintboundnode_5711',['ConstIntBoundNode',['../classtvm_1_1arith_1_1ConstIntBoundNode.html',1,'tvm::arith']]],
-  ['constraintcontext_5712',['ConstraintContext',['../classtvm_1_1arith_1_1ConstraintContext.html',1,'tvm::arith']]],
-  ['constructor_5713',['Constructor',['../classtvm_1_1Constructor.html',1,'tvm']]],
-  ['constructornode_5714',['ConstructorNode',['../classtvm_1_1ConstructorNode.html',1,'tvm']]],
-  ['constructorvalue_5715',['ConstructorValue',['../classtvm_1_1relay_1_1ConstructorValue.html',1,'tvm::relay']]],
-  ['constructorvalueobj_5716',['ConstructorValueObj',['../structtvm_1_1relay_1_1ConstructorValueObj.html',1,'tvm::relay']]],
-  ['container_5717',['Container',['../classtvm_1_1runtime_1_1NDArray_1_1Container.html',1,'tvm::runtime::NDArray']]],
-  ['containerbase_5718',['ContainerBase',['../classtvm_1_1runtime_1_1NDArray_1_1ContainerBase.html',1,'tvm::runtime::NDArray']]],
-  ['conv1dattrs_5719',['Conv1DAttrs',['../structtvm_1_1relay_1_1Conv1DAttrs.html',1,'tvm::relay']]],
-  ['conv1dtransposeattrs_5720',['Conv1DTransposeAttrs',['../structtvm_1_1relay_1_1Conv1DTransposeAttrs.html',1,'tvm::relay']]],
-  ['conv2dattrs_5721',['Conv2DAttrs',['../structtvm_1_1relay_1_1Conv2DAttrs.html',1,'tvm::relay']]],
-  ['conv2dtransposeattrs_5722',['Conv2DTransposeAttrs',['../structtvm_1_1relay_1_1Conv2DTransposeAttrs.html',1,'tvm::relay']]],
-  ['conv2dwinogradattrs_5723',['Conv2DWinogradAttrs',['../structtvm_1_1relay_1_1Conv2DWinogradAttrs.html',1,'tvm::relay']]],
-  ['conv2dwinogradnnpackweighttransformattrs_5724',['Conv2DWinogradNNPACKWeightTransformAttrs',['../structtvm_1_1relay_1_1Conv2DWinogradNNPACKWeightTransformAttrs.html',1,'tvm::relay']]],
-  ['conv3dattrs_5725',['Conv3DAttrs',['../structtvm_1_1relay_1_1Conv3DAttrs.html',1,'tvm::relay']]],
-  ['conv3dtransposeattrs_5726',['Conv3DTransposeAttrs',['../structtvm_1_1relay_1_1Conv3DTransposeAttrs.html',1,'tvm::relay']]],
-  ['conv3dwinogradattrs_5727',['Conv3DWinogradAttrs',['../structtvm_1_1relay_1_1Conv3DWinogradAttrs.html',1,'tvm::relay']]],
-  ['convgemmweighttransformattrs_5728',['ConvGemmWeightTransformAttrs',['../structtvm_1_1relay_1_1ConvGemmWeightTransformAttrs.html',1,'tvm::relay']]],
-  ['convwinogradweighttransformattrs_5729',['ConvWinogradWeightTransformAttrs',['../structtvm_1_1relay_1_1ConvWinogradWeightTransformAttrs.html',1,'tvm::relay']]],
-  ['correlationattrs_5730',['CorrelationAttrs',['../structtvm_1_1relay_1_1CorrelationAttrs.html',1,'tvm::relay']]],
-  ['costmodel_5731',['CostModel',['../classtvm_1_1auto__scheduler_1_1CostModel.html',1,'tvm::auto_scheduler::CostModel'],['../classtvm_1_1meta__schedule_1_1CostModel.html',1,'tvm::meta_schedule::CostModel']]],
-  ['costmodelnode_5732',['CostModelNode',['../classtvm_1_1auto__scheduler_1_1CostModelNode.html',1,'tvm::auto_scheduler::CostModelNode'],['../classtvm_1_1meta__schedule_1_1CostModelNode.html',1,'tvm::meta_schedule::CostModelNode']]],
-  ['countnode_5733',['CountNode',['../classtvm_1_1runtime_1_1profiling_1_1CountNode.html',1,'tvm::runtime::profiling']]],
-  ['cropandresizeattrs_5734',['CropAndResizeAttrs',['../structtvm_1_1relay_1_1CropAndResizeAttrs.html',1,'tvm::relay']]]
+  ['cachereadstep_5649',['CacheReadStep',['../classtvm_1_1auto__scheduler_1_1CacheReadStep.html',1,'tvm::auto_scheduler']]],
+  ['cachereadstepnode_5650',['CacheReadStepNode',['../classtvm_1_1auto__scheduler_1_1CacheReadStepNode.html',1,'tvm::auto_scheduler']]],
+  ['cachewritestep_5651',['CacheWriteStep',['../classtvm_1_1auto__scheduler_1_1CacheWriteStep.html',1,'tvm::auto_scheduler']]],
+  ['cachewritestepnode_5652',['CacheWriteStepNode',['../classtvm_1_1auto__scheduler_1_1CacheWriteStepNode.html',1,'tvm::auto_scheduler']]],
+  ['call_5653',['Call',['../classtvm_1_1relay_1_1Call.html',1,'tvm::relay::Call'],['../classtvm_1_1tir_1_1Call.html',1,'tvm::tir::Call']]],
+  ['calldoc_5654',['CallDoc',['../classtvm_1_1script_1_1printer_1_1CallDoc.html',1,'tvm::script::printer']]],
+  ['calldocnode_5655',['CallDocNode',['../classtvm_1_1script_1_1printer_1_1CallDocNode.html',1,'tvm::script::printer']]],
+  ['callframe_5656',['CallFrame',['../structtvm_1_1runtime_1_1profiling_1_1CallFrame.html',1,'tvm::runtime::profiling']]],
+  ['callloweredattrs_5657',['CallLoweredAttrs',['../structtvm_1_1relay_1_1CallLoweredAttrs.html',1,'tvm::relay']]],
+  ['callnode_5658',['CallNode',['../classtvm_1_1relay_1_1CallNode.html',1,'tvm::relay::CallNode'],['../classtvm_1_1tir_1_1CallNode.html',1,'tvm::tir::CallNode']]],
+  ['callpattern_5659',['CallPattern',['../classtvm_1_1relay_1_1CallPattern.html',1,'tvm::relay']]],
+  ['callpatternnode_5660',['CallPatternNode',['../classtvm_1_1relay_1_1CallPatternNode.html',1,'tvm::relay']]],
+  ['canonicalsimplifier_5661',['CanonicalSimplifier',['../classtvm_1_1arith_1_1CanonicalSimplifier.html',1,'tvm::arith']]],
+  ['cast_5662',['Cast',['../classtvm_1_1tir_1_1Cast.html',1,'tvm::tir']]],
+  ['castattrs_5663',['CastAttrs',['../structtvm_1_1relay_1_1CastAttrs.html',1,'tvm::relay']]],
+  ['casthintattrs_5664',['CastHintAttrs',['../structtvm_1_1relay_1_1CastHintAttrs.html',1,'tvm::relay']]],
+  ['castnode_5665',['CastNode',['../classtvm_1_1tir_1_1CastNode.html',1,'tvm::tir']]],
+  ['classdoc_5666',['ClassDoc',['../classtvm_1_1script_1_1printer_1_1ClassDoc.html',1,'tvm::script::printer']]],
+  ['classdocnode_5667',['ClassDocNode',['../classtvm_1_1script_1_1printer_1_1ClassDocNode.html',1,'tvm::script::printer']]],
+  ['clause_5668',['Clause',['../classtvm_1_1relay_1_1Clause.html',1,'tvm::relay']]],
+  ['clausenode_5669',['ClauseNode',['../classtvm_1_1relay_1_1ClauseNode.html',1,'tvm::relay']]],
+  ['clipattrs_5670',['ClipAttrs',['../structtvm_1_1relay_1_1ClipAttrs.html',1,'tvm::relay']]],
+  ['closure_5671',['Closure',['../classtvm_1_1runtime_1_1Closure.html',1,'tvm::runtime']]],
+  ['closureobj_5672',['ClosureObj',['../classtvm_1_1runtime_1_1ClosureObj.html',1,'tvm::runtime']]],
+  ['cmpopnode_5673',['CmpOpNode',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
+  ['cmpopnode_3c_20eqnode_20_3e_5674',['CmpOpNode&lt; EQNode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
+  ['cmpopnode_3c_20genode_20_3e_5675',['CmpOpNode&lt; GENode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
+  ['cmpopnode_3c_20gtnode_20_3e_5676',['CmpOpNode&lt; GTNode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
+  ['cmpopnode_3c_20lenode_20_3e_5677',['CmpOpNode&lt; LENode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
+  ['cmpopnode_3c_20ltnode_20_3e_5678',['CmpOpNode&lt; LTNode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
+  ['cmpopnode_3c_20nenode_20_3e_5679',['CmpOpNode&lt; NENode &gt;',['../classtvm_1_1tir_1_1CmpOpNode.html',1,'tvm::tir']]],
+  ['commentdoc_5680',['CommentDoc',['../classtvm_1_1script_1_1printer_1_1CommentDoc.html',1,'tvm::script::printer']]],
+  ['commentdocnode_5681',['CommentDocNode',['../classtvm_1_1script_1_1printer_1_1CommentDocNode.html',1,'tvm::script::printer']]],
+  ['commreducer_5682',['CommReducer',['../classtvm_1_1tir_1_1CommReducer.html',1,'tvm::tir']]],
+  ['commreducernode_5683',['CommReducerNode',['../classtvm_1_1tir_1_1CommReducerNode.html',1,'tvm::tir']]],
+  ['compilationconfig_5684',['CompilationConfig',['../classtvm_1_1CompilationConfig.html',1,'tvm']]],
+  ['compilationconfignode_5685',['CompilationConfigNode',['../classtvm_1_1CompilationConfigNode.html',1,'tvm']]],
+  ['compileerror_5686',['CompileError',['../classtvm_1_1relay_1_1CompileError.html',1,'tvm::relay']]],
+  ['compilerattrs_5687',['CompilerAttrs',['../structtvm_1_1relay_1_1CompilerAttrs.html',1,'tvm::relay']]],
+  ['computeatstep_5688',['ComputeAtStep',['../classtvm_1_1auto__scheduler_1_1ComputeAtStep.html',1,'tvm::auto_scheduler']]],
+  ['computeatstepnode_5689',['ComputeAtStepNode',['../classtvm_1_1auto__scheduler_1_1ComputeAtStepNode.html',1,'tvm::auto_scheduler']]],
+  ['computedag_5690',['ComputeDAG',['../classtvm_1_1auto__scheduler_1_1ComputeDAG.html',1,'tvm::auto_scheduler']]],
+  ['computedagnode_5691',['ComputeDAGNode',['../classtvm_1_1auto__scheduler_1_1ComputeDAGNode.html',1,'tvm::auto_scheduler']]],
+  ['computeinlinestep_5692',['ComputeInlineStep',['../classtvm_1_1auto__scheduler_1_1ComputeInlineStep.html',1,'tvm::auto_scheduler']]],
+  ['computeinlinestepnode_5693',['ComputeInlineStepNode',['../classtvm_1_1auto__scheduler_1_1ComputeInlineStepNode.html',1,'tvm::auto_scheduler']]],
+  ['computeop_5694',['ComputeOp',['../classtvm_1_1te_1_1ComputeOp.html',1,'tvm::te']]],
+  ['computeopnode_5695',['ComputeOpNode',['../classtvm_1_1te_1_1ComputeOpNode.html',1,'tvm::te']]],
+  ['computerootstep_5696',['ComputeRootStep',['../classtvm_1_1auto__scheduler_1_1ComputeRootStep.html',1,'tvm::auto_scheduler']]],
+  ['computerootstepnode_5697',['ComputeRootStepNode',['../classtvm_1_1auto__scheduler_1_1ComputeRootStepNode.html',1,'tvm::auto_scheduler']]],
+  ['concatenateattrs_5698',['ConcatenateAttrs',['../structtvm_1_1relay_1_1ConcatenateAttrs.html',1,'tvm::relay']]],
+  ['constant_5699',['Constant',['../classtvm_1_1relay_1_1Constant.html',1,'tvm::relay']]],
+  ['constantinfo_5700',['ConstantInfo',['../classtvm_1_1ConstantInfo.html',1,'tvm']]],
+  ['constantinfometadata_5701',['ConstantInfoMetadata',['../classtvm_1_1runtime_1_1metadata_1_1ConstantInfoMetadata.html',1,'tvm::runtime::metadata']]],
+  ['constantinfometadatanode_5702',['ConstantInfoMetadataNode',['../classtvm_1_1runtime_1_1metadata_1_1ConstantInfoMetadataNode.html',1,'tvm::runtime::metadata']]],
+  ['constantinfonode_5703',['ConstantInfoNode',['../structtvm_1_1ConstantInfoNode.html',1,'tvm']]],
+  ['constantmemorypools_5704',['ConstantMemoryPools',['../classtvm_1_1ConstantMemoryPools.html',1,'tvm']]],
+  ['constantmemorypoolsnode_5705',['ConstantMemoryPoolsNode',['../structtvm_1_1ConstantMemoryPoolsNode.html',1,'tvm']]],
+  ['constantnode_5706',['ConstantNode',['../classtvm_1_1relay_1_1ConstantNode.html',1,'tvm::relay']]],
+  ['constantpattern_5707',['ConstantPattern',['../classtvm_1_1relay_1_1ConstantPattern.html',1,'tvm::relay']]],
+  ['constantpatternnode_5708',['ConstantPatternNode',['../classtvm_1_1relay_1_1ConstantPatternNode.html',1,'tvm::relay']]],
+  ['constantpoolinfo_5709',['ConstantPoolInfo',['../classtvm_1_1ConstantPoolInfo.html',1,'tvm']]],
+  ['constantpoolinfonode_5710',['ConstantPoolInfoNode',['../structtvm_1_1ConstantPoolInfoNode.html',1,'tvm']]],
+  ['constintbound_5711',['ConstIntBound',['../classtvm_1_1arith_1_1ConstIntBound.html',1,'tvm::arith']]],
+  ['constintboundanalyzer_5712',['ConstIntBoundAnalyzer',['../classtvm_1_1arith_1_1ConstIntBoundAnalyzer.html',1,'tvm::arith']]],
+  ['constintboundnode_5713',['ConstIntBoundNode',['../classtvm_1_1arith_1_1ConstIntBoundNode.html',1,'tvm::arith']]],
+  ['constraintcontext_5714',['ConstraintContext',['../classtvm_1_1arith_1_1ConstraintContext.html',1,'tvm::arith']]],
+  ['constructor_5715',['Constructor',['../classtvm_1_1Constructor.html',1,'tvm']]],
+  ['constructornode_5716',['ConstructorNode',['../classtvm_1_1ConstructorNode.html',1,'tvm']]],
+  ['constructorvalue_5717',['ConstructorValue',['../classtvm_1_1relay_1_1ConstructorValue.html',1,'tvm::relay']]],
+  ['constructorvalueobj_5718',['ConstructorValueObj',['../structtvm_1_1relay_1_1ConstructorValueObj.html',1,'tvm::relay']]],
+  ['container_5719',['Container',['../classtvm_1_1runtime_1_1NDArray_1_1Container.html',1,'tvm::runtime::NDArray']]],
+  ['containerbase_5720',['ContainerBase',['../classtvm_1_1runtime_1_1NDArray_1_1ContainerBase.html',1,'tvm::runtime::NDArray']]],
+  ['conv1dattrs_5721',['Conv1DAttrs',['../structtvm_1_1relay_1_1Conv1DAttrs.html',1,'tvm::relay']]],
+  ['conv1dtransposeattrs_5722',['Conv1DTransposeAttrs',['../structtvm_1_1relay_1_1Conv1DTransposeAttrs.html',1,'tvm::relay']]],
+  ['conv2dattrs_5723',['Conv2DAttrs',['../structtvm_1_1relay_1_1Conv2DAttrs.html',1,'tvm::relay']]],
+  ['conv2dtransposeattrs_5724',['Conv2DTransposeAttrs',['../structtvm_1_1relay_1_1Conv2DTransposeAttrs.html',1,'tvm::relay']]],
+  ['conv2dwinogradattrs_5725',['Conv2DWinogradAttrs',['../structtvm_1_1relay_1_1Conv2DWinogradAttrs.html',1,'tvm::relay']]],
+  ['conv2dwinogradnnpackweighttransformattrs_5726',['Conv2DWinogradNNPACKWeightTransformAttrs',['../structtvm_1_1relay_1_1Conv2DWinogradNNPACKWeightTransformAttrs.html',1,'tvm::relay']]],
+  ['conv3dattrs_5727',['Conv3DAttrs',['../structtvm_1_1relay_1_1Conv3DAttrs.html',1,'tvm::relay']]],
+  ['conv3dtransposeattrs_5728',['Conv3DTransposeAttrs',['../structtvm_1_1relay_1_1Conv3DTransposeAttrs.html',1,'tvm::relay']]],
+  ['conv3dwinogradattrs_5729',['Conv3DWinogradAttrs',['../structtvm_1_1relay_1_1Conv3DWinogradAttrs.html',1,'tvm::relay']]],
+  ['convgemmweighttransformattrs_5730',['ConvGemmWeightTransformAttrs',['../structtvm_1_1relay_1_1ConvGemmWeightTransformAttrs.html',1,'tvm::relay']]],
+  ['convwinogradweighttransformattrs_5731',['ConvWinogradWeightTransformAttrs',['../structtvm_1_1relay_1_1ConvWinogradWeightTransformAttrs.html',1,'tvm::relay']]],
+  ['correlationattrs_5732',['CorrelationAttrs',['../structtvm_1_1relay_1_1CorrelationAttrs.html',1,'tvm::relay']]],
+  ['costmodel_5733',['CostModel',['../classtvm_1_1auto__scheduler_1_1CostModel.html',1,'tvm::auto_scheduler::CostModel'],['../classtvm_1_1meta__schedule_1_1CostModel.html',1,'tvm::meta_schedule::CostModel']]],
+  ['costmodelnode_5734',['CostModelNode',['../classtvm_1_1auto__scheduler_1_1CostModelNode.html',1,'tvm::auto_scheduler::CostModelNode'],['../classtvm_1_1meta__schedule_1_1CostModelNode.html',1,'tvm::meta_schedule::CostModelNode']]],
+  ['countnode_5735',['CountNode',['../classtvm_1_1runtime_1_1profiling_1_1CountNode.html',1,'tvm::runtime::profiling']]],
+  ['cropandresizeattrs_5736',['CropAndResizeAttrs',['../structtvm_1_1relay_1_1CropAndResizeAttrs.html',1,'tvm::relay']]]
 ];
diff --git a/docs/reference/api/doxygen/search/classes_3.js b/docs/reference/api/doxygen/search/classes_3.js
index 5b9d55ced8..6ec879c4df 100644
--- a/docs/reference/api/doxygen/search/classes_3.js
+++ b/docs/reference/api/doxygen/search/classes_3.js
@@ -1,61 +1,61 @@
 var searchData=
 [
-  ['database_5735',['Database',['../classtvm_1_1meta__schedule_1_1Database.html',1,'tvm::meta_schedule']]],
-  ['databasenode_5736',['DatabaseNode',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html',1,'tvm::meta_schedule']]],
-  ['dataproducer_5737',['DataProducer',['../classtvm_1_1tir_1_1DataProducer.html',1,'tvm::tir']]],
-  ['dataproducernode_5738',['DataProducerNode',['../classtvm_1_1tir_1_1DataProducerNode.html',1,'tvm::tir']]],
-  ['datatype_5739',['DataType',['../classtvm_1_1runtime_1_1DataType.html',1,'tvm::runtime']]],
-  ['datatypelegalizer_5740',['DataTypeLegalizer',['../classtvm_1_1tir_1_1DataTypeLegalizer.html',1,'tvm::tir']]],
-  ['datatypepattern_5741',['DataTypePattern',['../classtvm_1_1relay_1_1DataTypePattern.html',1,'tvm::relay']]],
-  ['datatypepatternnode_5742',['DataTypePatternNode',['../classtvm_1_1relay_1_1DataTypePatternNode.html',1,'tvm::relay']]],
-  ['debugattrs_5743',['DebugAttrs',['../structtvm_1_1relay_1_1DebugAttrs.html',1,'tvm::relay']]],
-  ['declbuffer_5744',['DeclBuffer',['../classtvm_1_1tir_1_1DeclBuffer.html',1,'tvm::tir']]],
-  ['declbufferframe_5745',['DeclBufferFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1DeclBufferFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['declbufferframenode_5746',['DeclBufferFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1DeclBufferFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['declbuffernode_5747',['DeclBufferNode',['../classtvm_1_1tir_1_1DeclBufferNode.html',1,'tvm::tir']]],
-  ['deformableconv2dattrs_5748',['DeformableConv2DAttrs',['../structtvm_1_1relay_1_1DeformableConv2DAttrs.html',1,'tvm::relay']]],
-  ['denseattrs_5749',['DenseAttrs',['../structtvm_1_1relay_1_1DenseAttrs.html',1,'tvm::relay']]],
-  ['densemapnode_5750',['DenseMapNode',['../classtvm_1_1runtime_1_1DenseMapNode.html',1,'tvm::runtime']]],
-  ['densepackattrs_5751',['DensePackAttrs',['../structtvm_1_1relay_1_1DensePackAttrs.html',1,'tvm::relay']]],
-  ['dependency_5752',['Dependency',['../classtvm_1_1tir_1_1Dependency.html',1,'tvm::tir']]],
-  ['dependencynode_5753',['DependencyNode',['../classtvm_1_1tir_1_1DependencyNode.html',1,'tvm::tir']]],
-  ['dequantizeattrs_5754',['DequantizeAttrs',['../structtvm_1_1relay_1_1qnn_1_1DequantizeAttrs.html',1,'tvm::relay::qnn']]],
-  ['deviceapi_5755',['DeviceAPI',['../classtvm_1_1runtime_1_1DeviceAPI.html',1,'tvm::runtime']]],
-  ['devicecopyattrs_5756',['DeviceCopyAttrs',['../structtvm_1_1relay_1_1DeviceCopyAttrs.html',1,'tvm::relay']]],
-  ['devicewrapper_5757',['DeviceWrapper',['../classtvm_1_1runtime_1_1profiling_1_1DeviceWrapper.html',1,'tvm::runtime::profiling']]],
-  ['devicewrappernode_5758',['DeviceWrapperNode',['../structtvm_1_1runtime_1_1profiling_1_1DeviceWrapperNode.html',1,'tvm::runtime::profiling']]],
-  ['dfpattern_5759',['DFPattern',['../classtvm_1_1relay_1_1DFPattern.html',1,'tvm::relay']]],
-  ['dfpatterncallback_5760',['DFPatternCallback',['../classtvm_1_1relay_1_1DFPatternCallback.html',1,'tvm::relay']]],
-  ['dfpatterncallbacknode_5761',['DFPatternCallbackNode',['../classtvm_1_1relay_1_1DFPatternCallbackNode.html',1,'tvm::relay']]],
-  ['dfpatternfunctor_5762',['DFPatternFunctor',['../classtvm_1_1relay_1_1DFPatternFunctor.html',1,'tvm::relay']]],
-  ['dfpatternfunctor_3c_20r_28const_20dfpattern_20_26n_2c_20args_2e_2e_2e_29_3e_5763',['DFPatternFunctor&lt; R(const DFPattern &amp;n, Args...)&gt;',['../classtvm_1_1relay_1_1DFPatternFunctor_3_01R_07const_01DFPattern_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm::relay']]],
-  ['dfpatternfunctor_3c_20void_28const_20dfpattern_20_26_29_3e_5764',['DFPatternFunctor&lt; void(const DFPattern &amp;)&gt;',['../classtvm_1_1relay_1_1DFPatternFunctor.html',1,'tvm::relay']]],
-  ['dfpatternnode_5765',['DFPatternNode',['../classtvm_1_1relay_1_1DFPatternNode.html',1,'tvm::relay']]],
-  ['dfpatternprinter_5766',['DFPatternPrinter',['../classtvm_1_1relay_1_1DFPatternPrinter.html',1,'tvm::relay']]],
-  ['dfpatternvisitor_5767',['DFPatternVisitor',['../classtvm_1_1relay_1_1DFPatternVisitor.html',1,'tvm::relay']]],
-  ['dftattrs_5768',['DFTAttrs',['../structtvm_1_1relay_1_1DFTAttrs.html',1,'tvm::relay']]],
-  ['diagnostic_5769',['Diagnostic',['../classtvm_1_1Diagnostic.html',1,'tvm']]],
-  ['diagnosticbuilder_5770',['DiagnosticBuilder',['../classtvm_1_1DiagnosticBuilder.html',1,'tvm']]],
-  ['diagnosticcontext_5771',['DiagnosticContext',['../classtvm_1_1DiagnosticContext.html',1,'tvm']]],
-  ['diagnosticcontextnode_5772',['DiagnosticContextNode',['../classtvm_1_1DiagnosticContextNode.html',1,'tvm']]],
-  ['diagnosticnode_5773',['DiagnosticNode',['../classtvm_1_1DiagnosticNode.html',1,'tvm']]],
-  ['diagnosticrenderer_5774',['DiagnosticRenderer',['../classtvm_1_1DiagnosticRenderer.html',1,'tvm']]],
-  ['diagnosticrenderernode_5775',['DiagnosticRendererNode',['../classtvm_1_1DiagnosticRendererNode.html',1,'tvm']]],
-  ['dictattrs_5776',['DictAttrs',['../classtvm_1_1DictAttrs.html',1,'tvm']]],
-  ['dictattrsnode_5777',['DictAttrsNode',['../classtvm_1_1DictAttrsNode.html',1,'tvm']]],
-  ['dictdoc_5778',['DictDoc',['../classtvm_1_1script_1_1printer_1_1DictDoc.html',1,'tvm::script::printer']]],
-  ['dictdocnode_5779',['DictDocNode',['../classtvm_1_1script_1_1printer_1_1DictDocNode.html',1,'tvm::script::printer']]],
-  ['dilateattrs_5780',['DilateAttrs',['../structtvm_1_1relay_1_1DilateAttrs.html',1,'tvm::relay']]],
-  ['dilation2dattrs_5781',['Dilation2DAttrs',['../structtvm_1_1relay_1_1Dilation2DAttrs.html',1,'tvm::relay']]],
-  ['div_5782',['Div',['../classtvm_1_1tir_1_1Div.html',1,'tvm::tir']]],
-  ['divnode_5783',['DivNode',['../classtvm_1_1tir_1_1DivNode.html',1,'tvm::tir']]],
-  ['doc_5784',['Doc',['../classtvm_1_1script_1_1printer_1_1Doc.html',1,'tvm::script::printer']]],
-  ['docnode_5785',['DocNode',['../classtvm_1_1script_1_1printer_1_1DocNode.html',1,'tvm::script::printer']]],
-  ['docstringdoc_5786',['DocStringDoc',['../classtvm_1_1script_1_1printer_1_1DocStringDoc.html',1,'tvm::script::printer']]],
-  ['docstringdocnode_5787',['DocStringDocNode',['../classtvm_1_1script_1_1printer_1_1DocStringDocNode.html',1,'tvm::script::printer']]],
-  ['dominatorpattern_5788',['DominatorPattern',['../classtvm_1_1relay_1_1DominatorPattern.html',1,'tvm::relay']]],
-  ['dominatorpatternnode_5789',['DominatorPatternNode',['../classtvm_1_1relay_1_1DominatorPatternNode.html',1,'tvm::relay']]],
-  ['dropoutattrs_5790',['DropoutAttrs',['../structtvm_1_1relay_1_1DropoutAttrs.html',1,'tvm::relay']]],
-  ['durationnode_5791',['DurationNode',['../classtvm_1_1runtime_1_1profiling_1_1DurationNode.html',1,'tvm::runtime::profiling']]],
-  ['dynexpanddimsattrs_5792',['DynExpandDimsAttrs',['../structtvm_1_1relay_1_1DynExpandDimsAttrs.html',1,'tvm::relay']]]
+  ['database_5737',['Database',['../classtvm_1_1meta__schedule_1_1Database.html',1,'tvm::meta_schedule']]],
+  ['databasenode_5738',['DatabaseNode',['../classtvm_1_1meta__schedule_1_1DatabaseNode.html',1,'tvm::meta_schedule']]],
+  ['dataproducer_5739',['DataProducer',['../classtvm_1_1tir_1_1DataProducer.html',1,'tvm::tir']]],
+  ['dataproducernode_5740',['DataProducerNode',['../classtvm_1_1tir_1_1DataProducerNode.html',1,'tvm::tir']]],
+  ['datatype_5741',['DataType',['../classtvm_1_1runtime_1_1DataType.html',1,'tvm::runtime']]],
+  ['datatypelegalizer_5742',['DataTypeLegalizer',['../classtvm_1_1tir_1_1DataTypeLegalizer.html',1,'tvm::tir']]],
+  ['datatypepattern_5743',['DataTypePattern',['../classtvm_1_1relay_1_1DataTypePattern.html',1,'tvm::relay']]],
+  ['datatypepatternnode_5744',['DataTypePatternNode',['../classtvm_1_1relay_1_1DataTypePatternNode.html',1,'tvm::relay']]],
+  ['debugattrs_5745',['DebugAttrs',['../structtvm_1_1relay_1_1DebugAttrs.html',1,'tvm::relay']]],
+  ['declbuffer_5746',['DeclBuffer',['../classtvm_1_1tir_1_1DeclBuffer.html',1,'tvm::tir']]],
+  ['declbufferframe_5747',['DeclBufferFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1DeclBufferFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['declbufferframenode_5748',['DeclBufferFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1DeclBufferFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['declbuffernode_5749',['DeclBufferNode',['../classtvm_1_1tir_1_1DeclBufferNode.html',1,'tvm::tir']]],
+  ['deformableconv2dattrs_5750',['DeformableConv2DAttrs',['../structtvm_1_1relay_1_1DeformableConv2DAttrs.html',1,'tvm::relay']]],
+  ['denseattrs_5751',['DenseAttrs',['../structtvm_1_1relay_1_1DenseAttrs.html',1,'tvm::relay']]],
+  ['densemapnode_5752',['DenseMapNode',['../classtvm_1_1runtime_1_1DenseMapNode.html',1,'tvm::runtime']]],
+  ['densepackattrs_5753',['DensePackAttrs',['../structtvm_1_1relay_1_1DensePackAttrs.html',1,'tvm::relay']]],
+  ['dependency_5754',['Dependency',['../classtvm_1_1tir_1_1Dependency.html',1,'tvm::tir']]],
+  ['dependencynode_5755',['DependencyNode',['../classtvm_1_1tir_1_1DependencyNode.html',1,'tvm::tir']]],
+  ['dequantizeattrs_5756',['DequantizeAttrs',['../structtvm_1_1relay_1_1qnn_1_1DequantizeAttrs.html',1,'tvm::relay::qnn']]],
+  ['deviceapi_5757',['DeviceAPI',['../classtvm_1_1runtime_1_1DeviceAPI.html',1,'tvm::runtime']]],
+  ['devicecopyattrs_5758',['DeviceCopyAttrs',['../structtvm_1_1relay_1_1DeviceCopyAttrs.html',1,'tvm::relay']]],
+  ['devicewrapper_5759',['DeviceWrapper',['../classtvm_1_1runtime_1_1profiling_1_1DeviceWrapper.html',1,'tvm::runtime::profiling']]],
+  ['devicewrappernode_5760',['DeviceWrapperNode',['../structtvm_1_1runtime_1_1profiling_1_1DeviceWrapperNode.html',1,'tvm::runtime::profiling']]],
+  ['dfpattern_5761',['DFPattern',['../classtvm_1_1relay_1_1DFPattern.html',1,'tvm::relay']]],
+  ['dfpatterncallback_5762',['DFPatternCallback',['../classtvm_1_1relay_1_1DFPatternCallback.html',1,'tvm::relay']]],
+  ['dfpatterncallbacknode_5763',['DFPatternCallbackNode',['../classtvm_1_1relay_1_1DFPatternCallbackNode.html',1,'tvm::relay']]],
+  ['dfpatternfunctor_5764',['DFPatternFunctor',['../classtvm_1_1relay_1_1DFPatternFunctor.html',1,'tvm::relay']]],
+  ['dfpatternfunctor_3c_20r_28const_20dfpattern_20_26n_2c_20args_2e_2e_2e_29_3e_5765',['DFPatternFunctor&lt; R(const DFPattern &amp;n, Args...)&gt;',['../classtvm_1_1relay_1_1DFPatternFunctor_3_01R_07const_01DFPattern_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm::relay']]],
+  ['dfpatternfunctor_3c_20void_28const_20dfpattern_20_26_29_3e_5766',['DFPatternFunctor&lt; void(const DFPattern &amp;)&gt;',['../classtvm_1_1relay_1_1DFPatternFunctor.html',1,'tvm::relay']]],
+  ['dfpatternnode_5767',['DFPatternNode',['../classtvm_1_1relay_1_1DFPatternNode.html',1,'tvm::relay']]],
+  ['dfpatternprinter_5768',['DFPatternPrinter',['../classtvm_1_1relay_1_1DFPatternPrinter.html',1,'tvm::relay']]],
+  ['dfpatternvisitor_5769',['DFPatternVisitor',['../classtvm_1_1relay_1_1DFPatternVisitor.html',1,'tvm::relay']]],
+  ['dftattrs_5770',['DFTAttrs',['../structtvm_1_1relay_1_1DFTAttrs.html',1,'tvm::relay']]],
+  ['diagnostic_5771',['Diagnostic',['../classtvm_1_1Diagnostic.html',1,'tvm']]],
+  ['diagnosticbuilder_5772',['DiagnosticBuilder',['../classtvm_1_1DiagnosticBuilder.html',1,'tvm']]],
+  ['diagnosticcontext_5773',['DiagnosticContext',['../classtvm_1_1DiagnosticContext.html',1,'tvm']]],
+  ['diagnosticcontextnode_5774',['DiagnosticContextNode',['../classtvm_1_1DiagnosticContextNode.html',1,'tvm']]],
+  ['diagnosticnode_5775',['DiagnosticNode',['../classtvm_1_1DiagnosticNode.html',1,'tvm']]],
+  ['diagnosticrenderer_5776',['DiagnosticRenderer',['../classtvm_1_1DiagnosticRenderer.html',1,'tvm']]],
+  ['diagnosticrenderernode_5777',['DiagnosticRendererNode',['../classtvm_1_1DiagnosticRendererNode.html',1,'tvm']]],
+  ['dictattrs_5778',['DictAttrs',['../classtvm_1_1DictAttrs.html',1,'tvm']]],
+  ['dictattrsnode_5779',['DictAttrsNode',['../classtvm_1_1DictAttrsNode.html',1,'tvm']]],
+  ['dictdoc_5780',['DictDoc',['../classtvm_1_1script_1_1printer_1_1DictDoc.html',1,'tvm::script::printer']]],
+  ['dictdocnode_5781',['DictDocNode',['../classtvm_1_1script_1_1printer_1_1DictDocNode.html',1,'tvm::script::printer']]],
+  ['dilateattrs_5782',['DilateAttrs',['../structtvm_1_1relay_1_1DilateAttrs.html',1,'tvm::relay']]],
+  ['dilation2dattrs_5783',['Dilation2DAttrs',['../structtvm_1_1relay_1_1Dilation2DAttrs.html',1,'tvm::relay']]],
+  ['div_5784',['Div',['../classtvm_1_1tir_1_1Div.html',1,'tvm::tir']]],
+  ['divnode_5785',['DivNode',['../classtvm_1_1tir_1_1DivNode.html',1,'tvm::tir']]],
+  ['doc_5786',['Doc',['../classtvm_1_1script_1_1printer_1_1Doc.html',1,'tvm::script::printer']]],
+  ['docnode_5787',['DocNode',['../classtvm_1_1script_1_1printer_1_1DocNode.html',1,'tvm::script::printer']]],
+  ['docstringdoc_5788',['DocStringDoc',['../classtvm_1_1script_1_1printer_1_1DocStringDoc.html',1,'tvm::script::printer']]],
+  ['docstringdocnode_5789',['DocStringDocNode',['../classtvm_1_1script_1_1printer_1_1DocStringDocNode.html',1,'tvm::script::printer']]],
+  ['dominatorpattern_5790',['DominatorPattern',['../classtvm_1_1relay_1_1DominatorPattern.html',1,'tvm::relay']]],
+  ['dominatorpatternnode_5791',['DominatorPatternNode',['../classtvm_1_1relay_1_1DominatorPatternNode.html',1,'tvm::relay']]],
+  ['dropoutattrs_5792',['DropoutAttrs',['../structtvm_1_1relay_1_1DropoutAttrs.html',1,'tvm::relay']]],
+  ['durationnode_5793',['DurationNode',['../classtvm_1_1runtime_1_1profiling_1_1DurationNode.html',1,'tvm::runtime::profiling']]],
+  ['dynexpanddimsattrs_5794',['DynExpandDimsAttrs',['../structtvm_1_1relay_1_1DynExpandDimsAttrs.html',1,'tvm::relay']]]
 ];
diff --git a/docs/reference/api/doxygen/search/classes_4.js b/docs/reference/api/doxygen/search/classes_4.js
index cfe5d2d7e3..a2f174a3dd 100644
--- a/docs/reference/api/doxygen/search/classes_4.js
+++ b/docs/reference/api/doxygen/search/classes_4.js
@@ -1,42 +1,42 @@
 var searchData=
 [
-  ['einsumattrs_5793',['EinsumAttrs',['../structtvm_1_1relay_1_1EinsumAttrs.html',1,'tvm::relay']]],
-  ['einsumequation_5794',['EinsumEquation',['../structtvm_1_1topi_1_1EinsumEquation.html',1,'tvm::topi']]],
-  ['elseframe_5795',['ElseFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ElseFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['elseframenode_5796',['ElseFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ElseFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['envfunc_5797',['EnvFunc',['../classtvm_1_1EnvFunc.html',1,'tvm']]],
-  ['envfuncnode_5798',['EnvFuncNode',['../classtvm_1_1EnvFuncNode.html',1,'tvm']]],
-  ['eq_5799',['EQ',['../classtvm_1_1tir_1_1EQ.html',1,'tvm::tir']]],
-  ['eqnode_5800',['EQNode',['../classtvm_1_1tir_1_1EQNode.html',1,'tvm::tir']]],
-  ['errorbuilder_5801',['ErrorBuilder',['../structtvm_1_1relay_1_1ErrorBuilder.html',1,'tvm::relay']]],
-  ['errorreporter_5802',['ErrorReporter',['../classtvm_1_1relay_1_1ErrorReporter.html',1,'tvm::relay']]],
-  ['evaluate_5803',['Evaluate',['../classtvm_1_1tir_1_1Evaluate.html',1,'tvm::tir']]],
-  ['evaluatenode_5804',['EvaluateNode',['../classtvm_1_1tir_1_1EvaluateNode.html',1,'tvm::tir']]],
-  ['executable_5805',['Executable',['../classtvm_1_1runtime_1_1vm_1_1Executable.html',1,'tvm::runtime::vm']]],
-  ['executor_5806',['Executor',['../classtvm_1_1relay_1_1Executor.html',1,'tvm::relay']]],
-  ['executornode_5807',['ExecutorNode',['../classtvm_1_1relay_1_1ExecutorNode.html',1,'tvm::relay']]],
-  ['executorregentry_5808',['ExecutorRegEntry',['../classtvm_1_1relay_1_1ExecutorRegEntry.html',1,'tvm::relay']]],
-  ['expanddimsattrs_5809',['ExpandDimsAttrs',['../structtvm_1_1relay_1_1ExpandDimsAttrs.html',1,'tvm::relay']]],
-  ['exprdeepequal_5810',['ExprDeepEqual',['../structtvm_1_1tir_1_1ExprDeepEqual.html',1,'tvm::tir']]],
-  ['exprdoc_5811',['ExprDoc',['../classtvm_1_1script_1_1printer_1_1ExprDoc.html',1,'tvm::script::printer']]],
-  ['exprdocnode_5812',['ExprDocNode',['../classtvm_1_1script_1_1printer_1_1ExprDocNode.html',1,'tvm::script::printer']]],
-  ['exprfunctor_5813',['ExprFunctor',['../classtvm_1_1relay_1_1ExprFunctor.html',1,'tvm::relay::ExprFunctor&lt; FType &gt;'],['../classtvm_1_1tir_1_1ExprFunctor.html',1,'tvm::tir::ExprFunctor&lt; FType &gt;']]],
-  ['exprfunctor_3c_20expr_28const_20expr_20_26_29_3e_5814',['ExprFunctor&lt; Expr(const Expr &amp;)&gt;',['../classtvm_1_1relay_1_1ExprFunctor.html',1,'tvm::relay']]],
-  ['exprfunctor_3c_20primexpr_28const_20primexpr_20_26_29_3e_5815',['ExprFunctor&lt; PrimExpr(const PrimExpr &amp;)&gt;',['../classtvm_1_1tir_1_1ExprFunctor.html',1,'tvm::tir']]],
-  ['exprfunctor_3c_20r_28const_20expr_20_26n_2c_20args_2e_2e_2e_29_3e_5816',['ExprFunctor&lt; R(const Expr &amp;n, Args...)&gt;',['../classtvm_1_1relay_1_1ExprFunctor_3_01R_07const_01Expr_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm::relay']]],
-  ['exprfunctor_3c_20r_28const_20primexpr_20_26n_2c_20args_2e_2e_2e_29_3e_5817',['ExprFunctor&lt; R(const PrimExpr &amp;n, Args...)&gt;',['../classtvm_1_1tir_1_1ExprFunctor_3_01R_07const_01PrimExpr_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm::tir']]],
-  ['exprfunctor_3c_20void_28const_20expr_20_26n_29_3e_5818',['ExprFunctor&lt; void(const Expr &amp;n)&gt;',['../classtvm_1_1relay_1_1ExprFunctor.html',1,'tvm::relay']]],
-  ['exprfunctor_3c_20void_28const_20primexpr_20_26_29_3e_5819',['ExprFunctor&lt; void(const PrimExpr &amp;)&gt;',['../classtvm_1_1tir_1_1ExprFunctor.html',1,'tvm::tir']]],
-  ['exprmutator_5820',['ExprMutator',['../classtvm_1_1relay_1_1ExprMutator.html',1,'tvm::relay::ExprMutator'],['../classtvm_1_1tir_1_1ExprMutator.html',1,'tvm::tir::ExprMutator']]],
-  ['exprpattern_5821',['ExprPattern',['../classtvm_1_1relay_1_1ExprPattern.html',1,'tvm::relay']]],
-  ['exprpatternnode_5822',['ExprPatternNode',['../classtvm_1_1relay_1_1ExprPatternNode.html',1,'tvm::relay']]],
-  ['exprrewriter_5823',['ExprRewriter',['../classtvm_1_1relay_1_1ExprRewriter.html',1,'tvm::relay']]],
-  ['exprstmtdoc_5824',['ExprStmtDoc',['../classtvm_1_1script_1_1printer_1_1ExprStmtDoc.html',1,'tvm::script::printer']]],
-  ['exprstmtdocnode_5825',['ExprStmtDocNode',['../classtvm_1_1script_1_1printer_1_1ExprStmtDocNode.html',1,'tvm::script::printer']]],
-  ['exprvisitor_5826',['ExprVisitor',['../classtvm_1_1relay_1_1ExprVisitor.html',1,'tvm::relay::ExprVisitor'],['../classtvm_1_1tir_1_1ExprVisitor.html',1,'tvm::tir::ExprVisitor']]],
-  ['externop_5827',['ExternOp',['../classtvm_1_1te_1_1ExternOp.html',1,'tvm::te']]],
-  ['externopnode_5828',['ExternOpNode',['../classtvm_1_1te_1_1ExternOpNode.html',1,'tvm::te']]],
-  ['extractedtask_5829',['ExtractedTask',['../classtvm_1_1meta__schedule_1_1ExtractedTask.html',1,'tvm::meta_schedule']]],
-  ['extractedtasknode_5830',['ExtractedTaskNode',['../classtvm_1_1meta__schedule_1_1ExtractedTaskNode.html',1,'tvm::meta_schedule']]],
-  ['extractor_5831',['Extractor',['../structtvm_1_1runtime_1_1PackedFuncObj_1_1Extractor.html',1,'tvm::runtime::PackedFuncObj']]]
+  ['einsumattrs_5795',['EinsumAttrs',['../structtvm_1_1relay_1_1EinsumAttrs.html',1,'tvm::relay']]],
+  ['einsumequation_5796',['EinsumEquation',['../structtvm_1_1topi_1_1EinsumEquation.html',1,'tvm::topi']]],
+  ['elseframe_5797',['ElseFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ElseFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['elseframenode_5798',['ElseFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ElseFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['envfunc_5799',['EnvFunc',['../classtvm_1_1EnvFunc.html',1,'tvm']]],
+  ['envfuncnode_5800',['EnvFuncNode',['../classtvm_1_1EnvFuncNode.html',1,'tvm']]],
+  ['eq_5801',['EQ',['../classtvm_1_1tir_1_1EQ.html',1,'tvm::tir']]],
+  ['eqnode_5802',['EQNode',['../classtvm_1_1tir_1_1EQNode.html',1,'tvm::tir']]],
+  ['errorbuilder_5803',['ErrorBuilder',['../structtvm_1_1relay_1_1ErrorBuilder.html',1,'tvm::relay']]],
+  ['errorreporter_5804',['ErrorReporter',['../classtvm_1_1relay_1_1ErrorReporter.html',1,'tvm::relay']]],
+  ['evaluate_5805',['Evaluate',['../classtvm_1_1tir_1_1Evaluate.html',1,'tvm::tir']]],
+  ['evaluatenode_5806',['EvaluateNode',['../classtvm_1_1tir_1_1EvaluateNode.html',1,'tvm::tir']]],
+  ['executable_5807',['Executable',['../classtvm_1_1runtime_1_1vm_1_1Executable.html',1,'tvm::runtime::vm']]],
+  ['executor_5808',['Executor',['../classtvm_1_1relay_1_1Executor.html',1,'tvm::relay']]],
+  ['executornode_5809',['ExecutorNode',['../classtvm_1_1relay_1_1ExecutorNode.html',1,'tvm::relay']]],
+  ['executorregentry_5810',['ExecutorRegEntry',['../classtvm_1_1relay_1_1ExecutorRegEntry.html',1,'tvm::relay']]],
+  ['expanddimsattrs_5811',['ExpandDimsAttrs',['../structtvm_1_1relay_1_1ExpandDimsAttrs.html',1,'tvm::relay']]],
+  ['exprdeepequal_5812',['ExprDeepEqual',['../structtvm_1_1tir_1_1ExprDeepEqual.html',1,'tvm::tir']]],
+  ['exprdoc_5813',['ExprDoc',['../classtvm_1_1script_1_1printer_1_1ExprDoc.html',1,'tvm::script::printer']]],
+  ['exprdocnode_5814',['ExprDocNode',['../classtvm_1_1script_1_1printer_1_1ExprDocNode.html',1,'tvm::script::printer']]],
+  ['exprfunctor_5815',['ExprFunctor',['../classtvm_1_1relay_1_1ExprFunctor.html',1,'tvm::relay::ExprFunctor&lt; FType &gt;'],['../classtvm_1_1tir_1_1ExprFunctor.html',1,'tvm::tir::ExprFunctor&lt; FType &gt;']]],
+  ['exprfunctor_3c_20expr_28const_20expr_20_26_29_3e_5816',['ExprFunctor&lt; Expr(const Expr &amp;)&gt;',['../classtvm_1_1relay_1_1ExprFunctor.html',1,'tvm::relay']]],
+  ['exprfunctor_3c_20primexpr_28const_20primexpr_20_26_29_3e_5817',['ExprFunctor&lt; PrimExpr(const PrimExpr &amp;)&gt;',['../classtvm_1_1tir_1_1ExprFunctor.html',1,'tvm::tir']]],
+  ['exprfunctor_3c_20r_28const_20expr_20_26n_2c_20args_2e_2e_2e_29_3e_5818',['ExprFunctor&lt; R(const Expr &amp;n, Args...)&gt;',['../classtvm_1_1relay_1_1ExprFunctor_3_01R_07const_01Expr_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm::relay']]],
+  ['exprfunctor_3c_20r_28const_20primexpr_20_26n_2c_20args_2e_2e_2e_29_3e_5819',['ExprFunctor&lt; R(const PrimExpr &amp;n, Args...)&gt;',['../classtvm_1_1tir_1_1ExprFunctor_3_01R_07const_01PrimExpr_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm::tir']]],
+  ['exprfunctor_3c_20void_28const_20expr_20_26n_29_3e_5820',['ExprFunctor&lt; void(const Expr &amp;n)&gt;',['../classtvm_1_1relay_1_1ExprFunctor.html',1,'tvm::relay']]],
+  ['exprfunctor_3c_20void_28const_20primexpr_20_26_29_3e_5821',['ExprFunctor&lt; void(const PrimExpr &amp;)&gt;',['../classtvm_1_1tir_1_1ExprFunctor.html',1,'tvm::tir']]],
+  ['exprmutator_5822',['ExprMutator',['../classtvm_1_1relay_1_1ExprMutator.html',1,'tvm::relay::ExprMutator'],['../classtvm_1_1tir_1_1ExprMutator.html',1,'tvm::tir::ExprMutator']]],
+  ['exprpattern_5823',['ExprPattern',['../classtvm_1_1relay_1_1ExprPattern.html',1,'tvm::relay']]],
+  ['exprpatternnode_5824',['ExprPatternNode',['../classtvm_1_1relay_1_1ExprPatternNode.html',1,'tvm::relay']]],
+  ['exprrewriter_5825',['ExprRewriter',['../classtvm_1_1relay_1_1ExprRewriter.html',1,'tvm::relay']]],
+  ['exprstmtdoc_5826',['ExprStmtDoc',['../classtvm_1_1script_1_1printer_1_1ExprStmtDoc.html',1,'tvm::script::printer']]],
+  ['exprstmtdocnode_5827',['ExprStmtDocNode',['../classtvm_1_1script_1_1printer_1_1ExprStmtDocNode.html',1,'tvm::script::printer']]],
+  ['exprvisitor_5828',['ExprVisitor',['../classtvm_1_1relay_1_1ExprVisitor.html',1,'tvm::relay::ExprVisitor'],['../classtvm_1_1tir_1_1ExprVisitor.html',1,'tvm::tir::ExprVisitor']]],
+  ['externop_5829',['ExternOp',['../classtvm_1_1te_1_1ExternOp.html',1,'tvm::te']]],
+  ['externopnode_5830',['ExternOpNode',['../classtvm_1_1te_1_1ExternOpNode.html',1,'tvm::te']]],
+  ['extractedtask_5831',['ExtractedTask',['../classtvm_1_1meta__schedule_1_1ExtractedTask.html',1,'tvm::meta_schedule']]],
+  ['extractedtasknode_5832',['ExtractedTaskNode',['../classtvm_1_1meta__schedule_1_1ExtractedTaskNode.html',1,'tvm::meta_schedule']]],
+  ['extractor_5833',['Extractor',['../structtvm_1_1runtime_1_1PackedFuncObj_1_1Extractor.html',1,'tvm::runtime::PackedFuncObj']]]
 ];
diff --git a/docs/reference/api/doxygen/search/classes_5.js b/docs/reference/api/doxygen/search/classes_5.js
index 52527f4ab7..c1fba75cae 100644
--- a/docs/reference/api/doxygen/search/classes_5.js
+++ b/docs/reference/api/doxygen/search/classes_5.js
@@ -1,43 +1,43 @@
 var searchData=
 [
-  ['featureextractor_5832',['FeatureExtractor',['../classtvm_1_1meta__schedule_1_1FeatureExtractor.html',1,'tvm::meta_schedule']]],
-  ['featureextractornode_5833',['FeatureExtractorNode',['../classtvm_1_1meta__schedule_1_1FeatureExtractorNode.html',1,'tvm::meta_schedule']]],
-  ['featureset_5834',['FeatureSet',['../classtvm_1_1relay_1_1FeatureSet.html',1,'tvm::relay']]],
-  ['fifobufferattrs_5835',['FIFOBufferAttrs',['../structtvm_1_1relay_1_1FIFOBufferAttrs.html',1,'tvm::relay']]],
-  ['fixedpointmultiplyattrs_5836',['FixedPointMultiplyAttrs',['../structtvm_1_1relay_1_1FixedPointMultiplyAttrs.html',1,'tvm::relay']]],
-  ['fixedpointmultiplyperaxisattrs_5837',['FixedPointMultiplyPerAxisAttrs',['../structtvm_1_1relay_1_1FixedPointMultiplyPerAxisAttrs.html',1,'tvm::relay']]],
-  ['flattener_5838',['Flattener',['../classtvm_1_1tir_1_1SeqStmt_1_1Flattener.html',1,'tvm::tir::SeqStmt']]],
-  ['floatimm_5839',['FloatImm',['../classtvm_1_1FloatImm.html',1,'tvm']]],
-  ['floatimmnode_5840',['FloatImmNode',['../classtvm_1_1FloatImmNode.html',1,'tvm']]],
-  ['floordiv_5841',['FloorDiv',['../classtvm_1_1tir_1_1FloorDiv.html',1,'tvm::tir']]],
-  ['floordivnode_5842',['FloorDivNode',['../classtvm_1_1tir_1_1FloorDivNode.html',1,'tvm::tir']]],
-  ['floormod_5843',['FloorMod',['../classtvm_1_1tir_1_1FloorMod.html',1,'tvm::tir']]],
-  ['floormodnode_5844',['FloorModNode',['../classtvm_1_1tir_1_1FloorModNode.html',1,'tvm::tir']]],
-  ['followfusedsplitstep_5845',['FollowFusedSplitStep',['../classtvm_1_1auto__scheduler_1_1FollowFusedSplitStep.html',1,'tvm::auto_scheduler']]],
-  ['followfusedsplitstepnode_5846',['FollowFusedSplitStepNode',['../classtvm_1_1auto__scheduler_1_1FollowFusedSplitStepNode.html',1,'tvm::auto_scheduler']]],
-  ['followsplitstep_5847',['FollowSplitStep',['../classtvm_1_1auto__scheduler_1_1FollowSplitStep.html',1,'tvm::auto_scheduler']]],
-  ['followsplitstepnode_5848',['FollowSplitStepNode',['../classtvm_1_1auto__scheduler_1_1FollowSplitStepNode.html',1,'tvm::auto_scheduler']]],
-  ['for_5849',['For',['../classtvm_1_1tir_1_1For.html',1,'tvm::tir']]],
-  ['fordoc_5850',['ForDoc',['../classtvm_1_1script_1_1printer_1_1ForDoc.html',1,'tvm::script::printer']]],
-  ['fordocnode_5851',['ForDocNode',['../classtvm_1_1script_1_1printer_1_1ForDocNode.html',1,'tvm::script::printer']]],
-  ['forframe_5852',['ForFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ForFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['forframenode_5853',['ForFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ForFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['fornode_5854',['ForNode',['../classtvm_1_1tir_1_1ForNode.html',1,'tvm::tir']]],
-  ['frame_5855',['Frame',['../classtvm_1_1script_1_1printer_1_1Frame.html',1,'tvm::script::printer']]],
-  ['framebuffer_5856',['FrameBuffer',['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html',1,'tvm::runtime::micro_rpc']]],
-  ['framenode_5857',['FrameNode',['../classtvm_1_1script_1_1printer_1_1FrameNode.html',1,'tvm::script::printer']]],
-  ['framer_5858',['Framer',['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html',1,'tvm::runtime::micro_rpc']]],
-  ['fromstd_5859',['FromStd',['../classtvm_1_1runtime_1_1ShapeTupleObj_1_1FromStd.html',1,'tvm::runtime::ShapeTupleObj::FromStd'],['../classtvm_1_1runtime_1_1StringObj_1_1FromStd.html',1,'tvm::runtime::StringObj::FromStd']]],
-  ['function_5860',['Function',['../classtvm_1_1relay_1_1Function.html',1,'tvm::relay']]],
-  ['functiondoc_5861',['FunctionDoc',['../classtvm_1_1script_1_1printer_1_1FunctionDoc.html',1,'tvm::script::printer']]],
-  ['functiondocnode_5862',['FunctionDocNode',['../classtvm_1_1script_1_1printer_1_1FunctionDocNode.html',1,'tvm::script::printer']]],
-  ['functionnode_5863',['FunctionNode',['../classtvm_1_1relay_1_1FunctionNode.html',1,'tvm::relay']]],
-  ['functionpattern_5864',['FunctionPattern',['../classtvm_1_1relay_1_1FunctionPattern.html',1,'tvm::relay']]],
-  ['functionpatternnode_5865',['FunctionPatternNode',['../classtvm_1_1relay_1_1FunctionPatternNode.html',1,'tvm::relay']]],
-  ['functype_5866',['FuncType',['../classtvm_1_1FuncType.html',1,'tvm']]],
-  ['functypenode_5867',['FuncTypeNode',['../classtvm_1_1FuncTypeNode.html',1,'tvm']]],
-  ['fuse_5868',['Fuse',['../classtvm_1_1te_1_1Fuse.html',1,'tvm::te']]],
-  ['fusenode_5869',['FuseNode',['../classtvm_1_1te_1_1FuseNode.html',1,'tvm::te']]],
-  ['fusestep_5870',['FuseStep',['../classtvm_1_1auto__scheduler_1_1FuseStep.html',1,'tvm::auto_scheduler']]],
-  ['fusestepnode_5871',['FuseStepNode',['../classtvm_1_1auto__scheduler_1_1FuseStepNode.html',1,'tvm::auto_scheduler']]]
+  ['featureextractor_5834',['FeatureExtractor',['../classtvm_1_1meta__schedule_1_1FeatureExtractor.html',1,'tvm::meta_schedule']]],
+  ['featureextractornode_5835',['FeatureExtractorNode',['../classtvm_1_1meta__schedule_1_1FeatureExtractorNode.html',1,'tvm::meta_schedule']]],
+  ['featureset_5836',['FeatureSet',['../classtvm_1_1relay_1_1FeatureSet.html',1,'tvm::relay']]],
+  ['fifobufferattrs_5837',['FIFOBufferAttrs',['../structtvm_1_1relay_1_1FIFOBufferAttrs.html',1,'tvm::relay']]],
+  ['fixedpointmultiplyattrs_5838',['FixedPointMultiplyAttrs',['../structtvm_1_1relay_1_1FixedPointMultiplyAttrs.html',1,'tvm::relay']]],
+  ['fixedpointmultiplyperaxisattrs_5839',['FixedPointMultiplyPerAxisAttrs',['../structtvm_1_1relay_1_1FixedPointMultiplyPerAxisAttrs.html',1,'tvm::relay']]],
+  ['flattener_5840',['Flattener',['../classtvm_1_1tir_1_1SeqStmt_1_1Flattener.html',1,'tvm::tir::SeqStmt']]],
+  ['floatimm_5841',['FloatImm',['../classtvm_1_1FloatImm.html',1,'tvm']]],
+  ['floatimmnode_5842',['FloatImmNode',['../classtvm_1_1FloatImmNode.html',1,'tvm']]],
+  ['floordiv_5843',['FloorDiv',['../classtvm_1_1tir_1_1FloorDiv.html',1,'tvm::tir']]],
+  ['floordivnode_5844',['FloorDivNode',['../classtvm_1_1tir_1_1FloorDivNode.html',1,'tvm::tir']]],
+  ['floormod_5845',['FloorMod',['../classtvm_1_1tir_1_1FloorMod.html',1,'tvm::tir']]],
+  ['floormodnode_5846',['FloorModNode',['../classtvm_1_1tir_1_1FloorModNode.html',1,'tvm::tir']]],
+  ['followfusedsplitstep_5847',['FollowFusedSplitStep',['../classtvm_1_1auto__scheduler_1_1FollowFusedSplitStep.html',1,'tvm::auto_scheduler']]],
+  ['followfusedsplitstepnode_5848',['FollowFusedSplitStepNode',['../classtvm_1_1auto__scheduler_1_1FollowFusedSplitStepNode.html',1,'tvm::auto_scheduler']]],
+  ['followsplitstep_5849',['FollowSplitStep',['../classtvm_1_1auto__scheduler_1_1FollowSplitStep.html',1,'tvm::auto_scheduler']]],
+  ['followsplitstepnode_5850',['FollowSplitStepNode',['../classtvm_1_1auto__scheduler_1_1FollowSplitStepNode.html',1,'tvm::auto_scheduler']]],
+  ['for_5851',['For',['../classtvm_1_1tir_1_1For.html',1,'tvm::tir']]],
+  ['fordoc_5852',['ForDoc',['../classtvm_1_1script_1_1printer_1_1ForDoc.html',1,'tvm::script::printer']]],
+  ['fordocnode_5853',['ForDocNode',['../classtvm_1_1script_1_1printer_1_1ForDocNode.html',1,'tvm::script::printer']]],
+  ['forframe_5854',['ForFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ForFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['forframenode_5855',['ForFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1ForFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['fornode_5856',['ForNode',['../classtvm_1_1tir_1_1ForNode.html',1,'tvm::tir']]],
+  ['frame_5857',['Frame',['../classtvm_1_1script_1_1printer_1_1Frame.html',1,'tvm::script::printer']]],
+  ['framebuffer_5858',['FrameBuffer',['../classtvm_1_1runtime_1_1micro__rpc_1_1FrameBuffer.html',1,'tvm::runtime::micro_rpc']]],
+  ['framenode_5859',['FrameNode',['../classtvm_1_1script_1_1printer_1_1FrameNode.html',1,'tvm::script::printer']]],
+  ['framer_5860',['Framer',['../classtvm_1_1runtime_1_1micro__rpc_1_1Framer.html',1,'tvm::runtime::micro_rpc']]],
+  ['fromstd_5861',['FromStd',['../classtvm_1_1runtime_1_1ShapeTupleObj_1_1FromStd.html',1,'tvm::runtime::ShapeTupleObj::FromStd'],['../classtvm_1_1runtime_1_1StringObj_1_1FromStd.html',1,'tvm::runtime::StringObj::FromStd']]],
+  ['function_5862',['Function',['../classtvm_1_1relay_1_1Function.html',1,'tvm::relay']]],
+  ['functiondoc_5863',['FunctionDoc',['../classtvm_1_1script_1_1printer_1_1FunctionDoc.html',1,'tvm::script::printer']]],
+  ['functiondocnode_5864',['FunctionDocNode',['../classtvm_1_1script_1_1printer_1_1FunctionDocNode.html',1,'tvm::script::printer']]],
+  ['functionnode_5865',['FunctionNode',['../classtvm_1_1relay_1_1FunctionNode.html',1,'tvm::relay']]],
+  ['functionpattern_5866',['FunctionPattern',['../classtvm_1_1relay_1_1FunctionPattern.html',1,'tvm::relay']]],
+  ['functionpatternnode_5867',['FunctionPatternNode',['../classtvm_1_1relay_1_1FunctionPatternNode.html',1,'tvm::relay']]],
+  ['functype_5868',['FuncType',['../classtvm_1_1FuncType.html',1,'tvm']]],
+  ['functypenode_5869',['FuncTypeNode',['../classtvm_1_1FuncTypeNode.html',1,'tvm']]],
+  ['fuse_5870',['Fuse',['../classtvm_1_1te_1_1Fuse.html',1,'tvm::te']]],
+  ['fusenode_5871',['FuseNode',['../classtvm_1_1te_1_1FuseNode.html',1,'tvm::te']]],
+  ['fusestep_5872',['FuseStep',['../classtvm_1_1auto__scheduler_1_1FuseStep.html',1,'tvm::auto_scheduler']]],
+  ['fusestepnode_5873',['FuseStepNode',['../classtvm_1_1auto__scheduler_1_1FuseStepNode.html',1,'tvm::auto_scheduler']]]
 ];
diff --git a/docs/reference/api/doxygen/search/classes_6.js b/docs/reference/api/doxygen/search/classes_6.js
index 29a42bfc68..021a0d3268 100644
--- a/docs/reference/api/doxygen/search/classes_6.js
+++ b/docs/reference/api/doxygen/search/classes_6.js
@@ -1,22 +1,22 @@
 var searchData=
 [
-  ['gatherattrs_5872',['GatherAttrs',['../structtvm_1_1relay_1_1GatherAttrs.html',1,'tvm::relay']]],
-  ['gatherndattrs_5873',['GatherNDAttrs',['../structtvm_1_1relay_1_1GatherNDAttrs.html',1,'tvm::relay']]],
-  ['ge_5874',['GE',['../classtvm_1_1tir_1_1GE.html',1,'tvm::tir']]],
-  ['genericfunc_5875',['GenericFunc',['../classtvm_1_1GenericFunc.html',1,'tvm']]],
-  ['genericfuncnode_5876',['GenericFuncNode',['../classtvm_1_1GenericFuncNode.html',1,'tvm']]],
-  ['genode_5877',['GENode',['../classtvm_1_1tir_1_1GENode.html',1,'tvm::tir']]],
-  ['getvalidcountsattrs_5878',['GetValidCountsAttrs',['../structtvm_1_1relay_1_1GetValidCountsAttrs.html',1,'tvm::relay']]],
-  ['globalpool2dattrs_5879',['GlobalPool2DAttrs',['../structtvm_1_1relay_1_1GlobalPool2DAttrs.html',1,'tvm::relay']]],
-  ['globaltypevar_5880',['GlobalTypeVar',['../classtvm_1_1GlobalTypeVar.html',1,'tvm']]],
-  ['globaltypevarnode_5881',['GlobalTypeVarNode',['../classtvm_1_1GlobalTypeVarNode.html',1,'tvm']]],
-  ['globalvar_5882',['GlobalVar',['../classGlobalVar.html',1,'GlobalVar'],['../classtvm_1_1GlobalVar.html',1,'tvm::GlobalVar']]],
-  ['globalvarnode_5883',['GlobalVarNode',['../classtvm_1_1GlobalVarNode.html',1,'tvm']]],
-  ['globalvarsupply_5884',['GlobalVarSupply',['../classtvm_1_1GlobalVarSupply.html',1,'tvm']]],
-  ['globalvarsupplynode_5885',['GlobalVarSupplyNode',['../classtvm_1_1GlobalVarSupplyNode.html',1,'tvm']]],
-  ['greedybase_5886',['GreedyBase',['../classtvm_1_1tir_1_1usmp_1_1algo_1_1GreedyBase.html',1,'tvm::tir::usmp::algo']]],
-  ['gridsampleattrs_5887',['GridSampleAttrs',['../structtvm_1_1relay_1_1GridSampleAttrs.html',1,'tvm::relay']]],
-  ['groupnormattrs_5888',['GroupNormAttrs',['../structtvm_1_1relay_1_1GroupNormAttrs.html',1,'tvm::relay']]],
-  ['gt_5889',['GT',['../classtvm_1_1tir_1_1GT.html',1,'tvm::tir']]],
-  ['gtnode_5890',['GTNode',['../classtvm_1_1tir_1_1GTNode.html',1,'tvm::tir']]]
+  ['gatherattrs_5874',['GatherAttrs',['../structtvm_1_1relay_1_1GatherAttrs.html',1,'tvm::relay']]],
+  ['gatherndattrs_5875',['GatherNDAttrs',['../structtvm_1_1relay_1_1GatherNDAttrs.html',1,'tvm::relay']]],
+  ['ge_5876',['GE',['../classtvm_1_1tir_1_1GE.html',1,'tvm::tir']]],
+  ['genericfunc_5877',['GenericFunc',['../classtvm_1_1GenericFunc.html',1,'tvm']]],
+  ['genericfuncnode_5878',['GenericFuncNode',['../classtvm_1_1GenericFuncNode.html',1,'tvm']]],
+  ['genode_5879',['GENode',['../classtvm_1_1tir_1_1GENode.html',1,'tvm::tir']]],
+  ['getvalidcountsattrs_5880',['GetValidCountsAttrs',['../structtvm_1_1relay_1_1GetValidCountsAttrs.html',1,'tvm::relay']]],
+  ['globalpool2dattrs_5881',['GlobalPool2DAttrs',['../structtvm_1_1relay_1_1GlobalPool2DAttrs.html',1,'tvm::relay']]],
+  ['globaltypevar_5882',['GlobalTypeVar',['../classtvm_1_1GlobalTypeVar.html',1,'tvm']]],
+  ['globaltypevarnode_5883',['GlobalTypeVarNode',['../classtvm_1_1GlobalTypeVarNode.html',1,'tvm']]],
+  ['globalvar_5884',['GlobalVar',['../classGlobalVar.html',1,'GlobalVar'],['../classtvm_1_1GlobalVar.html',1,'tvm::GlobalVar']]],
+  ['globalvarnode_5885',['GlobalVarNode',['../classtvm_1_1GlobalVarNode.html',1,'tvm']]],
+  ['globalvarsupply_5886',['GlobalVarSupply',['../classtvm_1_1GlobalVarSupply.html',1,'tvm']]],
+  ['globalvarsupplynode_5887',['GlobalVarSupplyNode',['../classtvm_1_1GlobalVarSupplyNode.html',1,'tvm']]],
+  ['greedybase_5888',['GreedyBase',['../classtvm_1_1tir_1_1usmp_1_1algo_1_1GreedyBase.html',1,'tvm::tir::usmp::algo']]],
+  ['gridsampleattrs_5889',['GridSampleAttrs',['../structtvm_1_1relay_1_1GridSampleAttrs.html',1,'tvm::relay']]],
+  ['groupnormattrs_5890',['GroupNormAttrs',['../structtvm_1_1relay_1_1GroupNormAttrs.html',1,'tvm::relay']]],
+  ['gt_5891',['GT',['../classtvm_1_1tir_1_1GT.html',1,'tvm::tir']]],
+  ['gtnode_5892',['GTNode',['../classtvm_1_1tir_1_1GTNode.html',1,'tvm::tir']]]
 ];
diff --git a/docs/reference/api/doxygen/search/classes_7.js b/docs/reference/api/doxygen/search/classes_7.js
index f8e51d229e..b66f187d7a 100644
--- a/docs/reference/api/doxygen/search/classes_7.js
+++ b/docs/reference/api/doxygen/search/classes_7.js
@@ -1,10 +1,10 @@
 var searchData=
 [
-  ['handler_5891',['Handler',['../classtvm_1_1runtime_1_1SimpleObjAllocator_1_1Handler.html',1,'tvm::runtime::SimpleObjAllocator::Handler&lt; T &gt;'],['../classtvm_1_1SEqualReducer_1_1Handler.html',1,'tvm::SEqualReducer::Handler'],['../classtvm_1_1SHashReducer_1_1Handler.html',1,'tvm::SHashReducer::Handler']]],
-  ['handler_3c_20dldatatype_20_3e_5892',['Handler&lt; DLDataType &gt;',['../structdmlc_1_1serializer_1_1Handler_3_01DLDataType_01_4.html',1,'dmlc::serializer']]],
-  ['handler_3c_20dldevice_20_3e_5893',['Handler&lt; DLDevice &gt;',['../structdmlc_1_1serializer_1_1Handler_3_01DLDevice_01_4.html',1,'dmlc::serializer']]],
-  ['hardwareparams_5894',['HardwareParams',['../classtvm_1_1auto__scheduler_1_1HardwareParams.html',1,'tvm::auto_scheduler']]],
-  ['hardwareparamsnode_5895',['HardwareParamsNode',['../classtvm_1_1auto__scheduler_1_1HardwareParamsNode.html',1,'tvm::auto_scheduler']]],
-  ['hybridop_5896',['HybridOp',['../classtvm_1_1te_1_1HybridOp.html',1,'tvm::te']]],
-  ['hybridopnode_5897',['HybridOpNode',['../classtvm_1_1te_1_1HybridOpNode.html',1,'tvm::te']]]
+  ['handler_5893',['Handler',['../classtvm_1_1runtime_1_1SimpleObjAllocator_1_1Handler.html',1,'tvm::runtime::SimpleObjAllocator::Handler&lt; T &gt;'],['../classtvm_1_1SEqualReducer_1_1Handler.html',1,'tvm::SEqualReducer::Handler'],['../classtvm_1_1SHashReducer_1_1Handler.html',1,'tvm::SHashReducer::Handler']]],
+  ['handler_3c_20dldatatype_20_3e_5894',['Handler&lt; DLDataType &gt;',['../structdmlc_1_1serializer_1_1Handler_3_01DLDataType_01_4.html',1,'dmlc::serializer']]],
+  ['handler_3c_20dldevice_20_3e_5895',['Handler&lt; DLDevice &gt;',['../structdmlc_1_1serializer_1_1Handler_3_01DLDevice_01_4.html',1,'dmlc::serializer']]],
+  ['hardwareparams_5896',['HardwareParams',['../classtvm_1_1auto__scheduler_1_1HardwareParams.html',1,'tvm::auto_scheduler']]],
+  ['hardwareparamsnode_5897',['HardwareParamsNode',['../classtvm_1_1auto__scheduler_1_1HardwareParamsNode.html',1,'tvm::auto_scheduler']]],
+  ['hybridop_5898',['HybridOp',['../classtvm_1_1te_1_1HybridOp.html',1,'tvm::te']]],
+  ['hybridopnode_5899',['HybridOpNode',['../classtvm_1_1te_1_1HybridOpNode.html',1,'tvm::te']]]
 ];
diff --git a/docs/reference/api/doxygen/search/classes_8.js b/docs/reference/api/doxygen/search/classes_8.js
index 357975e61a..9e7b7d9153 100644
--- a/docs/reference/api/doxygen/search/classes_8.js
+++ b/docs/reference/api/doxygen/search/classes_8.js
@@ -1,93 +1,93 @@
 var searchData=
 [
-  ['id_5898',['Id',['../classtvm_1_1relay_1_1Id.html',1,'tvm::relay']]],
-  ['iddoc_5899',['IdDoc',['../classtvm_1_1script_1_1printer_1_1IdDoc.html',1,'tvm::script::printer']]],
-  ['iddocnode_5900',['IdDocNode',['../classtvm_1_1script_1_1printer_1_1IdDocNode.html',1,'tvm::script::printer']]],
-  ['idnode_5901',['IdNode',['../classtvm_1_1relay_1_1IdNode.html',1,'tvm::relay']]],
-  ['if_5902',['If',['../classtvm_1_1relay_1_1If.html',1,'tvm::relay']]],
-  ['ifdoc_5903',['IfDoc',['../classtvm_1_1script_1_1printer_1_1IfDoc.html',1,'tvm::script::printer']]],
-  ['ifdocnode_5904',['IfDocNode',['../classtvm_1_1script_1_1printer_1_1IfDocNode.html',1,'tvm::script::printer']]],
-  ['ifframe_5905',['IfFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1IfFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['ifframenode_5906',['IfFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1IfFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['ifnode_5907',['IfNode',['../classtvm_1_1relay_1_1IfNode.html',1,'tvm::relay']]],
-  ['ifpattern_5908',['IfPattern',['../classtvm_1_1relay_1_1IfPattern.html',1,'tvm::relay']]],
-  ['ifpatternnode_5909',['IfPatternNode',['../classtvm_1_1relay_1_1IfPatternNode.html',1,'tvm::relay']]],
-  ['ifthenelse_5910',['IfThenElse',['../classtvm_1_1tir_1_1IfThenElse.html',1,'tvm::tir']]],
-  ['ifthenelsenode_5911',['IfThenElseNode',['../classtvm_1_1tir_1_1IfThenElseNode.html',1,'tvm::tir']]],
-  ['implsequalreduce_5912',['ImplSEqualReduce',['../structtvm_1_1detail_1_1ImplSEqualReduce.html',1,'tvm::detail']]],
-  ['implsequalreduce_3c_20t_2c_20true_20_3e_5913',['ImplSEqualReduce&lt; T, true &gt;',['../structtvm_1_1detail_1_1ImplSEqualReduce_3_01T_00_01true_01_4.html',1,'tvm::detail']]],
-  ['implshashreduce_5914',['ImplSHashReduce',['../structtvm_1_1detail_1_1ImplSHashReduce.html',1,'tvm::detail']]],
-  ['implshashreduce_3c_20t_2c_20true_20_3e_5915',['ImplSHashReduce&lt; T, true &gt;',['../structtvm_1_1detail_1_1ImplSHashReduce_3_01T_00_01true_01_4.html',1,'tvm::detail']]],
-  ['implvisitattrs_5916',['ImplVisitAttrs',['../structtvm_1_1detail_1_1ImplVisitAttrs.html',1,'tvm::detail']]],
-  ['implvisitattrs_3c_20t_2c_20true_20_3e_5917',['ImplVisitAttrs&lt; T, true &gt;',['../structtvm_1_1detail_1_1ImplVisitAttrs_3_01T_00_01true_01_4.html',1,'tvm::detail']]],
-  ['incompletetype_5918',['IncompleteType',['../classtvm_1_1IncompleteType.html',1,'tvm']]],
-  ['incompletetypenode_5919',['IncompleteTypeNode',['../classtvm_1_1IncompleteTypeNode.html',1,'tvm']]],
-  ['indexdatatypenormalizer_5920',['IndexDataTypeNormalizer',['../classtvm_1_1tir_1_1IndexDataTypeNormalizer.html',1,'tvm::tir']]],
-  ['indexdatatyperewriter_5921',['IndexDataTypeRewriter',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html',1,'tvm::tir']]],
-  ['indexdoc_5922',['IndexDoc',['../classtvm_1_1script_1_1printer_1_1IndexDoc.html',1,'tvm::script::printer']]],
-  ['indexdocnode_5923',['IndexDocNode',['../classtvm_1_1script_1_1printer_1_1IndexDocNode.html',1,'tvm::script::printer']]],
-  ['indexmap_5924',['IndexMap',['../classtvm_1_1tir_1_1IndexMap.html',1,'tvm::tir']]],
-  ['indexmapnode_5925',['IndexMapNode',['../classtvm_1_1tir_1_1IndexMapNode.html',1,'tvm::tir']]],
-  ['initopattrs_5926',['InitOpAttrs',['../structtvm_1_1relay_1_1InitOpAttrs.html',1,'tvm::relay']]],
-  ['inplacearraybase_5927',['InplaceArrayBase',['../classtvm_1_1runtime_1_1InplaceArrayBase.html',1,'tvm::runtime']]],
-  ['inplacearraybase_3c_20adtobj_2c_20objectref_20_3e_5928',['InplaceArrayBase&lt; ADTObj, ObjectRef &gt;',['../classtvm_1_1runtime_1_1InplaceArrayBase.html',1,'tvm::runtime']]],
-  ['inplacearraybase_3c_20arraynode_2c_20objectref_20_3e_5929',['InplaceArrayBase&lt; ArrayNode, ObjectRef &gt;',['../classtvm_1_1runtime_1_1InplaceArrayBase.html',1,'tvm::runtime']]],
-  ['inplacearraybase_3c_20smallmapnode_2c_20mapnode_3a_3akvtype_20_3e_5930',['InplaceArrayBase&lt; SmallMapNode, MapNode::KVType &gt;',['../classtvm_1_1runtime_1_1InplaceArrayBase.html',1,'tvm::runtime']]],
-  ['instancenormattrs_5931',['InstanceNormAttrs',['../structtvm_1_1relay_1_1InstanceNormAttrs.html',1,'tvm::relay']]],
-  ['instruction_5932',['Instruction',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html',1,'tvm::runtime::vm::Instruction'],['../classtvm_1_1tir_1_1Instruction.html',1,'tvm::tir::Instruction']]],
-  ['instructionkind_5933',['InstructionKind',['../classtvm_1_1tir_1_1InstructionKind.html',1,'tvm::tir']]],
-  ['instructionkindnode_5934',['InstructionKindNode',['../classtvm_1_1tir_1_1InstructionKindNode.html',1,'tvm::tir']]],
-  ['instructionkindregentry_5935',['InstructionKindRegEntry',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html',1,'tvm::tir']]],
-  ['instructionnode_5936',['InstructionNode',['../classtvm_1_1tir_1_1InstructionNode.html',1,'tvm::tir']]],
-  ['intconstraints_5937',['IntConstraints',['../classtvm_1_1arith_1_1IntConstraints.html',1,'tvm::arith']]],
-  ['intconstraintsnode_5938',['IntConstraintsNode',['../classtvm_1_1arith_1_1IntConstraintsNode.html',1,'tvm::arith']]],
-  ['intconstraintstransform_5939',['IntConstraintsTransform',['../classtvm_1_1arith_1_1IntConstraintsTransform.html',1,'tvm::arith']]],
-  ['intconstraintstransformnode_5940',['IntConstraintsTransformNode',['../classtvm_1_1arith_1_1IntConstraintsTransformNode.html',1,'tvm::arith']]],
-  ['integer_5941',['Integer',['../classtvm_1_1Integer.html',1,'tvm']]],
-  ['interpreterclosure_5942',['InterpreterClosure',['../classtvm_1_1relay_1_1InterpreterClosure.html',1,'tvm::relay']]],
-  ['interpreterclosureobj_5943',['InterpreterClosureObj',['../classtvm_1_1relay_1_1InterpreterClosureObj.html',1,'tvm::relay']]],
-  ['intgroupbounds_5944',['IntGroupBounds',['../classtvm_1_1arith_1_1IntGroupBounds.html',1,'tvm::arith']]],
-  ['intgroupboundsnode_5945',['IntGroupBoundsNode',['../classtvm_1_1arith_1_1IntGroupBoundsNode.html',1,'tvm::arith']]],
-  ['intimm_5946',['IntImm',['../classtvm_1_1IntImm.html',1,'tvm']]],
-  ['intimmnode_5947',['IntImmNode',['../classtvm_1_1IntImmNode.html',1,'tvm']]],
-  ['intset_5948',['IntSet',['../classtvm_1_1arith_1_1IntSet.html',1,'tvm::arith']]],
-  ['intsetanalyzer_5949',['IntSetAnalyzer',['../classtvm_1_1arith_1_1IntSetAnalyzer.html',1,'tvm::arith']]],
-  ['intsetnode_5950',['IntSetNode',['../classtvm_1_1arith_1_1IntSetNode.html',1,'tvm::arith']]],
-  ['irbuilder_5951',['IRBuilder',['../classtvm_1_1script_1_1ir__builder_1_1IRBuilder.html',1,'tvm::script::ir_builder']]],
-  ['irbuilderframe_5952',['IRBuilderFrame',['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderFrame.html',1,'tvm::script::ir_builder']]],
-  ['irbuilderframenode_5953',['IRBuilderFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderFrameNode.html',1,'tvm::script::ir_builder']]],
-  ['irbuildernode_5954',['IRBuilderNode',['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderNode.html',1,'tvm::script::ir_builder']]],
-  ['irdocsifier_5955',['IRDocsifier',['../classtvm_1_1script_1_1printer_1_1IRDocsifier.html',1,'tvm::script::printer']]],
-  ['irdocsifierfunctor_5956',['IRDocsifierFunctor',['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html',1,'tvm::script::printer']]],
-  ['irdocsifiernode_5957',['IRDocsifierNode',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html',1,'tvm::script::printer']]],
-  ['irmodule_5958',['IRModule',['../classtvm_1_1IRModule.html',1,'tvm']]],
-  ['irmoduleframe_5959',['IRModuleFrame',['../classtvm_1_1script_1_1ir__builder_1_1ir_1_1IRModuleFrame.html',1,'tvm::script::ir_builder::ir']]],
-  ['irmoduleframenode_5960',['IRModuleFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1ir_1_1IRModuleFrameNode.html',1,'tvm::script::ir_builder::ir']]],
-  ['irmodulenode_5961',['IRModuleNode',['../classtvm_1_1IRModuleNode.html',1,'tvm']]],
-  ['is_5fspecialized_5962',['is_specialized',['../structtvm_1_1detail_1_1is__specialized.html',1,'tvm::detail']]],
-  ['is_5fspecialized_3c_20container_3c_20args_2e_2e_2e_20_3e_2c_20container_20_3e_5963',['is_specialized&lt; Container&lt; Args... &gt;, Container &gt;',['../structtvm_1_1detail_1_1is__specialized_3_01Container_3_01Args_8_8_8_01_4_00_01Container_01_4.html',1,'tvm::detail']]],
-  ['is_5fvalid_5fiterator_5964',['is_valid_iterator',['../structtvm_1_1runtime_1_1is__valid__iterator.html',1,'tvm::runtime']]],
-  ['is_5fvalid_5fiterator_3c_20optional_3c_20t_20_3e_2c_20itertype_20_3e_5965',['is_valid_iterator&lt; Optional&lt; T &gt;, IterType &gt;',['../structtvm_1_1runtime_1_1is__valid__iterator_3_01Optional_3_01T_01_4_00_01IterType_01_4.html',1,'tvm::runtime']]],
-  ['iteradapter_5966',['IterAdapter',['../classtvm_1_1runtime_1_1IterAdapter.html',1,'tvm::runtime']]],
-  ['iterator_5967',['Iterator',['../classtvm_1_1auto__scheduler_1_1Iterator.html',1,'tvm::auto_scheduler']]],
-  ['iterator_5968',['iterator',['../classtvm_1_1runtime_1_1Map_1_1iterator.html',1,'tvm::runtime::Map&lt; K, V, typename, typename &gt;::iterator'],['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html',1,'tvm::runtime::MapNode::iterator']]],
-  ['iterator_5fbase_5969',['iterator_base',['../classtvm_1_1support_1_1Span_1_1iterator__base.html',1,'tvm::support::Span']]],
-  ['iteratornode_5970',['IteratorNode',['../classtvm_1_1auto__scheduler_1_1IteratorNode.html',1,'tvm::auto_scheduler']]],
-  ['iterkeyhash_5971',['IterKeyHash',['../structtvm_1_1auto__scheduler_1_1AttachMapNode_1_1IterKeyHash.html',1,'tvm::auto_scheduler::AttachMapNode']]],
-  ['itermapexpr_5972',['IterMapExpr',['../classtvm_1_1arith_1_1IterMapExpr.html',1,'tvm::arith']]],
-  ['itermapexprnode_5973',['IterMapExprNode',['../classtvm_1_1arith_1_1IterMapExprNode.html',1,'tvm::arith']]],
-  ['itermapresult_5974',['IterMapResult',['../classtvm_1_1arith_1_1IterMapResult.html',1,'tvm::arith']]],
-  ['itermapresultnode_5975',['IterMapResultNode',['../classtvm_1_1arith_1_1IterMapResultNode.html',1,'tvm::arith']]],
-  ['itermark_5976',['IterMark',['../classtvm_1_1arith_1_1IterMark.html',1,'tvm::arith']]],
-  ['itermarknode_5977',['IterMarkNode',['../classtvm_1_1arith_1_1IterMarkNode.html',1,'tvm::arith']]],
-  ['itersplitexpr_5978',['IterSplitExpr',['../classtvm_1_1arith_1_1IterSplitExpr.html',1,'tvm::arith']]],
-  ['itersplitexprnode_5979',['IterSplitExprNode',['../classtvm_1_1arith_1_1IterSplitExprNode.html',1,'tvm::arith']]],
-  ['itersumexpr_5980',['IterSumExpr',['../classtvm_1_1arith_1_1IterSumExpr.html',1,'tvm::arith']]],
-  ['itersumexprnode_5981',['IterSumExprNode',['../classtvm_1_1arith_1_1IterSumExprNode.html',1,'tvm::arith']]],
-  ['itervar_5982',['IterVar',['../classtvm_1_1tir_1_1IterVar.html',1,'tvm::tir']]],
-  ['itervarattr_5983',['IterVarAttr',['../classtvm_1_1te_1_1IterVarAttr.html',1,'tvm::te']]],
-  ['itervarattrnode_5984',['IterVarAttrNode',['../classtvm_1_1te_1_1IterVarAttrNode.html',1,'tvm::te']]],
-  ['itervarnode_5985',['IterVarNode',['../classtvm_1_1tir_1_1IterVarNode.html',1,'tvm::tir']]],
-  ['itervarrelation_5986',['IterVarRelation',['../classtvm_1_1te_1_1IterVarRelation.html',1,'tvm::te']]],
-  ['itervarrelationnode_5987',['IterVarRelationNode',['../classtvm_1_1te_1_1IterVarRelationNode.html',1,'tvm::te']]]
+  ['id_5900',['Id',['../classtvm_1_1relay_1_1Id.html',1,'tvm::relay']]],
+  ['iddoc_5901',['IdDoc',['../classtvm_1_1script_1_1printer_1_1IdDoc.html',1,'tvm::script::printer']]],
+  ['iddocnode_5902',['IdDocNode',['../classtvm_1_1script_1_1printer_1_1IdDocNode.html',1,'tvm::script::printer']]],
+  ['idnode_5903',['IdNode',['../classtvm_1_1relay_1_1IdNode.html',1,'tvm::relay']]],
+  ['if_5904',['If',['../classtvm_1_1relay_1_1If.html',1,'tvm::relay']]],
+  ['ifdoc_5905',['IfDoc',['../classtvm_1_1script_1_1printer_1_1IfDoc.html',1,'tvm::script::printer']]],
+  ['ifdocnode_5906',['IfDocNode',['../classtvm_1_1script_1_1printer_1_1IfDocNode.html',1,'tvm::script::printer']]],
+  ['ifframe_5907',['IfFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1IfFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['ifframenode_5908',['IfFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1IfFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['ifnode_5909',['IfNode',['../classtvm_1_1relay_1_1IfNode.html',1,'tvm::relay']]],
+  ['ifpattern_5910',['IfPattern',['../classtvm_1_1relay_1_1IfPattern.html',1,'tvm::relay']]],
+  ['ifpatternnode_5911',['IfPatternNode',['../classtvm_1_1relay_1_1IfPatternNode.html',1,'tvm::relay']]],
+  ['ifthenelse_5912',['IfThenElse',['../classtvm_1_1tir_1_1IfThenElse.html',1,'tvm::tir']]],
+  ['ifthenelsenode_5913',['IfThenElseNode',['../classtvm_1_1tir_1_1IfThenElseNode.html',1,'tvm::tir']]],
+  ['implsequalreduce_5914',['ImplSEqualReduce',['../structtvm_1_1detail_1_1ImplSEqualReduce.html',1,'tvm::detail']]],
+  ['implsequalreduce_3c_20t_2c_20true_20_3e_5915',['ImplSEqualReduce&lt; T, true &gt;',['../structtvm_1_1detail_1_1ImplSEqualReduce_3_01T_00_01true_01_4.html',1,'tvm::detail']]],
+  ['implshashreduce_5916',['ImplSHashReduce',['../structtvm_1_1detail_1_1ImplSHashReduce.html',1,'tvm::detail']]],
+  ['implshashreduce_3c_20t_2c_20true_20_3e_5917',['ImplSHashReduce&lt; T, true &gt;',['../structtvm_1_1detail_1_1ImplSHashReduce_3_01T_00_01true_01_4.html',1,'tvm::detail']]],
+  ['implvisitattrs_5918',['ImplVisitAttrs',['../structtvm_1_1detail_1_1ImplVisitAttrs.html',1,'tvm::detail']]],
+  ['implvisitattrs_3c_20t_2c_20true_20_3e_5919',['ImplVisitAttrs&lt; T, true &gt;',['../structtvm_1_1detail_1_1ImplVisitAttrs_3_01T_00_01true_01_4.html',1,'tvm::detail']]],
+  ['incompletetype_5920',['IncompleteType',['../classtvm_1_1IncompleteType.html',1,'tvm']]],
+  ['incompletetypenode_5921',['IncompleteTypeNode',['../classtvm_1_1IncompleteTypeNode.html',1,'tvm']]],
+  ['indexdatatypenormalizer_5922',['IndexDataTypeNormalizer',['../classtvm_1_1tir_1_1IndexDataTypeNormalizer.html',1,'tvm::tir']]],
+  ['indexdatatyperewriter_5923',['IndexDataTypeRewriter',['../classtvm_1_1tir_1_1IndexDataTypeRewriter.html',1,'tvm::tir']]],
+  ['indexdoc_5924',['IndexDoc',['../classtvm_1_1script_1_1printer_1_1IndexDoc.html',1,'tvm::script::printer']]],
+  ['indexdocnode_5925',['IndexDocNode',['../classtvm_1_1script_1_1printer_1_1IndexDocNode.html',1,'tvm::script::printer']]],
+  ['indexmap_5926',['IndexMap',['../classtvm_1_1tir_1_1IndexMap.html',1,'tvm::tir']]],
+  ['indexmapnode_5927',['IndexMapNode',['../classtvm_1_1tir_1_1IndexMapNode.html',1,'tvm::tir']]],
+  ['initopattrs_5928',['InitOpAttrs',['../structtvm_1_1relay_1_1InitOpAttrs.html',1,'tvm::relay']]],
+  ['inplacearraybase_5929',['InplaceArrayBase',['../classtvm_1_1runtime_1_1InplaceArrayBase.html',1,'tvm::runtime']]],
+  ['inplacearraybase_3c_20adtobj_2c_20objectref_20_3e_5930',['InplaceArrayBase&lt; ADTObj, ObjectRef &gt;',['../classtvm_1_1runtime_1_1InplaceArrayBase.html',1,'tvm::runtime']]],
+  ['inplacearraybase_3c_20arraynode_2c_20objectref_20_3e_5931',['InplaceArrayBase&lt; ArrayNode, ObjectRef &gt;',['../classtvm_1_1runtime_1_1InplaceArrayBase.html',1,'tvm::runtime']]],
+  ['inplacearraybase_3c_20smallmapnode_2c_20mapnode_3a_3akvtype_20_3e_5932',['InplaceArrayBase&lt; SmallMapNode, MapNode::KVType &gt;',['../classtvm_1_1runtime_1_1InplaceArrayBase.html',1,'tvm::runtime']]],
+  ['instancenormattrs_5933',['InstanceNormAttrs',['../structtvm_1_1relay_1_1InstanceNormAttrs.html',1,'tvm::relay']]],
+  ['instruction_5934',['Instruction',['../structtvm_1_1runtime_1_1vm_1_1Instruction.html',1,'tvm::runtime::vm::Instruction'],['../classtvm_1_1tir_1_1Instruction.html',1,'tvm::tir::Instruction']]],
+  ['instructionkind_5935',['InstructionKind',['../classtvm_1_1tir_1_1InstructionKind.html',1,'tvm::tir']]],
+  ['instructionkindnode_5936',['InstructionKindNode',['../classtvm_1_1tir_1_1InstructionKindNode.html',1,'tvm::tir']]],
+  ['instructionkindregentry_5937',['InstructionKindRegEntry',['../classtvm_1_1tir_1_1InstructionKindRegEntry.html',1,'tvm::tir']]],
+  ['instructionnode_5938',['InstructionNode',['../classtvm_1_1tir_1_1InstructionNode.html',1,'tvm::tir']]],
+  ['intconstraints_5939',['IntConstraints',['../classtvm_1_1arith_1_1IntConstraints.html',1,'tvm::arith']]],
+  ['intconstraintsnode_5940',['IntConstraintsNode',['../classtvm_1_1arith_1_1IntConstraintsNode.html',1,'tvm::arith']]],
+  ['intconstraintstransform_5941',['IntConstraintsTransform',['../classtvm_1_1arith_1_1IntConstraintsTransform.html',1,'tvm::arith']]],
+  ['intconstraintstransformnode_5942',['IntConstraintsTransformNode',['../classtvm_1_1arith_1_1IntConstraintsTransformNode.html',1,'tvm::arith']]],
+  ['integer_5943',['Integer',['../classtvm_1_1Integer.html',1,'tvm']]],
+  ['interpreterclosure_5944',['InterpreterClosure',['../classtvm_1_1relay_1_1InterpreterClosure.html',1,'tvm::relay']]],
+  ['interpreterclosureobj_5945',['InterpreterClosureObj',['../classtvm_1_1relay_1_1InterpreterClosureObj.html',1,'tvm::relay']]],
+  ['intgroupbounds_5946',['IntGroupBounds',['../classtvm_1_1arith_1_1IntGroupBounds.html',1,'tvm::arith']]],
+  ['intgroupboundsnode_5947',['IntGroupBoundsNode',['../classtvm_1_1arith_1_1IntGroupBoundsNode.html',1,'tvm::arith']]],
+  ['intimm_5948',['IntImm',['../classtvm_1_1IntImm.html',1,'tvm']]],
+  ['intimmnode_5949',['IntImmNode',['../classtvm_1_1IntImmNode.html',1,'tvm']]],
+  ['intset_5950',['IntSet',['../classtvm_1_1arith_1_1IntSet.html',1,'tvm::arith']]],
+  ['intsetanalyzer_5951',['IntSetAnalyzer',['../classtvm_1_1arith_1_1IntSetAnalyzer.html',1,'tvm::arith']]],
+  ['intsetnode_5952',['IntSetNode',['../classtvm_1_1arith_1_1IntSetNode.html',1,'tvm::arith']]],
+  ['irbuilder_5953',['IRBuilder',['../classtvm_1_1script_1_1ir__builder_1_1IRBuilder.html',1,'tvm::script::ir_builder']]],
+  ['irbuilderframe_5954',['IRBuilderFrame',['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderFrame.html',1,'tvm::script::ir_builder']]],
+  ['irbuilderframenode_5955',['IRBuilderFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderFrameNode.html',1,'tvm::script::ir_builder']]],
+  ['irbuildernode_5956',['IRBuilderNode',['../classtvm_1_1script_1_1ir__builder_1_1IRBuilderNode.html',1,'tvm::script::ir_builder']]],
+  ['irdocsifier_5957',['IRDocsifier',['../classtvm_1_1script_1_1printer_1_1IRDocsifier.html',1,'tvm::script::printer']]],
+  ['irdocsifierfunctor_5958',['IRDocsifierFunctor',['../classtvm_1_1script_1_1printer_1_1IRDocsifierFunctor.html',1,'tvm::script::printer']]],
+  ['irdocsifiernode_5959',['IRDocsifierNode',['../classtvm_1_1script_1_1printer_1_1IRDocsifierNode.html',1,'tvm::script::printer']]],
+  ['irmodule_5960',['IRModule',['../classtvm_1_1IRModule.html',1,'tvm']]],
+  ['irmoduleframe_5961',['IRModuleFrame',['../classtvm_1_1script_1_1ir__builder_1_1ir_1_1IRModuleFrame.html',1,'tvm::script::ir_builder::ir']]],
+  ['irmoduleframenode_5962',['IRModuleFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1ir_1_1IRModuleFrameNode.html',1,'tvm::script::ir_builder::ir']]],
+  ['irmodulenode_5963',['IRModuleNode',['../classtvm_1_1IRModuleNode.html',1,'tvm']]],
+  ['is_5fspecialized_5964',['is_specialized',['../structtvm_1_1detail_1_1is__specialized.html',1,'tvm::detail']]],
+  ['is_5fspecialized_3c_20container_3c_20args_2e_2e_2e_20_3e_2c_20container_20_3e_5965',['is_specialized&lt; Container&lt; Args... &gt;, Container &gt;',['../structtvm_1_1detail_1_1is__specialized_3_01Container_3_01Args_8_8_8_01_4_00_01Container_01_4.html',1,'tvm::detail']]],
+  ['is_5fvalid_5fiterator_5966',['is_valid_iterator',['../structtvm_1_1runtime_1_1is__valid__iterator.html',1,'tvm::runtime']]],
+  ['is_5fvalid_5fiterator_3c_20optional_3c_20t_20_3e_2c_20itertype_20_3e_5967',['is_valid_iterator&lt; Optional&lt; T &gt;, IterType &gt;',['../structtvm_1_1runtime_1_1is__valid__iterator_3_01Optional_3_01T_01_4_00_01IterType_01_4.html',1,'tvm::runtime']]],
+  ['iteradapter_5968',['IterAdapter',['../classtvm_1_1runtime_1_1IterAdapter.html',1,'tvm::runtime']]],
+  ['iterator_5969',['Iterator',['../classtvm_1_1auto__scheduler_1_1Iterator.html',1,'tvm::auto_scheduler']]],
+  ['iterator_5970',['iterator',['../classtvm_1_1runtime_1_1Map_1_1iterator.html',1,'tvm::runtime::Map&lt; K, V, typename, typename &gt;::iterator'],['../classtvm_1_1runtime_1_1MapNode_1_1iterator.html',1,'tvm::runtime::MapNode::iterator']]],
+  ['iterator_5fbase_5971',['iterator_base',['../classtvm_1_1support_1_1Span_1_1iterator__base.html',1,'tvm::support::Span']]],
+  ['iteratornode_5972',['IteratorNode',['../classtvm_1_1auto__scheduler_1_1IteratorNode.html',1,'tvm::auto_scheduler']]],
+  ['iterkeyhash_5973',['IterKeyHash',['../structtvm_1_1auto__scheduler_1_1AttachMapNode_1_1IterKeyHash.html',1,'tvm::auto_scheduler::AttachMapNode']]],
+  ['itermapexpr_5974',['IterMapExpr',['../classtvm_1_1arith_1_1IterMapExpr.html',1,'tvm::arith']]],
+  ['itermapexprnode_5975',['IterMapExprNode',['../classtvm_1_1arith_1_1IterMapExprNode.html',1,'tvm::arith']]],
+  ['itermapresult_5976',['IterMapResult',['../classtvm_1_1arith_1_1IterMapResult.html',1,'tvm::arith']]],
+  ['itermapresultnode_5977',['IterMapResultNode',['../classtvm_1_1arith_1_1IterMapResultNode.html',1,'tvm::arith']]],
+  ['itermark_5978',['IterMark',['../classtvm_1_1arith_1_1IterMark.html',1,'tvm::arith']]],
+  ['itermarknode_5979',['IterMarkNode',['../classtvm_1_1arith_1_1IterMarkNode.html',1,'tvm::arith']]],
+  ['itersplitexpr_5980',['IterSplitExpr',['../classtvm_1_1arith_1_1IterSplitExpr.html',1,'tvm::arith']]],
+  ['itersplitexprnode_5981',['IterSplitExprNode',['../classtvm_1_1arith_1_1IterSplitExprNode.html',1,'tvm::arith']]],
+  ['itersumexpr_5982',['IterSumExpr',['../classtvm_1_1arith_1_1IterSumExpr.html',1,'tvm::arith']]],
+  ['itersumexprnode_5983',['IterSumExprNode',['../classtvm_1_1arith_1_1IterSumExprNode.html',1,'tvm::arith']]],
+  ['itervar_5984',['IterVar',['../classtvm_1_1tir_1_1IterVar.html',1,'tvm::tir']]],
+  ['itervarattr_5985',['IterVarAttr',['../classtvm_1_1te_1_1IterVarAttr.html',1,'tvm::te']]],
+  ['itervarattrnode_5986',['IterVarAttrNode',['../classtvm_1_1te_1_1IterVarAttrNode.html',1,'tvm::te']]],
+  ['itervarnode_5987',['IterVarNode',['../classtvm_1_1tir_1_1IterVarNode.html',1,'tvm::tir']]],
+  ['itervarrelation_5988',['IterVarRelation',['../classtvm_1_1te_1_1IterVarRelation.html',1,'tvm::te']]],
+  ['itervarrelationnode_5989',['IterVarRelationNode',['../classtvm_1_1te_1_1IterVarRelationNode.html',1,'tvm::te']]]
 ];
diff --git a/docs/reference/api/doxygen/search/classes_9.js b/docs/reference/api/doxygen/search/classes_9.js
index e01b995198..e72bb3fd08 100644
--- a/docs/reference/api/doxygen/search/classes_9.js
+++ b/docs/reference/api/doxygen/search/classes_9.js
@@ -1,38 +1,38 @@
 var searchData=
 [
-  ['l2normalizeattrs_5988',['L2NormalizeAttrs',['../structtvm_1_1relay_1_1L2NormalizeAttrs.html',1,'tvm::relay']]],
-  ['lambdadoc_5989',['LambdaDoc',['../classtvm_1_1script_1_1printer_1_1LambdaDoc.html',1,'tvm::script::printer']]],
-  ['lambdadocnode_5990',['LambdaDocNode',['../classtvm_1_1script_1_1printer_1_1LambdaDocNode.html',1,'tvm::script::printer']]],
-  ['launchthreadframe_5991',['LaunchThreadFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1LaunchThreadFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['launchthreadframenode_5992',['LaunchThreadFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1LaunchThreadFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['layernormattrs_5993',['LayerNormAttrs',['../structtvm_1_1relay_1_1LayerNormAttrs.html',1,'tvm::relay']]],
-  ['layout_5994',['Layout',['../classtvm_1_1tir_1_1Layout.html',1,'tvm::tir']]],
-  ['layoutaxis_5995',['LayoutAxis',['../classtvm_1_1tir_1_1LayoutAxis.html',1,'tvm::tir']]],
-  ['layoutnode_5996',['LayoutNode',['../classtvm_1_1tir_1_1LayoutNode.html',1,'tvm::tir']]],
-  ['layouttransformattrs_5997',['LayoutTransformAttrs',['../structtvm_1_1relay_1_1LayoutTransformAttrs.html',1,'tvm::relay']]],
-  ['le_5998',['LE',['../classtvm_1_1tir_1_1LE.html',1,'tvm::tir']]],
-  ['leakyreluattrs_5999',['LeakyReluAttrs',['../structtvm_1_1relay_1_1LeakyReluAttrs.html',1,'tvm::relay']]],
-  ['lenode_6000',['LENode',['../structtvm_1_1tir_1_1LENode.html',1,'tvm::tir']]],
-  ['let_6001',['Let',['../classtvm_1_1relay_1_1Let.html',1,'tvm::relay::Let'],['../classtvm_1_1tir_1_1Let.html',1,'tvm::tir::Let']]],
-  ['letframe_6002',['LetFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1LetFrame.html',1,'tvm::script::ir_builder::tir']]],
-  ['letframenode_6003',['LetFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1LetFrameNode.html',1,'tvm::script::ir_builder::tir']]],
-  ['letnode_6004',['LetNode',['../classtvm_1_1relay_1_1LetNode.html',1,'tvm::relay::LetNode'],['../classtvm_1_1tir_1_1LetNode.html',1,'tvm::tir::LetNode']]],
-  ['letpattern_6005',['LetPattern',['../classtvm_1_1relay_1_1LetPattern.html',1,'tvm::relay']]],
-  ['letpatternnode_6006',['LetPatternNode',['../classtvm_1_1relay_1_1LetPatternNode.html',1,'tvm::relay']]],
-  ['letstmt_6007',['LetStmt',['../classtvm_1_1tir_1_1LetStmt.html',1,'tvm::tir']]],
-  ['letstmtnode_6008',['LetStmtNode',['../classtvm_1_1tir_1_1LetStmtNode.html',1,'tvm::tir']]],
-  ['linearcongruentialengine_6009',['LinearCongruentialEngine',['../classtvm_1_1support_1_1LinearCongruentialEngine.html',1,'tvm::support']]],
-  ['listdoc_6010',['ListDoc',['../classtvm_1_1script_1_1printer_1_1ListDoc.html',1,'tvm::script::printer']]],
-  ['listdocnode_6011',['ListDocNode',['../classtvm_1_1script_1_1printer_1_1ListDocNode.html',1,'tvm::script::printer']]],
-  ['literaldoc_6012',['LiteralDoc',['../classtvm_1_1script_1_1printer_1_1LiteralDoc.html',1,'tvm::script::printer']]],
-  ['literaldocnode_6013',['LiteralDocNode',['../classtvm_1_1script_1_1printer_1_1LiteralDocNode.html',1,'tvm::script::printer']]],
-  ['localbuilder_6014',['LocalBuilder',['../classtvm_1_1auto__scheduler_1_1LocalBuilder.html',1,'tvm::auto_scheduler']]],
-  ['localbuildernode_6015',['LocalBuilderNode',['../classtvm_1_1auto__scheduler_1_1LocalBuilderNode.html',1,'tvm::auto_scheduler']]],
-  ['localrunner_6016',['LocalRunner',['../classtvm_1_1auto__scheduler_1_1LocalRunner.html',1,'tvm::auto_scheduler']]],
-  ['localrunnernode_6017',['LocalRunnerNode',['../classtvm_1_1auto__scheduler_1_1LocalRunnerNode.html',1,'tvm::auto_scheduler']]],
-  ['looprv_6018',['LoopRV',['../classtvm_1_1tir_1_1LoopRV.html',1,'tvm::tir']]],
-  ['looprvnode_6019',['LoopRVNode',['../classtvm_1_1tir_1_1LoopRVNode.html',1,'tvm::tir']]],
-  ['lrnattrs_6020',['LRNAttrs',['../structtvm_1_1relay_1_1LRNAttrs.html',1,'tvm::relay']]],
-  ['lt_6021',['LT',['../classtvm_1_1tir_1_1LT.html',1,'tvm::tir']]],
-  ['ltnode_6022',['LTNode',['../classtvm_1_1tir_1_1LTNode.html',1,'tvm::tir']]]
+  ['l2normalizeattrs_5990',['L2NormalizeAttrs',['../structtvm_1_1relay_1_1L2NormalizeAttrs.html',1,'tvm::relay']]],
+  ['lambdadoc_5991',['LambdaDoc',['../classtvm_1_1script_1_1printer_1_1LambdaDoc.html',1,'tvm::script::printer']]],
+  ['lambdadocnode_5992',['LambdaDocNode',['../classtvm_1_1script_1_1printer_1_1LambdaDocNode.html',1,'tvm::script::printer']]],
+  ['launchthreadframe_5993',['LaunchThreadFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1LaunchThreadFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['launchthreadframenode_5994',['LaunchThreadFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1LaunchThreadFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['layernormattrs_5995',['LayerNormAttrs',['../structtvm_1_1relay_1_1LayerNormAttrs.html',1,'tvm::relay']]],
+  ['layout_5996',['Layout',['../classtvm_1_1tir_1_1Layout.html',1,'tvm::tir']]],
+  ['layoutaxis_5997',['LayoutAxis',['../classtvm_1_1tir_1_1LayoutAxis.html',1,'tvm::tir']]],
+  ['layoutnode_5998',['LayoutNode',['../classtvm_1_1tir_1_1LayoutNode.html',1,'tvm::tir']]],
+  ['layouttransformattrs_5999',['LayoutTransformAttrs',['../structtvm_1_1relay_1_1LayoutTransformAttrs.html',1,'tvm::relay']]],
+  ['le_6000',['LE',['../classtvm_1_1tir_1_1LE.html',1,'tvm::tir']]],
+  ['leakyreluattrs_6001',['LeakyReluAttrs',['../structtvm_1_1relay_1_1LeakyReluAttrs.html',1,'tvm::relay']]],
+  ['lenode_6002',['LENode',['../structtvm_1_1tir_1_1LENode.html',1,'tvm::tir']]],
+  ['let_6003',['Let',['../classtvm_1_1relay_1_1Let.html',1,'tvm::relay::Let'],['../classtvm_1_1tir_1_1Let.html',1,'tvm::tir::Let']]],
+  ['letframe_6004',['LetFrame',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1LetFrame.html',1,'tvm::script::ir_builder::tir']]],
+  ['letframenode_6005',['LetFrameNode',['../classtvm_1_1script_1_1ir__builder_1_1tir_1_1LetFrameNode.html',1,'tvm::script::ir_builder::tir']]],
+  ['letnode_6006',['LetNode',['../classtvm_1_1relay_1_1LetNode.html',1,'tvm::relay::LetNode'],['../classtvm_1_1tir_1_1LetNode.html',1,'tvm::tir::LetNode']]],
+  ['letpattern_6007',['LetPattern',['../classtvm_1_1relay_1_1LetPattern.html',1,'tvm::relay']]],
+  ['letpatternnode_6008',['LetPatternNode',['../classtvm_1_1relay_1_1LetPatternNode.html',1,'tvm::relay']]],
+  ['letstmt_6009',['LetStmt',['../classtvm_1_1tir_1_1LetStmt.html',1,'tvm::tir']]],
+  ['letstmtnode_6010',['LetStmtNode',['../classtvm_1_1tir_1_1LetStmtNode.html',1,'tvm::tir']]],
+  ['linearcongruentialengine_6011',['LinearCongruentialEngine',['../classtvm_1_1support_1_1LinearCongruentialEngine.html',1,'tvm::support']]],
+  ['listdoc_6012',['ListDoc',['../classtvm_1_1script_1_1printer_1_1ListDoc.html',1,'tvm::script::printer']]],
+  ['listdocnode_6013',['ListDocNode',['../classtvm_1_1script_1_1printer_1_1ListDocNode.html',1,'tvm::script::printer']]],
+  ['literaldoc_6014',['LiteralDoc',['../classtvm_1_1script_1_1printer_1_1LiteralDoc.html',1,'tvm::script::printer']]],
+  ['literaldocnode_6015',['LiteralDocNode',['../classtvm_1_1script_1_1printer_1_1LiteralDocNode.html',1,'tvm::script::printer']]],
+  ['localbuilder_6016',['LocalBuilder',['../classtvm_1_1auto__scheduler_1_1LocalBuilder.html',1,'tvm::auto_scheduler']]],
+  ['localbuildernode_6017',['LocalBuilderNode',['../classtvm_1_1auto__scheduler_1_1LocalBuilderNode.html',1,'tvm::auto_scheduler']]],
+  ['localrunner_6018',['LocalRunner',['../classtvm_1_1auto__scheduler_1_1LocalRunner.html',1,'tvm::auto_scheduler']]],
+  ['localrunnernode_6019',['LocalRunnerNode',['../classtvm_1_1auto__scheduler_1_1LocalRunnerNode.html',1,'tvm::auto_scheduler']]],
+  ['looprv_6020',['LoopRV',['../classtvm_1_1tir_1_1LoopRV.html',1,'tvm::tir']]],
+  ['looprvnode_6021',['LoopRVNode',['../classtvm_1_1tir_1_1LoopRVNode.html',1,'tvm::tir']]],
+  ['lrnattrs_6022',['LRNAttrs',['../structtvm_1_1relay_1_1LRNAttrs.html',1,'tvm::relay']]],
+  ['lt_6023',['LT',['../classtvm_1_1tir_1_1LT.html',1,'tvm::tir']]],
+  ['ltnode_6024',['LTNode',['../classtvm_1_1tir_1_1LTNode.html',1,'tvm::tir']]]
 ];
diff --git a/docs/reference/api/doxygen/search/classes_a.js b/docs/reference/api/doxygen/search/classes_a.js
index 16126feaed..fb40f6fcf1 100644
--- a/docs/reference/api/doxygen/search/classes_a.js
+++ b/docs/reference/api/doxygen/search/classes_a.js
@@ -1,84 +1,84 @@
 var searchData=
 [
-  ['map_6023',['Map',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3aglobaltypevar_2c_20tvm_3a_3atypedata_20_3e_6024',['Map&lt; tvm::GlobalTypeVar, tvm::TypeData &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3aglobalvar_2c_20tvm_3a_3abasefunc_20_3e_6025',['Map&lt; tvm::GlobalVar, tvm::BaseFunc &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3aobjectpath_2c_20tvm_3a_3aruntime_3a_3astring_20_3e_6026',['Map&lt; tvm::ObjectPath, tvm::runtime::String &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3arelay_3a_3avar_2c_20tvm_3a_3aruntime_3a_3aobjectref_20_3e_6027',['Map&lt; tvm::relay::Var, tvm::runtime::ObjectRef &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3aruntime_3a_3aobjectref_2c_20tvm_3a_3aruntime_3a_3astring_20_3e_6028',['Map&lt; tvm::runtime::ObjectRef, tvm::runtime::String &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3aruntime_3a_3astring_2c_20tvm_3a_3aglobaltypevar_20_3e_6029',['Map&lt; tvm::runtime::String, tvm::GlobalTypeVar &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3aruntime_3a_3astring_2c_20tvm_3a_3aglobalvar_20_3e_6030',['Map&lt; tvm::runtime::String, tvm::GlobalVar &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3aruntime_3a_3astring_2c_20tvm_3a_3aruntime_3a_3amap_3c_20tvm_3a_3aruntime_3a_3astring_2c_20tvm_3a_3aruntime_3a_3aobjectref_20_3e_20_3e_6031',['Map&lt; tvm::runtime::String, tvm::runtime::Map&lt; tvm::runtime::String, tvm::runtime::ObjectRef &gt; &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3aruntime_3a_3astring_2c_20tvm_3a_3aruntime_3a_3aobjectref_20_3e_6032',['Map&lt; tvm::runtime::String, tvm::runtime::ObjectRef &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3asourcename_2c_20tvm_3a_3asource_20_3e_6033',['Map&lt; tvm::SourceName, tvm::Source &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3atarget_2c_20tvm_3a_3ainteger_20_3e_6034',['Map&lt; tvm::Target, tvm::Integer &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3ate_3a_3aoperation_2c_20tvm_3a_3ate_3a_3astage_20_3e_6035',['Map&lt; tvm::te::Operation, tvm::te::Stage &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3atir_3a_3abuffer_2c_20tvm_3a_3atir_3a_3abuffer_20_3e_6036',['Map&lt; tvm::tir::Buffer, tvm::tir::Buffer &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3atir_3a_3ainstruction_2c_20tvm_3a_3aruntime_3a_3aobjectref_20_3e_6037',['Map&lt; tvm::tir::Instruction, tvm::runtime::ObjectRef &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3atir_3a_3aitervar_2c_20tvm_3a_3ate_3a_3aitervarattr_20_3e_6038',['Map&lt; tvm::tir::IterVar, tvm::te::IterVarAttr &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3atir_3a_3ausmp_3a_3abufferinfo_2c_20tvm_3a_3atir_3a_3astmt_20_3e_6039',['Map&lt; tvm::tir::usmp::BufferInfo, tvm::tir::Stmt &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3atir_3a_3avar_2c_20tvm_3a_3aprimexpr_20_3e_6040',['Map&lt; tvm::tir::Var, tvm::PrimExpr &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3atir_3a_3avar_2c_20tvm_3a_3arange_20_3e_6041',['Map&lt; tvm::tir::Var, tvm::Range &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3atir_3a_3avar_2c_20tvm_3a_3atir_3a_3abuffer_20_3e_6042',['Map&lt; tvm::tir::Var, tvm::tir::Buffer &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['map_3c_20tvm_3a_3atir_3a_3avar_2c_20tvm_3a_3atir_3a_3aitervar_20_3e_6043',['Map&lt; tvm::tir::Var, tvm::tir::IterVar &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
-  ['mapnode_6044',['MapNode',['../classtvm_1_1runtime_1_1MapNode.html',1,'tvm::runtime']]],
-  ['mapvaluepath_6045',['MapValuePath',['../classtvm_1_1MapValuePath.html',1,'tvm']]],
-  ['mapvaluepathnode_6046',['MapValuePathNode',['../classtvm_1_1MapValuePathNode.html',1,'tvm']]],
-  ['match_6047',['Match',['../classtvm_1_1relay_1_1Match.html',1,'tvm::relay']]],
-  ['matchbufferregion_6048',['MatchBufferRegion',['../classtvm_1_1tir_1_1MatchBufferRegion.html',1,'tvm::tir']]],
-  ['matchbufferregionnode_6049',['MatchBufferRegionNode',['../classtvm_1_1tir_1_1MatchBufferRegionNode.html',1,'tvm::tir']]],
-  ['matchnode_6050',['MatchNode',['../classtvm_1_1relay_1_1MatchNode.html',1,'tvm::relay']]],
-  ['matmulattrs_6051',['MatmulAttrs',['../structtvm_1_1relay_1_1MatmulAttrs.html',1,'tvm::relay']]],
-  ['matrixsetdiagattrs_6052',['MatrixSetDiagAttrs',['../structtvm_1_1relay_1_1MatrixSetDiagAttrs.html',1,'tvm::relay']]],
-  ['max_6053',['Max',['../classtvm_1_1tir_1_1Max.html',1,'tvm::tir']]],
-  ['maxnode_6054',['MaxNode',['../classtvm_1_1tir_1_1MaxNode.html',1,'tvm::tir']]],
-  ['maxpool1dattrs_6055',['MaxPool1DAttrs',['../structtvm_1_1relay_1_1MaxPool1DAttrs.html',1,'tvm::relay']]],
-  ['maxpool2dattrs_6056',['MaxPool2DAttrs',['../structtvm_1_1relay_1_1MaxPool2DAttrs.html',1,'tvm::relay']]],
-  ['maxpool3dattrs_6057',['MaxPool3DAttrs',['../structtvm_1_1relay_1_1MaxPool3DAttrs.html',1,'tvm::relay']]],
-  ['measurecallback_6058',['MeasureCallback',['../classtvm_1_1auto__scheduler_1_1MeasureCallback.html',1,'tvm::auto_scheduler::MeasureCallback'],['../classtvm_1_1meta__schedule_1_1MeasureCallback.html',1,'tvm::meta_schedule::MeasureCallback']]],
-  ['measurecallbacknode_6059',['MeasureCallbackNode',['../classtvm_1_1auto__scheduler_1_1MeasureCallbackNode.html',1,'tvm::auto_scheduler::MeasureCallbackNode'],['../classtvm_1_1meta__schedule_1_1MeasureCallbackNode.html',1,'tvm::meta_schedule::MeasureCallbackNode']]],
-  ['measurecandidate_6060',['MeasureCandidate',['../classtvm_1_1meta__schedule_1_1MeasureCandidate.html',1,'tvm::meta_schedule']]],
-  ['measurecandidatenode_6061',['MeasureCandidateNode',['../classtvm_1_1meta__schedule_1_1MeasureCandidateNode.html',1,'tvm::meta_schedule']]],
-  ['measureinput_6062',['MeasureInput',['../classtvm_1_1auto__scheduler_1_1MeasureInput.html',1,'tvm::auto_scheduler']]],
-  ['measureinputnode_6063',['MeasureInputNode',['../classtvm_1_1auto__scheduler_1_1MeasureInputNode.html',1,'tvm::auto_scheduler']]],
-  ['measureresult_6064',['MeasureResult',['../classtvm_1_1auto__scheduler_1_1MeasureResult.html',1,'tvm::auto_scheduler']]],
-  ['measureresultnode_6065',['MeasureResultNode',['../classtvm_1_1auto__scheduler_1_1MeasureResultNode.html',1,'tvm::auto_scheduler']]],
-  ['memcpydetails_6066',['MemCpyDetails',['../structtvm_1_1tir_1_1MemCpyDetails.html',1,'tvm::tir']]],
-  ['memoryinfo_6067',['MemoryInfo',['../classtvm_1_1MemoryInfo.html',1,'tvm']]],
-  ['memoryinfonode_6068',['MemoryInfoNode',['../classtvm_1_1MemoryInfoNode.html',1,'tvm']]],
-  ['memorymanager_6069',['MemoryManager',['../classtvm_1_1runtime_1_1vm_1_1MemoryManager.html',1,'tvm::runtime::vm']]],
-  ['memorymanagerinterface_6070',['MemoryManagerInterface',['../structMemoryManagerInterface.html',1,'']]],
-  ['meshgridattrs_6071',['MeshgridAttrs',['../structtvm_1_1relay_1_1MeshgridAttrs.html',1,'tvm::relay']]],
-  ['metadata_6072',['Metadata',['../classtvm_1_1runtime_1_1metadata_1_1Metadata.html',1,'tvm::runtime::metadata']]],
-  ['metadataarray_6073',['MetadataArray',['../classtvm_1_1runtime_1_1metadata_1_1MetadataArray.html',1,'tvm::runtime::metadata']]],
-  ['metadataarraynode_6074',['MetadataArrayNode',['../classtvm_1_1runtime_1_1metadata_1_1MetadataArrayNode.html',1,'tvm::runtime::metadata']]],
-  ['metadatabase_6075',['MetadataBase',['../classtvm_1_1runtime_1_1metadata_1_1MetadataBase.html',1,'tvm::runtime::metadata']]],
-  ['metadatabasenode_6076',['MetadataBaseNode',['../classtvm_1_1runtime_1_1metadata_1_1MetadataBaseNode.html',1,'tvm::runtime::metadata']]],
-  ['metadatanode_6077',['MetadataNode',['../classtvm_1_1runtime_1_1metadata_1_1MetadataNode.html',1,'tvm::runtime::metadata']]],
-  ['metaschedulelayouttransformattrs_6078',['MetaScheduleLayoutTransformAttrs',['../structtvm_1_1relay_1_1MetaScheduleLayoutTransformAttrs.html',1,'tvm::relay']]],
-  ['metriccollector_6079',['MetricCollector',['../classtvm_1_1runtime_1_1profiling_1_1MetricCollector.html',1,'tvm::runtime::profiling']]],
-  ['metriccollectornode_6080',['MetricCollectorNode',['../classtvm_1_1runtime_1_1profiling_1_1MetricCollectorNode.html',1,'tvm::runtime::profiling']]],
-  ['min_6081',['Min',['../classtvm_1_1tir_1_1Min.html',1,'tvm::tir']]],
-  ['minnode_6082',['MinNode',['../classtvm_1_1tir_1_1MinNode.html',1,'tvm::tir']]],
-  ['mirrorpadattrs_6083',['MirrorPadAttrs',['../structtvm_1_1relay_1_1MirrorPadAttrs.html',1,'tvm::relay']]],
-  ['missingarrayelementpath_6084',['MissingArrayElementPath',['../classtvm_1_1MissingArrayElementPath.html',1,'tvm']]],
-  ['missingarrayelementpathnode_6085',['MissingArrayElementPathNode',['../classtvm_1_1MissingArrayElementPathNode.html',1,'tvm']]],
-  ['missingmapentrypath_6086',['MissingMapEntryPath',['../classtvm_1_1MissingMapEntryPath.html',1,'tvm']]],
-  ['missingmapentrypathnode_6087',['MissingMapEntryPathNode',['../classtvm_1_1MissingMapEntryPathNode.html',1,'tvm']]],
-  ['mixedmodemutator_6088',['MixedModeMutator',['../classtvm_1_1relay_1_1MixedModeMutator.html',1,'tvm::relay']]],
-  ['mixedmodevisitor_6089',['MixedModeVisitor',['../classtvm_1_1relay_1_1MixedModeVisitor.html',1,'tvm::relay']]],
-  ['mod_6090',['Mod',['../classtvm_1_1tir_1_1Mod.html',1,'tvm::tir']]],
-  ['modnode_6091',['ModNode',['../classtvm_1_1tir_1_1ModNode.html',1,'tvm::tir']]],
-  ['modularset_6092',['ModularSet',['../classtvm_1_1arith_1_1ModularSet.html',1,'tvm::arith']]],
-  ['modularsetanalyzer_6093',['ModularSetAnalyzer',['../classtvm_1_1arith_1_1ModularSetAnalyzer.html',1,'tvm::arith']]],
-  ['modularsetnode_6094',['ModularSetNode',['../classtvm_1_1arith_1_1ModularSetNode.html',1,'tvm::arith']]],
-  ['module_6095',['Module',['../classtvm_1_1runtime_1_1Module.html',1,'tvm::runtime']]],
-  ['modulenode_6096',['ModuleNode',['../classtvm_1_1runtime_1_1ModuleNode.html',1,'tvm::runtime']]],
-  ['mul_6097',['Mul',['../classtvm_1_1tir_1_1Mul.html',1,'tvm::tir']]],
-  ['mulnode_6098',['MulNode',['../classtvm_1_1tir_1_1MulNode.html',1,'tvm::tir']]],
-  ['multiboxpriorattrs_6099',['MultiBoxPriorAttrs',['../structtvm_1_1relay_1_1MultiBoxPriorAttrs.html',1,'tvm::relay']]],
-  ['multiboxtransformlocattrs_6100',['MultiBoxTransformLocAttrs',['../structtvm_1_1relay_1_1MultiBoxTransformLocAttrs.html',1,'tvm::relay']]],
-  ['multinomialattrs_6101',['MultinomialAttrs',['../structtvm_1_1relay_1_1MultinomialAttrs.html',1,'tvm::relay']]],
-  ['mutator_6102',['Mutator',['../classtvm_1_1meta__schedule_1_1Mutator.html',1,'tvm::meta_schedule']]],
-  ['mutatornode_6103',['MutatorNode',['../classtvm_1_1meta__schedule_1_1MutatorNode.html',1,'tvm::meta_schedule']]]
+  ['map_6025',['Map',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3aglobaltypevar_2c_20tvm_3a_3atypedata_20_3e_6026',['Map&lt; tvm::GlobalTypeVar, tvm::TypeData &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3aglobalvar_2c_20tvm_3a_3abasefunc_20_3e_6027',['Map&lt; tvm::GlobalVar, tvm::BaseFunc &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3aobjectpath_2c_20tvm_3a_3aruntime_3a_3astring_20_3e_6028',['Map&lt; tvm::ObjectPath, tvm::runtime::String &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3arelay_3a_3avar_2c_20tvm_3a_3aruntime_3a_3aobjectref_20_3e_6029',['Map&lt; tvm::relay::Var, tvm::runtime::ObjectRef &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3aruntime_3a_3aobjectref_2c_20tvm_3a_3aruntime_3a_3astring_20_3e_6030',['Map&lt; tvm::runtime::ObjectRef, tvm::runtime::String &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3aruntime_3a_3astring_2c_20tvm_3a_3aglobaltypevar_20_3e_6031',['Map&lt; tvm::runtime::String, tvm::GlobalTypeVar &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3aruntime_3a_3astring_2c_20tvm_3a_3aglobalvar_20_3e_6032',['Map&lt; tvm::runtime::String, tvm::GlobalVar &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3aruntime_3a_3astring_2c_20tvm_3a_3aruntime_3a_3amap_3c_20tvm_3a_3aruntime_3a_3astring_2c_20tvm_3a_3aruntime_3a_3aobjectref_20_3e_20_3e_6033',['Map&lt; tvm::runtime::String, tvm::runtime::Map&lt; tvm::runtime::String, tvm::runtime::ObjectRef &gt; &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3aruntime_3a_3astring_2c_20tvm_3a_3aruntime_3a_3aobjectref_20_3e_6034',['Map&lt; tvm::runtime::String, tvm::runtime::ObjectRef &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3asourcename_2c_20tvm_3a_3asource_20_3e_6035',['Map&lt; tvm::SourceName, tvm::Source &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3atarget_2c_20tvm_3a_3ainteger_20_3e_6036',['Map&lt; tvm::Target, tvm::Integer &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3ate_3a_3aoperation_2c_20tvm_3a_3ate_3a_3astage_20_3e_6037',['Map&lt; tvm::te::Operation, tvm::te::Stage &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3atir_3a_3abuffer_2c_20tvm_3a_3atir_3a_3abuffer_20_3e_6038',['Map&lt; tvm::tir::Buffer, tvm::tir::Buffer &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3atir_3a_3ainstruction_2c_20tvm_3a_3aruntime_3a_3aobjectref_20_3e_6039',['Map&lt; tvm::tir::Instruction, tvm::runtime::ObjectRef &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3atir_3a_3aitervar_2c_20tvm_3a_3ate_3a_3aitervarattr_20_3e_6040',['Map&lt; tvm::tir::IterVar, tvm::te::IterVarAttr &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3atir_3a_3ausmp_3a_3abufferinfo_2c_20tvm_3a_3atir_3a_3astmt_20_3e_6041',['Map&lt; tvm::tir::usmp::BufferInfo, tvm::tir::Stmt &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3atir_3a_3avar_2c_20tvm_3a_3aprimexpr_20_3e_6042',['Map&lt; tvm::tir::Var, tvm::PrimExpr &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3atir_3a_3avar_2c_20tvm_3a_3arange_20_3e_6043',['Map&lt; tvm::tir::Var, tvm::Range &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3atir_3a_3avar_2c_20tvm_3a_3atir_3a_3abuffer_20_3e_6044',['Map&lt; tvm::tir::Var, tvm::tir::Buffer &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['map_3c_20tvm_3a_3atir_3a_3avar_2c_20tvm_3a_3atir_3a_3aitervar_20_3e_6045',['Map&lt; tvm::tir::Var, tvm::tir::IterVar &gt;',['../classtvm_1_1runtime_1_1Map.html',1,'tvm::runtime']]],
+  ['mapnode_6046',['MapNode',['../classtvm_1_1runtime_1_1MapNode.html',1,'tvm::runtime']]],
+  ['mapvaluepath_6047',['MapValuePath',['../classtvm_1_1MapValuePath.html',1,'tvm']]],
+  ['mapvaluepathnode_6048',['MapValuePathNode',['../classtvm_1_1MapValuePathNode.html',1,'tvm']]],
+  ['match_6049',['Match',['../classtvm_1_1relay_1_1Match.html',1,'tvm::relay']]],
+  ['matchbufferregion_6050',['MatchBufferRegion',['../classtvm_1_1tir_1_1MatchBufferRegion.html',1,'tvm::tir']]],
+  ['matchbufferregionnode_6051',['MatchBufferRegionNode',['../classtvm_1_1tir_1_1MatchBufferRegionNode.html',1,'tvm::tir']]],
+  ['matchnode_6052',['MatchNode',['../classtvm_1_1relay_1_1MatchNode.html',1,'tvm::relay']]],
+  ['matmulattrs_6053',['MatmulAttrs',['../structtvm_1_1relay_1_1MatmulAttrs.html',1,'tvm::relay']]],
+  ['matrixsetdiagattrs_6054',['MatrixSetDiagAttrs',['../structtvm_1_1relay_1_1MatrixSetDiagAttrs.html',1,'tvm::relay']]],
+  ['max_6055',['Max',['../classtvm_1_1tir_1_1Max.html',1,'tvm::tir']]],
+  ['maxnode_6056',['MaxNode',['../classtvm_1_1tir_1_1MaxNode.html',1,'tvm::tir']]],
+  ['maxpool1dattrs_6057',['MaxPool1DAttrs',['../structtvm_1_1relay_1_1MaxPool1DAttrs.html',1,'tvm::relay']]],
+  ['maxpool2dattrs_6058',['MaxPool2DAttrs',['../structtvm_1_1relay_1_1MaxPool2DAttrs.html',1,'tvm::relay']]],
+  ['maxpool3dattrs_6059',['MaxPool3DAttrs',['../structtvm_1_1relay_1_1MaxPool3DAttrs.html',1,'tvm::relay']]],
+  ['measurecallback_6060',['MeasureCallback',['../classtvm_1_1auto__scheduler_1_1MeasureCallback.html',1,'tvm::auto_scheduler::MeasureCallback'],['../classtvm_1_1meta__schedule_1_1MeasureCallback.html',1,'tvm::meta_schedule::MeasureCallback']]],
+  ['measurecallbacknode_6061',['MeasureCallbackNode',['../classtvm_1_1auto__scheduler_1_1MeasureCallbackNode.html',1,'tvm::auto_scheduler::MeasureCallbackNode'],['../classtvm_1_1meta__schedule_1_1MeasureCallbackNode.html',1,'tvm::meta_schedule::MeasureCallbackNode']]],
+  ['measurecandidate_6062',['MeasureCandidate',['../classtvm_1_1meta__schedule_1_1MeasureCandidate.html',1,'tvm::meta_schedule']]],
+  ['measurecandidatenode_6063',['MeasureCandidateNode',['../classtvm_1_1meta__schedule_1_1MeasureCandidateNode.html',1,'tvm::meta_schedule']]],
+  ['measureinput_6064',['MeasureInput',['../classtvm_1_1auto__scheduler_1_1MeasureInput.html',1,'tvm::auto_scheduler']]],
+  ['measureinputnode_6065',['MeasureInputNode',['../classtvm_1_1auto__scheduler_1_1MeasureInputNode.html',1,'tvm::auto_scheduler']]],
+  ['measureresult_6066',['MeasureResult',['../classtvm_1_1auto__scheduler_1_1MeasureResult.html',1,'tvm::auto_scheduler']]],
+  ['measureresultnode_6067',['MeasureResultNode',['../classtvm_1_1auto__scheduler_1_1MeasureResultNode.html',1,'tvm::auto_scheduler']]],
+  ['memcpydetails_6068',['MemCpyDetails',['../structtvm_1_1tir_1_1MemCpyDetails.html',1,'tvm::tir']]],
+  ['memoryinfo_6069',['MemoryInfo',['../classtvm_1_1MemoryInfo.html',1,'tvm']]],
+  ['memoryinfonode_6070',['MemoryInfoNode',['../classtvm_1_1MemoryInfoNode.html',1,'tvm']]],
+  ['memorymanager_6071',['MemoryManager',['../classtvm_1_1runtime_1_1vm_1_1MemoryManager.html',1,'tvm::runtime::vm']]],
+  ['memorymanagerinterface_6072',['MemoryManagerInterface',['../structMemoryManagerInterface.html',1,'']]],
+  ['meshgridattrs_6073',['MeshgridAttrs',['../structtvm_1_1relay_1_1MeshgridAttrs.html',1,'tvm::relay']]],
+  ['metadata_6074',['Metadata',['../classtvm_1_1runtime_1_1metadata_1_1Metadata.html',1,'tvm::runtime::metadata']]],
+  ['metadataarray_6075',['MetadataArray',['../classtvm_1_1runtime_1_1metadata_1_1MetadataArray.html',1,'tvm::runtime::metadata']]],
+  ['metadataarraynode_6076',['MetadataArrayNode',['../classtvm_1_1runtime_1_1metadata_1_1MetadataArrayNode.html',1,'tvm::runtime::metadata']]],
+  ['metadatabase_6077',['MetadataBase',['../classtvm_1_1runtime_1_1metadata_1_1MetadataBase.html',1,'tvm::runtime::metadata']]],
+  ['metadatabasenode_6078',['MetadataBaseNode',['../classtvm_1_1runtime_1_1metadata_1_1MetadataBaseNode.html',1,'tvm::runtime::metadata']]],
+  ['metadatanode_6079',['MetadataNode',['../classtvm_1_1runtime_1_1metadata_1_1MetadataNode.html',1,'tvm::runtime::metadata']]],
+  ['metaschedulelayouttransformattrs_6080',['MetaScheduleLayoutTransformAttrs',['../structtvm_1_1relay_1_1MetaScheduleLayoutTransformAttrs.html',1,'tvm::relay']]],
+  ['metriccollector_6081',['MetricCollector',['../classtvm_1_1runtime_1_1profiling_1_1MetricCollector.html',1,'tvm::runtime::profiling']]],
+  ['metriccollectornode_6082',['MetricCollectorNode',['../classtvm_1_1runtime_1_1profiling_1_1MetricCollectorNode.html',1,'tvm::runtime::profiling']]],
+  ['min_6083',['Min',['../classtvm_1_1tir_1_1Min.html',1,'tvm::tir']]],
+  ['minnode_6084',['MinNode',['../classtvm_1_1tir_1_1MinNode.html',1,'tvm::tir']]],
+  ['mirrorpadattrs_6085',['MirrorPadAttrs',['../structtvm_1_1relay_1_1MirrorPadAttrs.html',1,'tvm::relay']]],
+  ['missingarrayelementpath_6086',['MissingArrayElementPath',['../classtvm_1_1MissingArrayElementPath.html',1,'tvm']]],
+  ['missingarrayelementpathnode_6087',['MissingArrayElementPathNode',['../classtvm_1_1MissingArrayElementPathNode.html',1,'tvm']]],
+  ['missingmapentrypath_6088',['MissingMapEntryPath',['../classtvm_1_1MissingMapEntryPath.html',1,'tvm']]],
+  ['missingmapentrypathnode_6089',['MissingMapEntryPathNode',['../classtvm_1_1MissingMapEntryPathNode.html',1,'tvm']]],
+  ['mixedmodemutator_6090',['MixedModeMutator',['../classtvm_1_1relay_1_1MixedModeMutator.html',1,'tvm::relay']]],
+  ['mixedmodevisitor_6091',['MixedModeVisitor',['../classtvm_1_1relay_1_1MixedModeVisitor.html',1,'tvm::relay']]],
+  ['mod_6092',['Mod',['../classtvm_1_1tir_1_1Mod.html',1,'tvm::tir']]],
+  ['modnode_6093',['ModNode',['../classtvm_1_1tir_1_1ModNode.html',1,'tvm::tir']]],
+  ['modularset_6094',['ModularSet',['../classtvm_1_1arith_1_1ModularSet.html',1,'tvm::arith']]],
+  ['modularsetanalyzer_6095',['ModularSetAnalyzer',['../classtvm_1_1arith_1_1ModularSetAnalyzer.html',1,'tvm::arith']]],
+  ['modularsetnode_6096',['ModularSetNode',['../classtvm_1_1arith_1_1ModularSetNode.html',1,'tvm::arith']]],
+  ['module_6097',['Module',['../classtvm_1_1runtime_1_1Module.html',1,'tvm::runtime']]],
+  ['modulenode_6098',['ModuleNode',['../classtvm_1_1runtime_1_1ModuleNode.html',1,'tvm::runtime']]],
+  ['mul_6099',['Mul',['../classtvm_1_1tir_1_1Mul.html',1,'tvm::tir']]],
+  ['mulnode_6100',['MulNode',['../classtvm_1_1tir_1_1MulNode.html',1,'tvm::tir']]],
+  ['multiboxpriorattrs_6101',['MultiBoxPriorAttrs',['../structtvm_1_1relay_1_1MultiBoxPriorAttrs.html',1,'tvm::relay']]],
+  ['multiboxtransformlocattrs_6102',['MultiBoxTransformLocAttrs',['../structtvm_1_1relay_1_1MultiBoxTransformLocAttrs.html',1,'tvm::relay']]],
+  ['multinomialattrs_6103',['MultinomialAttrs',['../structtvm_1_1relay_1_1MultinomialAttrs.html',1,'tvm::relay']]],
+  ['mutator_6104',['Mutator',['../classtvm_1_1meta__schedule_1_1Mutator.html',1,'tvm::meta_schedule']]],
+  ['mutatornode_6105',['MutatorNode',['../classtvm_1_1meta__schedule_1_1MutatorNode.html',1,'tvm::meta_schedule']]]
 ];
diff --git a/docs/reference/api/doxygen/search/classes_b.js b/docs/reference/api/doxygen/search/classes_b.js
index 5e5d9d1357..154a59f684 100644
--- a/docs/reference/api/doxygen/search/classes_b.js
+++ b/docs/reference/api/doxygen/search/classes_b.js
@@ -1,19 +1,19 @@
 var searchData=
 [
-  ['namer_6104',['Namer',['../classtvm_1_1script_1_1ir__builder_1_1details_1_1Namer.html',1,'tvm::script::ir_builder::details']]],
-  ['namesupply_6105',['NameSupply',['../classtvm_1_1NameSupply.html',1,'tvm']]],
-  ['namesupplynode_6106',['NameSupplyNode',['../classtvm_1_1NameSupplyNode.html',1,'tvm']]],
-  ['ndarray_6107',['NDArray',['../classtvm_1_1runtime_1_1NDArray.html',1,'tvm::runtime']]],
-  ['ndarraycontainertrait_6108',['NDArrayContainerTrait',['../structtvm_1_1NDArrayContainerTrait.html',1,'tvm']]],
-  ['ndarraysizeattrs_6109',['NdarraySizeAttrs',['../structtvm_1_1relay_1_1NdarraySizeAttrs.html',1,'tvm::relay']]],
-  ['ne_6110',['NE',['../classtvm_1_1tir_1_1NE.html',1,'tvm::tir']]],
-  ['nenode_6111',['NENode',['../classtvm_1_1tir_1_1NENode.html',1,'tvm::tir']]],
-  ['nlllossattrs_6112',['NLLLossAttrs',['../structtvm_1_1relay_1_1NLLLossAttrs.html',1,'tvm::relay']]],
-  ['nodefunctor_6113',['NodeFunctor',['../classtvm_1_1NodeFunctor.html',1,'tvm']]],
-  ['nodefunctor_3c_20r_28const_20objectref_20_26n_2c_20args_2e_2e_2e_29_3e_6114',['NodeFunctor&lt; R(const ObjectRef &amp;n, Args...)&gt;',['../classtvm_1_1NodeFunctor_3_01R_07const_01ObjectRef_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm']]],
-  ['nonmaximumsuppressionattrs_6115',['NonMaximumSuppressionAttrs',['../structtvm_1_1relay_1_1NonMaximumSuppressionAttrs.html',1,'tvm::relay']]],
-  ['normalattrs_6116',['NormalAttrs',['../structtvm_1_1relay_1_1NormalAttrs.html',1,'tvm::relay']]],
-  ['not_6117',['Not',['../classtvm_1_1tir_1_1Not.html',1,'tvm::tir']]],
-  ['notnode_6118',['NotNode',['../classtvm_1_1tir_1_1NotNode.html',1,'tvm::tir']]],
-  ['nullopttype_6119',['NullOptType',['../structtvm_1_1runtime_1_1NullOptType.html',1,'tvm::runtime']]]
+  ['namer_6106',['Namer',['../classtvm_1_1script_1_1ir__builder_1_1details_1_1Namer.html',1,'tvm::script::ir_builder::details']]],
+  ['namesupply_6107',['NameSupply',['../classtvm_1_1NameSupply.html',1,'tvm']]],
+  ['namesupplynode_6108',['NameSupplyNode',['../classtvm_1_1NameSupplyNode.html',1,'tvm']]],
+  ['ndarray_6109',['NDArray',['../classtvm_1_1runtime_1_1NDArray.html',1,'tvm::runtime']]],
+  ['ndarraycontainertrait_6110',['NDArrayContainerTrait',['../structtvm_1_1NDArrayContainerTrait.html',1,'tvm']]],
+  ['ndarraysizeattrs_6111',['NdarraySizeAttrs',['../structtvm_1_1relay_1_1NdarraySizeAttrs.html',1,'tvm::relay']]],
+  ['ne_6112',['NE',['../classtvm_1_1tir_1_1NE.html',1,'tvm::tir']]],
+  ['nenode_6113',['NENode',['../classtvm_1_1tir_1_1NENode.html',1,'tvm::tir']]],
+  ['nlllossattrs_6114',['NLLLossAttrs',['../structtvm_1_1relay_1_1NLLLossAttrs.html',1,'tvm::relay']]],
+  ['nodefunctor_6115',['NodeFunctor',['../classtvm_1_1NodeFunctor.html',1,'tvm']]],
+  ['nodefunctor_3c_20r_28const_20objectref_20_26n_2c_20args_2e_2e_2e_29_3e_6116',['NodeFunctor&lt; R(const ObjectRef &amp;n, Args...)&gt;',['../classtvm_1_1NodeFunctor_3_01R_07const_01ObjectRef_01_6n_00_01Args_8_8_8_08_4.html',1,'tvm']]],
+  ['nonmaximumsuppressionattrs_6117',['NonMaximumSuppressionAttrs',['../structtvm_1_1relay_1_1NonMaximumSuppressionAttrs.html',1,'tvm::relay']]],
+  ['normalattrs_6118',['NormalAttrs',['../structtvm_1_1relay_1_1NormalAttrs.html',1,'tvm::relay']]],
+  ['not_6119',['Not',['../classtvm_1_1tir_1_1Not.html',1,'tvm::tir']]],
+  ['notnode_6120',['NotNode',['../classtvm_1_1tir_1_1NotNode.html',1,'tvm::tir']]],
+  ['nullopttype_6121',['NullOptType',['../structtvm_1_1runtime_1_1NullOptType.html',1,'tvm::runtime']]]
 ];
diff --git a/docs/reference/api/doxygen/search/classes_c.js b/docs/reference/api/doxygen/search/classes_c.js
index 60b0955faa..4fb7ba5049 100644
--- a/docs/reference/api/doxygen/search/classes_c.js
+++ b/docs/reference/api/doxygen/search/classes_c.js
@@ -1,74 +1,74 @@
 var searchData=
 [
-  ['objallocatorbase_6120',['ObjAllocatorBase',['../classtvm_1_1runtime_1_1ObjAllocatorBase.html',1,'tvm::runtime']]],
-  ['objallocatorbase_3c_20simpleobjallocator_20_3e_6121',['ObjAllocatorBase&lt; SimpleObjAllocator &gt;',['../classtvm_1_1runtime_1_1ObjAllocatorBase.html',1,'tvm::runtime']]],
-  ['object_6122',['Object',['../classtvm_1_1runtime_1_1Object.html',1,'tvm::runtime']]],
-  ['objectequal_6123',['ObjectEqual',['../structtvm_1_1runtime_1_1ObjectEqual.html',1,'tvm::runtime']]],
... 13931 lines suppressed ...