You are viewing a plain text version of this content. The canonical link for it is here.
Posted to commits@mynewt.apache.org by ad...@apache.org on 2016/11/05 04:51:12 UTC

[27/50] incubator-mynewt-site git commit: Merge remote-tracking branch 'apache/develop' into develop

Merge remote-tracking branch 'apache/develop' into develop

# Conflicts:
#	docs/os/get_started/project_create.md
#	docs/os/tutorials/tutorials.md


Project: http://git-wip-us.apache.org/repos/asf/incubator-mynewt-site/repo
Commit: http://git-wip-us.apache.org/repos/asf/incubator-mynewt-site/commit/bc45bd48
Tree: http://git-wip-us.apache.org/repos/asf/incubator-mynewt-site/tree/bc45bd48
Diff: http://git-wip-us.apache.org/repos/asf/incubator-mynewt-site/diff/bc45bd48

Branch: refs/heads/develop
Commit: bc45bd481276974af7ddde004df4d36ccb6fccdc
Parents: b3def6a 9d206a1
Author: David G. Simmons <sa...@mac.com>
Authored: Thu Oct 20 12:08:44 2016 -0400
Committer: David G. Simmons <sa...@mac.com>
Committed: Thu Oct 20 12:08:44 2016 -0400

----------------------------------------------------------------------
 README.md                                       |  52 ++
 build.py                                        |  98 ++++
 build.sh                                        |  34 --
 custom-theme/about.html                         |  15 +-
 custom-theme/base.html                          |   3 +-
 custom-theme/breadcrumbs.html                   |   2 +-
 custom-theme/choose_doc_version.html            |  18 +
 custom-theme/community.html                     |  91 ++--
 custom-theme/content.html                       |   9 -
 custom-theme/css/v2.css                         |   5 +
 custom-theme/download.html                      |   3 +-
 custom-theme/events.html                        |   4 +-
 custom-theme/fix_up_content.html                |   1 +
 custom-theme/footer.html                        |   8 +-
 custom-theme/img/apache-logo.png                | Bin 8585 -> 0 bytes
 custom-theme/img/asf_logo_wide_small.png        | Bin 0 -> 32278 bytes
 custom-theme/img/egg-logo.png                   | Bin 8626 -> 0 bytes
 custom-theme/img/egg-logo2.png                  | Bin 0 -> 7241 bytes
 custom-theme/img/mynewt_dev_cycle.pdf           | Bin 0 -> 247703 bytes
 custom-theme/landing.html                       |  11 +-
 custom-theme/main-banner.html                   |   2 +-
 custom-theme/manual_links.html                  |  10 -
 custom-theme/nav.html                           |   2 +-
 custom-theme/news.html                          |  13 -
 custom-theme/quick-start.html                   |   2 +-
 custom-theme/toc.html                           |   1 +
 deploy.sh                                       |  30 +
 docs/about.md                                   |  34 +-
 docs/documentation.md                           |   2 +-
 docs/download.md                                |  29 +-
 docs/faq/answers.md                             |  38 +-
 docs/faq/mynewt_dev_cycle.jpg                   | Bin 0 -> 255157 bytes
 docs/images/egg-logo.png                        | Bin 8626 -> 0 bytes
 docs/images/egg-logo2.png                       | Bin 0 -> 7241 bytes
 docs/network/ble/ble_hs/ble_att/ble_att.md      |  24 +
 .../ble/ble_hs/ble_att/functions/ble_att_mtu.md |  20 +
 .../functions/ble_att_set_preferred_mtu.md      |  23 +
 .../ble_att/functions/ble_att_svr_read_local.md |  28 +
 .../functions/ble_att_svr_write_local.md        |  28 +
 docs/network/ble/ble_hs/ble_gap/ble_gap.md      |  37 ++
 .../ble_hs/ble_gap/definitions/ble_gap_defs.md  | 454 ++++++++++++++++
 .../ble_gap/functions/ble_gap_adv_active.md     |  21 +
 .../functions/ble_gap_adv_rsp_set_fields.md     |  25 +
 .../ble_gap/functions/ble_gap_adv_set_fields.md |  25 +
 .../ble_gap/functions/ble_gap_adv_start.md      |  37 ++
 .../ble_gap/functions/ble_gap_adv_stop.md       |  22 +
 .../ble_gap/functions/ble_gap_conn_active.md    |  21 +
 .../ble_gap/functions/ble_gap_conn_cancel.md    |  21 +
 .../ble_gap/functions/ble_gap_conn_find.md      |  27 +
 .../ble_gap/functions/ble_gap_conn_rssi.md      |  28 +
 .../ble_hs/ble_gap/functions/ble_gap_connect.md |  37 ++
 .../ble_hs/ble_gap/functions/ble_gap_disc.md    |  33 ++
 .../ble_gap/functions/ble_gap_disc_active.md    |  21 +
 .../ble_gap/functions/ble_gap_disc_cancel.md    |  22 +
 .../functions/ble_gap_security_initiate.md      |  25 +
 .../ble_gap/functions/ble_gap_terminate.md      |  28 +
 .../ble_gap/functions/ble_gap_update_params.md  |  29 +
 .../ble_hs/ble_gap/functions/ble_gap_wl_set.md  |  27 +
 docs/network/ble/ble_hs/ble_gattc/ble_gattc.md  |  40 ++
 .../ble_gattc/definitions/ble_gattc_defs.md     |  91 ++++
 .../functions/ble_gattc_disc_all_chrs.md        |  33 ++
 .../functions/ble_gattc_disc_all_dscs.md        |  33 ++
 .../functions/ble_gattc_disc_all_svcs.md        |  26 +
 .../functions/ble_gattc_disc_chrs_by_uuid.md    |  35 ++
 .../functions/ble_gattc_disc_svc_by_uuid.md     |  31 ++
 .../functions/ble_gattc_exchange_mtu.md         |  29 +
 .../functions/ble_gattc_find_inc_svcs.md        |  33 ++
 .../ble_gattc/functions/ble_gattc_indicate.md   |  27 +
 .../ble_gattc/functions/ble_gattc_notify.md     |  27 +
 .../functions/ble_gattc_notify_custom.md        |  29 +
 .../ble_gattc/functions/ble_gattc_read.md       |  31 ++
 .../functions/ble_gattc_read_by_uuid.md         |  34 ++
 .../ble_gattc/functions/ble_gattc_read_long.md  |  31 ++
 .../ble_gattc/functions/ble_gattc_read_mult.md  |  33 ++
 .../ble_gattc/functions/ble_gattc_write.md      |  33 ++
 .../ble_gattc/functions/ble_gattc_write_flat.md |  35 ++
 .../ble_gattc/functions/ble_gattc_write_long.md |  33 ++
 .../functions/ble_gattc_write_no_rsp.md         |  29 +
 .../functions/ble_gattc_write_no_rsp_flat.md    |  31 ++
 .../functions/ble_gattc_write_reliable.md       |  30 +
 docs/network/ble/ble_hs/ble_gatts/ble_gatts.md  |  27 +
 .../ble_gatts/definitions/ble_gatts_defs.md     | 246 +++++++++
 .../ble_gatts/functions/ble_gatts_add_svcs.md   |  23 +
 .../ble_gatts/functions/ble_gatts_count_cfg.md  |  27 +
 .../functions/ble_gatts_count_resources.md      |  27 +
 .../ble_gatts/functions/ble_gatts_find_chr.md   |  31 ++
 .../ble_gatts/functions/ble_gatts_find_dsc.md   |  31 ++
 .../ble_gatts/functions/ble_gatts_find_svc.md   |  27 +
 .../functions/ble_gatts_register_svcs.md        |  30 +
 docs/network/ble/ble_hs/ble_hs.md               |  22 +
 docs/network/ble/ble_hs/ble_hs_id/ble_hs_id.md  |  42 ++
 .../ble_hs_id/functions/ble_hs_id_copy_addr.md  |  31 ++
 .../ble_hs_id/functions/ble_hs_id_gen_rnd.md    |  27 +
 .../ble_hs_id/functions/ble_hs_id_set_rnd.md    |  23 +
 docs/network/ble/ble_hs/ble_hs_return_codes.md  | 264 +++++++++
 .../ble/ble_hs/init/definitions/init_defs.md    | 159 ++++++
 .../ble/ble_hs/init/functions/ble_hs_init.md    |  27 +
 .../ble/ble_hs/init/functions/ble_hs_start.md   |  21 +
 .../ble/ble_hs/init/functions/ble_hs_synced.md  |  21 +
 docs/network/ble/ble_hs/init/init.md            |  23 +
 .../functions/ble_eddystone_set_adv_data_uid.md |  29 +
 .../functions/ble_eddystone_set_adv_data_url.md |  35 ++
 .../other/functions/ble_hs_mbuf_att_pkt.md      |  21 +
 .../other/functions/ble_hs_mbuf_from_flat.md    |  27 +
 .../other/functions/ble_hs_mbuf_to_flat.md      |  32 ++
 .../other/functions/ble_ibeacon_set_adv_data.md |  30 +
 .../other/functions/ble_uuid_128_to_16.md       |  23 +
 .../other/functions/ble_uuid_16_to_128.md       |  27 +
 docs/network/ble/ble_hs/other/other.md          |  27 +
 docs/network/ble/bletiny/bletiny_GAP.md         | 128 +++--
 docs/network/ble/bletiny/bletiny_GATT.md        |  56 +-
 docs/network/ble/bletiny/bletiny_advdata.md     |  28 +-
 docs/network/ble/bletiny/bletiny_api.md         | 120 ++++
 docs/network/ble/bletiny_api.md                 | 144 -----
 docs/network/ble/ini_stack/ble_parent_ini.md    |   2 +-
 docs/network/ble/nimble_setup.md                |   4 +-
 docs/newt/install/newt_linux.md                 |   4 +-
 docs/newt/install/newt_mac.md                   |   4 +-
 docs/newt/newt_intro.md                         |  11 +
 docs/os/core_os/callout/callout.md              |  12 +-
 .../os/core_os/context_switch/context_switch.md |  24 +-
 docs/os/core_os/event_queue/event_queue.md      |  10 +-
 docs/os/core_os/heap/heap.md                    |   8 +-
 docs/os/core_os/mbuf/mbuf.md                    |  50 +-
 docs/os/core_os/memory_pool/memory_pool.md      |  16 +-
 docs/os/core_os/memory_pool/os_memblock_get.md  |   2 +-
 docs/os/core_os/mqueue/mqueue.md                |   8 +-
 docs/os/core_os/msys/msys.md                    |  10 +-
 docs/os/core_os/mutex/mutex.md                  |   8 +-
 docs/os/core_os/porting/port_bsp.md             |  20 +-
 docs/os/core_os/porting/port_os.md              |  41 +-
 docs/os/core_os/sanity/sanity.md                |  12 +-
 docs/os/core_os/semaphore/semaphore.md          |   8 +-
 docs/os/core_os/task/task.md                    |   8 +-
 docs/os/core_os/time/os_time.md                 |  12 +-
 docs/os/core_os/time/os_time_tick.md            |   8 +-
 docs/os/get_started/docker.md                   |  26 +-
 docs/os/get_started/native_tools.md             |  57 +-
 docs/os/get_started/project_create.md           |   4 +-
 docs/os/get_started/vocabulary.md               |   2 +-
 docs/os/introduction.md                         |  32 +-
 docs/os/modules/console/console.md              |  16 +-
 docs/os/modules/elua/elua.md                    |   6 +-
 docs/os/modules/fcb/fcb.md                      | 100 ++++
 docs/os/modules/fcb/fcb_append.md               |  29 +
 docs/os/modules/fcb/fcb_append_finish.md        |  25 +
 docs/os/modules/fcb/fcb_append_to_scratch.md    |  23 +
 docs/os/modules/fcb/fcb_clear.md                |  23 +
 docs/os/modules/fcb/fcb_getnext.md              |  28 +
 docs/os/modules/fcb/fcb_init.md                 |  25 +
 docs/os/modules/fcb/fcb_is_empty.md             |  23 +
 docs/os/modules/fcb/fcb_offset_last_n.md        |  28 +
 docs/os/modules/fcb/fcb_rotate.md               |  22 +
 docs/os/modules/fcb/fcb_walk.md                 |  32 ++
 docs/os/modules/fs/fs/fs.md                     |  61 ++-
 docs/os/modules/fs/fs/fs_close.md               |   8 +-
 docs/os/modules/fs/fs/fs_closedir.md            |   8 +-
 docs/os/modules/fs/fs/fs_dirent_is_dir.md       |   8 +-
 docs/os/modules/fs/fs/fs_dirent_name.md         |   8 +-
 docs/os/modules/fs/fs/fs_filelen.md             |   8 +-
 docs/os/modules/fs/fs/fs_getpos.md              |   6 +-
 docs/os/modules/fs/fs/fs_mkdir.md               |   8 +-
 docs/os/modules/fs/fs/fs_open.md                |   8 +-
 docs/os/modules/fs/fs/fs_opendir.md             |   8 +-
 docs/os/modules/fs/fs/fs_ops.md                 |   4 +-
 docs/os/modules/fs/fs/fs_read.md                |   8 +-
 docs/os/modules/fs/fs/fs_readdir.md             |   8 +-
 docs/os/modules/fs/fs/fs_register.md            |   6 +-
 docs/os/modules/fs/fs/fs_rename.md              |   8 +-
 docs/os/modules/fs/fs/fs_return_codes.md        |   2 +-
 docs/os/modules/fs/fs/fs_seek.md                |   8 +-
 docs/os/modules/fs/fs/fs_unlink.md              |  10 +-
 docs/os/modules/fs/fs/fs_write.md               |   8 +-
 docs/os/modules/fs/fs/fsutil_read_file.md       |   8 +-
 docs/os/modules/fs/fs/fsutil_write_file.md      |   8 +-
 docs/os/modules/fs/nffs/nffs.md                 |  20 +-
 docs/os/modules/fs/nffs/nffs_area_desc.md       |   4 +-
 docs/os/modules/fs/nffs/nffs_config.md          |   6 +-
 docs/os/modules/fs/nffs/nffs_detect.md          |  10 +-
 docs/os/modules/fs/nffs/nffs_format.md          |  10 +-
 docs/os/modules/fs/nffs/nffs_init.md            |   4 +-
 docs/os/modules/fs/nffs/nffs_internals.md       |  22 +-
 docs/os/modules/fs/otherfs.md                   |   4 +-
 .../os/modules/hal/hal_cputime/hal_cpu_timer.md |  14 +-
 docs/os/modules/hal/hal_gpio/hal_gpio.md        |  19 +-
 docs/os/modules/hal/hal_i2c/hal_i2c.md          |   2 +-
 docs/os/modules/imgmgr/imgmgr.md                |   8 +-
 docs/os/modules/imgmgr/imgr_ver_str.md          |   2 +-
 docs/os/modules/json/json.md                    |  14 +-
 docs/os/modules/shell/shell.md                  |  11 +-
 docs/os/modules/split/split.md                  | 351 ++++++++++++
 docs/os/modules/testutil/testutil.md            |  16 +-
 docs/os/tutorials/STM32F303.md                  |   8 +-
 docs/os/tutorials/add_newtmgr.md                | 191 +++++++
 docs/os/tutorials/add_repos.md                  | 304 -----------
 docs/os/tutorials/air_quality_sensor.md         |   7 +-
 docs/os/tutorials/arduino_zero.md               |  98 ++--
 docs/os/tutorials/blehci_project.md             | 191 +++++++
 docs/os/tutorials/bleprph/bleprph-adv.md        | 114 ++--
 docs/os/tutorials/bleprph/bleprph-chr-access.md | 212 +++-----
 docs/os/tutorials/bleprph/bleprph-conn.md       | 156 ------
 docs/os/tutorials/bleprph/bleprph-gap-event.md  | 161 ++++++
 docs/os/tutorials/bleprph/bleprph-intro.md      |   9 +-
 docs/os/tutorials/bleprph/bleprph-svc-reg.md    | 128 ++---
 docs/os/tutorials/bletiny_project.md            |  97 +++-
 docs/os/tutorials/blinky_primo.md               | 252 +++++++++
 docs/os/tutorials/blinky_sram_olimex.md         |  41 +-
 docs/os/tutorials/create_repo.md                | 172 ------
 docs/os/tutorials/downloads/openocd-wnrf52.tgz  | Bin 0 -> 14449635 bytes
 docs/os/tutorials/event_queue.md                |   2 +-
 docs/os/tutorials/ibeacon.md                    |   2 +-
 docs/os/tutorials/nRF52.md                      |   2 +-
 docs/os/tutorials/nimble_setup_copy.md          | 543 -------------------
 docs/os/tutorials/olimex.md                     |  58 +-
 docs/os/tutorials/pics/arduino_wifi.png         | Bin 0 -> 944943 bytes
 docs/os/tutorials/pics/primo-jlink.jpg          | Bin 0 -> 157820 bytes
 docs/os/tutorials/pics/task_lesson.png          | Bin 0 -> 12931 bytes
 docs/os/tutorials/pin-wheel-mods.md             |  99 ++++
 docs/os/tutorials/project-slinky.md             |   8 +-
 docs/os/tutorials/project-target-slinky.md      |  48 +-
 docs/os/tutorials/repo/add_repos.md             | 304 +++++++++++
 docs/os/tutorials/repo/create_repo.md           | 172 ++++++
 docs/os/tutorials/repo/upgrade_repo.md          |  14 +
 docs/os/tutorials/tasks_lesson.md               | 324 +++++++++++
 docs/os/tutorials/tutorials.md                  |  39 +-
 docs/os/tutorials/unit_test.md                  |   2 +-
 docs/os/tutorials/upgrade_repo.md               |  14 -
 docs/os/tutorials/wi-fi_on_arduino.md           | 294 ++++++++++
 docs/quick-start.md                             |  15 +-
 extras/newt/index.html                          |  12 +-
 mkdocs.yml                                      | 179 +++++-
 serve.py                                        |  25 +
 232 files changed, 7660 insertions(+), 2336 deletions(-)
----------------------------------------------------------------------


http://git-wip-us.apache.org/repos/asf/incubator-mynewt-site/blob/bc45bd48/docs/os/get_started/project_create.md
----------------------------------------------------------------------
diff --cc docs/os/get_started/project_create.md
index 351941f,65358d6..9eb09ce
--- a/docs/os/get_started/project_create.md
+++ b/docs/os/get_started/project_create.md
@@@ -258,12 -198,16 +260,10 @@@ You can run the simulated version of yo
  blink.
  
  ```
 -$ newt run my_blinky_sim
 -No download script for BSP hw/bsp/native
 -Debugging /workspace/bin/my_blinky_sim/apps/blinky/blinky.elf
 -<snip>
 -Reading symbols from /workspace/bin/my_blinky_sim/apps/blinky/blinky.elf...done.
 -(gdb)
 +$ ./bin/my_blinky_sim/apps/blinky/blinky.elf
 +hal_gpio set pin  1 to 0
  ```
  
- Just press _ctrl-c_ to exit.
 -Type `r` at the `(gdb)` prompt to run the project. You will see an output indicating that the `hal_gpio` pin is toggling between 1 and 0 in a simulated blink. 
--
  <br>
  
  ### Complete

http://git-wip-us.apache.org/repos/asf/incubator-mynewt-site/blob/bc45bd48/docs/os/get_started/vocabulary.md
----------------------------------------------------------------------