You are viewing a plain text version of this content. The canonical link for it is here.
Posted to commits@tvm.apache.org by mo...@apache.org on 2021/06/07 20:31:21 UTC

[tvm-vta] branch main updated: add scalastyle to test (#28)

This is an automated email from the ASF dual-hosted git repository.

moreau pushed a commit to branch main
in repository https://gitbox.apache.org/repos/asf/tvm-vta.git


The following commit(s) were added to refs/heads/main by this push:
     new 74f23ff  add scalastyle to test (#28)
74f23ff is described below

commit 74f23fff285d4f57cad4afdb05e2bff08fd71f98
Author: Luis Vega <ve...@users.noreply.github.com>
AuthorDate: Mon Jun 7 13:31:14 2021 -0700

    add scalastyle to test (#28)
---
 hardware/chisel/Makefile                             |  1 +
 hardware/chisel/scalastyle-config.xml                |  6 +++---
 .../chisel/src/test/scala/unittest/AluTest.scala     | 10 +++++-----
 .../chisel/src/test/scala/unittest/Launcher.scala    |  2 +-
 .../chisel/src/test/scala/unittest/MvmTest.scala     | 20 ++++++++++----------
 .../src/test/scala/unittest/utils/Helper.scala       |  4 ++--
 .../src/test/scala/unittest/utils/RandomArray.scala  |  6 +++---
 7 files changed, 25 insertions(+), 24 deletions(-)

diff --git a/hardware/chisel/Makefile b/hardware/chisel/Makefile
index 049b4d4..0274c17 100644
--- a/hardware/chisel/Makefile
+++ b/hardware/chisel/Makefile
@@ -165,6 +165,7 @@ default: lint lib
 
 lint:
 	sbt scalastyle
+	sbt test:scalastyle
 
 lib: $(lib_path)
 
diff --git a/hardware/chisel/scalastyle-config.xml b/hardware/chisel/scalastyle-config.xml
index ae7c8e6..1252900 100644
--- a/hardware/chisel/scalastyle-config.xml
+++ b/hardware/chisel/scalastyle-config.xml
@@ -77,7 +77,7 @@
  <check level="error" class="org.scalastyle.scalariform.NoFinalizeChecker" enabled="true"></check>
  <check level="error" class="org.scalastyle.scalariform.CovariantEqualsChecker" enabled="true"></check>
  <check level="error" class="org.scalastyle.scalariform.StructuralTypeChecker" enabled="true"></check>
- <check level="error" class="org.scalastyle.file.RegexChecker" enabled="true">
+ <check level="error" class="org.scalastyle.file.RegexChecker" enabled="false">
   <parameters>
    <parameter name="regex"><![CDATA[println]]></parameter>
   </parameters>
@@ -87,7 +87,7 @@
    <parameter name="maxTypes"><![CDATA[30]]></parameter>
   </parameters>
  </check>
- <check level="error" class="org.scalastyle.scalariform.CyclomaticComplexityChecker" enabled="true">
+ <check level="error" class="org.scalastyle.scalariform.CyclomaticComplexityChecker" enabled="false">
   <parameters>
    <parameter name="maximum"><![CDATA[10]]></parameter>
   </parameters>
@@ -100,7 +100,7 @@
    <parameter name="doubleLineAllowed"><![CDATA[false]]></parameter>
   </parameters>
  </check>
- <check level="error" class="org.scalastyle.scalariform.MethodLengthChecker" enabled="true">
+ <check level="error" class="org.scalastyle.scalariform.MethodLengthChecker" enabled="false">
   <parameters>
    <parameter name="maxLength"><![CDATA[50]]></parameter>
   </parameters>
diff --git a/hardware/chisel/src/test/scala/unittest/AluTest.scala b/hardware/chisel/src/test/scala/unittest/AluTest.scala
index 56d81b8..a4274c2 100644
--- a/hardware/chisel/src/test/scala/unittest/AluTest.scala
+++ b/hardware/chisel/src/test/scala/unittest/AluTest.scala
@@ -34,7 +34,7 @@ class TestAluVector(c: AluVector) extends PeekPokeTester(c) {
    */
   def aluRef(opcode: Int, a: Array[Int], b: Array[Int], width: Int) : Array[Int] = {
     val size = a.length
-    val mask = helper.getMask(log2Ceil(width))
+    val mask = Helper.getMask(log2Ceil(width))
     val res = Array.fill(size) {0}
 
     if (opcode == 1) {
@@ -61,18 +61,18 @@ class TestAluVector(c: AluVector) extends PeekPokeTester(c) {
         res(i) = if (a(i) < b(i)) a(i) else b(i)
       }
     }
-    return res
+    res
   }
 
   val num_ops = ALU_OP_NUM
   for (i <- 0 until num_ops) {
     // generate data based on bits
-    val bits = c.aluBits
+    val bits = c.io.acc_a.tensorElemBits
     val dataGen = new RandomArray(c.blockOut, bits)
     val op = i
     val in_a = dataGen.any
     val in_b = if (op != 4) dataGen.any else dataGen.negative
-    val mask = helper.getMask(bits)
+    val mask = Helper.getMask(bits)
     val res = aluRef(op, in_a, in_b, bits)
 
     for (i <- 0 until c.blockOut) {
@@ -97,7 +97,7 @@ class TestAluVector(c: AluVector) extends PeekPokeTester(c) {
     }
     if (peek(c.io.acc_y.data.valid) == BigInt(1)) {
       for (i <- 0 until c.blockOut) {
-          expect(c.io.acc_y.data.bits(0)(i), res(i) & mask)
+        expect(c.io.acc_y.data.bits(0)(i), res(i) & mask)
       }
     }
   }
diff --git a/hardware/chisel/src/test/scala/unittest/Launcher.scala b/hardware/chisel/src/test/scala/unittest/Launcher.scala
index 2a1d201..2d10c52 100644
--- a/hardware/chisel/src/test/scala/unittest/Launcher.scala
+++ b/hardware/chisel/src/test/scala/unittest/Launcher.scala
@@ -47,7 +47,7 @@ object Launcher {
         (c) => new TestMatrixVectorMultiplication(c)
       }
     },
-		"alu" -> { (manager: TesterOptionsManager) =>
+    "alu" -> { (manager: TesterOptionsManager) =>
       Driver.execute(() => new AluVector, manager) {
         (c) => new TestAluVector(c)
       }
diff --git a/hardware/chisel/src/test/scala/unittest/MvmTest.scala b/hardware/chisel/src/test/scala/unittest/MvmTest.scala
index b8af879..bd4e10c 100644
--- a/hardware/chisel/src/test/scala/unittest/MvmTest.scala
+++ b/hardware/chisel/src/test/scala/unittest/MvmTest.scala
@@ -37,13 +37,13 @@ class TestMatrixVectorMultiplication(c: MatrixVectorMultiplication) extends Peek
     val size = inp.length
     val res = Array.fill(size) {0}
     for (i <- 0 until size) {
-        var dot = 0
-        for (j <- 0 until size) {
-          dot += wgt(i)(j) * inp(j)
-        }
-        res(i) = dot * pow(2, shift).toInt
+      var dot = 0
+      for (j <- 0 until size) {
+        dot += wgt(i)(j) * inp(j)
+      }
+      res(i) = dot * pow(2, shift).toInt
     }
-    return res
+    res
   }
 
   val cycles = 5
@@ -54,9 +54,9 @@ class TestMatrixVectorMultiplication(c: MatrixVectorMultiplication) extends Peek
     val in_a = inpGen.any
     val in_b = Array.fill(c.size) { wgtGen.any }
     val res = mvmRef(in_a, in_b, 0)
-    val inpMask = helper.getMask(c.inpBits)
-    val wgtMask = helper.getMask(c.wgtBits)
-    val accMask = helper.getMask(c.accBits)
+    val inpMask = Helper.getMask(c.inpBits)
+    val wgtMask = Helper.getMask(c.wgtBits)
+    val accMask = Helper.getMask(c.accBits)
 
     for (i <- 0 until c.size) {
       poke(c.io.inp.data.bits(0)(i), in_a(i) & inpMask)
@@ -84,7 +84,7 @@ class TestMatrixVectorMultiplication(c: MatrixVectorMultiplication) extends Peek
     }
     if (peek(c.io.acc_o.data.valid) == BigInt(1)) {
       for (i <- 0 until c.size) {
-          expect(c.io.acc_o.data.bits(0)(i), res(i) & accMask)
+        expect(c.io.acc_o.data.bits(0)(i), res(i) & accMask)
       }
     }
   }
diff --git a/hardware/chisel/src/test/scala/unittest/utils/Helper.scala b/hardware/chisel/src/test/scala/unittest/utils/Helper.scala
index c6b006a..e3d7171 100644
--- a/hardware/chisel/src/test/scala/unittest/utils/Helper.scala
+++ b/hardware/chisel/src/test/scala/unittest/utils/Helper.scala
@@ -21,9 +21,9 @@ package unittest.util
 
 import scala.math.pow
 
-object helper {
+object Helper {
   def getMask(bits: Int) : Long = {
     if (bits <= 0) throw new IllegalArgumentException ("bits should be greater than 0")
-    return (pow(2, bits) - 1).toLong
+    (pow(2, bits) - 1).toLong
   }
 }
diff --git a/hardware/chisel/src/test/scala/unittest/utils/RandomArray.scala b/hardware/chisel/src/test/scala/unittest/utils/RandomArray.scala
index 727ad82..2852d4e 100644
--- a/hardware/chisel/src/test/scala/unittest/utils/RandomArray.scala
+++ b/hardware/chisel/src/test/scala/unittest/utils/RandomArray.scala
@@ -27,14 +27,14 @@ class RandomArray(val len: Int, val bits: Int) {
   if (bits < 1) throw new IllegalArgumentException ("bits should be greater than 1")
 
   def any : Array[Int] = {
-    return Array.fill(len) { r.nextInt(pow(2, bits).toInt) - pow(2, bits-1).toInt }
+    Array.fill(len) { r.nextInt(pow(2, bits).toInt) - pow(2, bits-1).toInt }
   }
 
   def positive : Array[Int] = {
-    return Array.fill(len) { r.nextInt(pow(2, bits-1).toInt) }
+    Array.fill(len) { r.nextInt(pow(2, bits-1).toInt) }
   }
 
   def negative : Array[Int] = {
-    return Array.fill(len) { 0 - r.nextInt(pow(2, bits-1).toInt) }
+    Array.fill(len) { 0 - r.nextInt(pow(2, bits-1).toInt) }
   }
 }